EP0886884A1 - Agencement de cellules memoire avec transistors mos verticaux et procede de production correspondant - Google Patents

Agencement de cellules memoire avec transistors mos verticaux et procede de production correspondant

Info

Publication number
EP0886884A1
EP0886884A1 EP97915321A EP97915321A EP0886884A1 EP 0886884 A1 EP0886884 A1 EP 0886884A1 EP 97915321 A EP97915321 A EP 97915321A EP 97915321 A EP97915321 A EP 97915321A EP 0886884 A1 EP0886884 A1 EP 0886884A1
Authority
EP
European Patent Office
Prior art keywords
trenches
doped
main surface
flanks
strip
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
EP97915321A
Other languages
German (de)
English (en)
Inventor
Franz Hofmann
Josef Willer
Wolfgang Krautschneider
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Siemens AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG filed Critical Siemens AG
Publication of EP0886884A1 publication Critical patent/EP0886884A1/fr
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/27ROM only
    • H10B20/40ROM only having the source region and drain region on different levels, e.g. vertical channel

Definitions

  • MOS transistors Semiconductor-based read-only memories are known for storing smaller amounts of data. In many cases, these are implemented as a plane integrated silicon circuit in which MOS transistors are used as memory cells. The transistors are selected via the gate electrode, which is connected to the word line. The input of the MOS transistor is connected to a reference line, the output to a bit line. The reading process evaluates whether a current flows through the transistor or not. The logical values zero and one are assigned accordingly.
  • the storage of zero and one is effected in that no MOS transistor is produced in memory cells in which the logic value associated with the state "no current flow through the transistor" is stored or no conductive connection to the bit line is realized MOS transistors can be realized for the two logical values, which have different threshold voltages due to different dopant concentrations in the channel region.
  • semiconductor-based memories allow random access to the stored information.
  • the one for reading the The electrical power required for information is significantly smaller than in the aforementioned storage systems with mechanically moving parts. As no moving parts are required, mechanical wear and sensitivity to vibrations are also eliminated.
  • Semiconductor-based memories can therefore also be used for mobile systems.
  • the silicon memories described usually have a planar structure. This means that a minimum space requirement is required per memory cell, which in the best case is 4 F ⁇ , where F is the smallest structure size that can be produced in the respective technology.
  • a read-only memory cell arrangement is known, the memory cells of which comprise MOS transistors. These MOS transistors are arranged along trenches such that a source region adjoins the bottom of the trench, a drain region adjoins the surface of the substrate and a channel region both vertically to the surface of the substrate and parallel to the surface of the substrate on the flank and Bottom of the trench adjoins.
  • the surface of the channel area is provided with a gate dielectric.
  • the gate electrode is designed as a flank covering (spacer). The logical values zero and one are distinguished by different threshold voltages which are brought about by channel implantation.
  • the implanting ions hit the surface of the respective trench at such an angle that is specifically implanted along one flank by shadowing effects of the opposite flank.
  • the word lines run as spacers along the flanks of the trenches.
  • JP-OS 4-226071 which comprises vertical MOS transistors arranged as memory cells on the flanks of trenches. Diffusion runs on the bottom of trenches and between adjacent trenches. ons regions, which each form the source / drain regions of the vertical MOS transistors.
  • the word lines, which comprise the gate electrodes of the vertical MOS transistors, run perpendicular to the trenches.
  • the threshold voltage of the vertical MOS transistors is set by an angled implant.
  • a memory cell arrangement is known from US Pat. No. 4,663,644 which comprises vertical MOS transistors as memory cells. These vertical MOS transistors are each arranged on the flanks of trenches.
  • the word lines which each comprise the gate electrodes of the vertical MOS transistors, are arranged in the trenches. Two word lines are arranged in each trench.
  • the bit lines are implemented as conductor tracks on the surface of the substrate.
  • the contact between the bit lines and the respective source / drain regions, which adjoin the surface of the substrate, is realized via a contact hole.
  • the source / drain regions, which adjoin the bottom of the trenches, are implemented as a continuous doped layer and are set to reference potential.
  • the information is stored in this memory cell arrangement in the form of threshold voltages of different levels for the MOS transistors.
  • the different threshold voltages are realized by different dopant concentrations in the channel region of the MOS transistors.
  • a doped layer is deposited and structured in such a way that flanks in which increased dopant concentrations are to be formed remain covered by the structured dopant layer.
  • the channel regions with an increased dopant concentration are formed by diffusion out of the structured dopant layer.
  • the invention is based on the problem of specifying a memory cell arrangement based on semiconductors in which an increased memory density is achieved and which can be produced with a few production steps and with a high yield. Of- Furthermore, a method for producing such a memory cell arrangement is to be specified.
  • memory cells are provided in a substrate, each of which comprises a MOS transistor vertical to the main surface.
  • a substrate made of monocrystalline silicon or the silicon layer of an SOI substrate is preferably used as the substrate.
  • the vertical MOS transistors have different threshold voltages depending on the stored information.
  • the MOS transistors are driven at a voltage level at which the MOS transistors conduct with a lower threshold voltage and those with a higher threshold voltage do not conduct.
  • Strip-shaped trenches running essentially parallel are provided in the substrate. Strip-shaped doped regions are arranged on the bottom of the trenches and on the main area between adjacent trenches, which are doped with a second conductivity type opposite to the first. Gate dielectrics are arranged on the flanks of the trenches. Word lines are provided which run transversely to the trenches and which comprise gate electrodes for the vertical MOS transistors in the region of the flanks of the trenches.
  • the vertical MOS transistors each consist of two strip-shaped doped regions adjacent to the same flank of one of the trenches, which act as a source / drain region, the flank of the trench arranged therebetween, the gate dielectric and the part arranged above one of the words - lines formed.
  • the striped doped areas are used as bit or reference line in the operation of the memory cell arrangement.
  • memory cells in which predetermined information is stored have a dopant region in the upper region of the flank of the trench, the extent of the dopant perpendicular to the main surface being less than the depth of the trenches.
  • the doping regions are preferably doped with the same conductivity type as the channel regions, but with an increased doping concentration. In this case, the threshold voltage increases. They can also be doped from the opposite conductivity type, in which case the threshold voltage drops.
  • the invention makes use of the knowledge that the threshold voltage of a MOS transistor can also be set by a locally inhomogeneous dopant concentration in the channel region.
  • the parts of the dopant region and its precise adjustment with respect to the associated word line are therefore not critical.
  • the vertical MOS transistors have more than two different threshold voltages.
  • the dopant regions are realized with different dopant concentrations in the flanks.
  • the distance between adjacent trenches is preferably selected such that it is substantially equal to the width of the trenches.
  • the distance between adjacent word lines is also chosen equal to the width of the word lines. If the width of the trenches and the width of the word lines correspond to the minimum structure width F in the respective
  • stripe-shaped trenches are preferably formed in a main surface of a substrate, said trenches running essentially parallel.
  • Strip-shaped doped regions are formed on the bottom of the trenches and on the main surface between adjacent trenches, which are doped from a second conductivity type opposite to the first.
  • a mask layer is applied, which has an essentially conformal edge covering.
  • a mask for example made of photoresist, is produced on the mask layer and has openings.
  • the mask layer is structured using the mask so that in the area of the openings
  • the main surface and the surface of the trenches are exposed.
  • the flanks of trenches in the area of the openings are only partially exposed, so that a residue of the mask layer remains on these flanks in the lower area of the trenches.
  • the trenches are preferably formed by anisotropic etching using a trench mask.
  • the stripe-shaped doped regions on the bottom of the trenches and on the main area between adjacent trenches are preferably produced by an implantation after the trench formation and after removal of the trench mask. It is advantageous to include the flanks of the trenches before the implantation
  • the stripe-shaped doped regions can be produced by creating a doped region on the main surface before the trenches are formed, which covers the entire memory cell array. When the trenches are opened, this doped region is divided into the strip-like doped regions on the main surface.
  • the strip-shaped doped regions at the bottom of the trenches are produced by ion implantation after the trenches have opened. When using a trench mask, it is advantageous to leave it as a mask on the main surface during the implantation.
  • the mask layer is preferably structured by anistropic etching.
  • the mask layer can also be structured by combined isotropic and anisotropic etching. The etching is selective to the substrate.
  • the main surface and the bottoms of the trenches are exposed in the region of the openings.
  • the etching attack on the exposed main area and the exposed bottoms of the trenches which is inevitable because of the finite selectivity of the etching, is reduced.
  • the threshold voltage only depends on the dopant concentration in the channel area, both the exact depth of the dopant area and its lateral adjustment with respect to the arrangement of the gate electrodes are not critical.
  • the dopant regions are preferably formed in the exposed flank parts by an angled implantation.
  • the implantation is preferably carried out with an inclination angle in the range between 20 ° and 30 ° against the normal of the Main area. Such inclination angles are provided as standard in many implantation systems to avoid the channeling effect.
  • the dopant regions are produced by diffusion out of a doped layer.
  • the doped layer is applied over the entire surface above the structured mask layer.
  • the doped layer is preferably formed from doped glass, doped polysilicon or doped amorphous silicon.
  • doped glass has the advantage that in this case the doped layer can be selectively removed from the substrate.
  • the mask used for structuring can be removed in order to avoid shadowing by the mask during the subsequent implantation.
  • the method according to the invention can thus also be used for trench widths which can be significantly smaller than in the storage cell arrangement known from DE 42 14 923 A1.
  • the mask for structuring the mask layer is formed from photoresist, the photoresist does not have to be exposed to the bottom of the trench during the exposure for programming.
  • Modern exposure steppers with a focus depth of ⁇ 0.5 ⁇ m can thus also be used in the method according to the invention. Because the mask layer at the bottom of the trench is not necessarily removed unexposed photoresist can remain on the bottom of the trench in the method according to the invention. This avoids exposure problems over the full topology of the trench.
  • FIG. 1 shows a substrate with a trough doped with a first conductivity type.
  • FIG. 2 shows the substrate after the etching of stripe-shaped trenches.
  • FIG. 3 shows the substrate after the formation of stripe-shaped doped regions on the bottoms of the trenches and between adjacent trenches on the main surface.
  • FIG. 4 shows the substrate after the application of a mask layer and the formation of a mask.
  • FIG. 5 shows the substrate after structuring the mask layer.
  • FIG. 6 shows the substrate after the application of a doped layer.
  • FIG. 7 shows the substrate after the formation of dopant regions in the flanks of the trenches and after the formation of word lines running transversely to the trenches.
  • FIG. 8 shows a plan view of the substrate after the word lines have been formed.
  • a substrate 1 of, for example, p-doped silicon with a dopant concentration monokri ⁇ stallinem of 5 x l ⁇ l5 cm "3 is in a major surface 2 by implantation and subsequent heat-doped p-a trough 3 with a dopant concentration of 2 x 10- * - 7 cm ⁇ ⁇ generated (see Figure 1.)
  • a scattering oxide with a thickness of, for example, 50 nm (not shown) is used, which after driving in the p-doped well 3 with 180 keV, 7 x 10 ⁇ 2 cm ⁇ 2.
  • the p-doped well 3 extends at least over an area for one cell field.
  • An SiO 2 "layer is deposited on the main surface 2 in a layer thickness of, for example, 300 nm, for example in a TEOS process.
  • the SiO 2 layer is structured with the aid of photolithographic process steps, a trench mask 4 being formed.
  • the trench mask 4 has stripes
  • the strip-shaped openings in the trench mask 4 have a width of, for example, 0.4 ⁇ m, a length of, for example, 125 ⁇ m and a distance of 0.4 ⁇ m.
  • trenches 5 are etched into the main surface 2 of the substrate 1 in an anisotropic etching process, for example using HBr, He, O2, NF3.
  • Trenches 5 have a strip-shaped cross section corresponding to the openings of the trench mask 4 parallel to the main surface 2. They have a width of for example 0.4 ⁇ m, a length of for example 125 ⁇ m and a distance of for example 0.4 ⁇ m. The depth of the trenches is, for example, 0.6 ⁇ m (see FIG. 2). For example, 32 parallel trenches 5 are formed. The trench mask 4 is then removed using, for example, HF dip. In order to improve the quality of the crystal surfaces, an SiO 2 layer 6 (so-called sacrificial oxide) with a thickness of, for example, 20 nm is produced by thermal oxidation (see FIG. 3).
  • SiO 2 layer 6 silicacrificial oxide
  • SiO 2 spacers 7 and the SiO 2 layer 6 are then removed, for example by wet chemical etching with HF dip.
  • a mask layer 9 with an essentially conformal edge covering is deposited from SiO 2, for example in a TEOS process.
  • the mask layer 9 is deposited in a layer thickness of 60 to 80 nm (see FIG. 4).
  • a mask 10 is then formed, for example, from photoresist using photolithographic process steps.
  • the mask 10 has openings 11 in the cell field.
  • the openings 11 are adjusted so that they overlap at least one flank of the trenches 5.
  • the dimensions of the openings 11 parallel to the main surface 2 each correspond to the width of the trenches 5. Larger dimensions of the openings 11 result from the collapse of adjacent openings.
  • the mask 10 is adjusted so that the openings 11 are arranged to overlap the flanks of the trenches 5.
  • the openings 11 likewise have minimal dimensions of F x F.
  • the adjustment accuracy is, for example, F / 2 to F / 3 .
  • the mask layer 9 is structured in an anisotropic etching process, for example using HBr, CI2, He.
  • the mask 10 acts as an etching mask. In this case, 5 etching residues 9 'remain in the region of the openings 11 on the flanks of the trenches. In the area of the openings 11, the silicon surface is exposed on the bottoms of the trenches 5 and on the main surface 2 between adjacent trenches 5. Under the mask 10, however, the mask layer 9 is not attacked.
  • the patterning of the mask layer 9 takes place in an etching process which is selective for silicon. However, due to the limited selectivity, there is an etching attack on the exposed silicon surfaces. Since the etching residues 9 ′ remain on the flanks of the trenches 5, the etching attack on the exposed silicon surfaces that is unavoidable due to the finite selectivity is reduced.
  • the height of the etching residues 9 ′ is less than the depth of the strip-shaped, doped regions 8 arranged on the main surface 2.
  • the height of the etching residues 9 ' is, for example, 300 nm.
  • the exact height of the etching residues 9' is not critical as long as part of the trench wall is exposed below the strip-shaped doped region 8 adjacent to the trench wall.
  • Parts of the mask layer 9 exposed at the bottom of the trenches 5 by the mask 10 are removed during the structuring of the mask layer 9. In the event that when the mask 10 is formed from photoresist, the photoresist has not been exposed to the bottom of the trenches 5, the mask layer 9 at the bottom of the trenches 5 is covered by unexposed photoresist.
  • the mask layer 9 is not attacked during the anisotropic etching at the bottom of the trenches 5 and the bottom of the trenches 5 remains covered by the mask layer 9. This is not critical for the further course of the method according to the invention.
  • the mask 10 is removed (see FIG. 5).
  • a thin scattering oxide (approx. 10 nm) is then deposited using a TEOS process (not shown).
  • two angled implantations with boron are carried out with a dose of 10 ⁇ 3 c ⁇ 2 to 5 x 10 ⁇ - cm ⁇ 2 and an energy of 60 keV.
  • the angle of inclination relative to the normal of the main surface 2 is 20 ° to 30 °, and - 20 ° to - 30 °.
  • dopant regions 12 are formed in the exposed flanks of the trenches 5 above the etching residues 9 '(see FIG. 7).
  • the Dotierstoff capableen 12 is a dopant concentration of some 10 17 cm -3, 8 x 10 ⁇ preferred wise adjusted to 7 cm ⁇ 3 f.
  • the doping in the stripe-shaped doped regions is 8 10 ⁇ 1 cm "3, the implantation of boron in this area can be tolerated.
  • the mask 10 is formed, 5 unexposed photoresist remains at the bottom of the trenches and the Bottoms of the trenches 5 are covered with the mask layer 9, boron is not implanted into the strip-shaped doped regions 8 arranged at the bottom of the trenches 5.
  • the formation of the dopant regions 12 in the exposed flanks of the trenches 5 is not impaired by this.
  • the dopant regions 12 are formed in the flanks of the trenches 5 by diffusion out of a doped layer 13.
  • the doped layer 13 for example made of borosilicate glass, is deposited over the entire surface in a layer thickness of 50 nm (see FIG. 6).
  • the doping areas 12 are produced by out-diffusion.
  • the doped layer 13 is removed, for example with an HF dip.
  • a gate dielectric 14 is produced, for example by thermal oxidation, in a layer thickness of 10 nm, for example.
  • the vertical MOS transistors are each formed from two strip-shaped doped regions 8 which adjoin the same flank of one of the trenches 5, the part of the trough 3 arranged in between as a channel region, the gate dielectric 14 and the part of one of the word lines 15 adjoining it.
  • the extent of the vertical MOS transistor parallel to the course of the strip-shaped trenches 5 is given by the width of the word lines 15.
  • MOS transistors that are adjacent along an edge of one of the trenches are separated by the distance between adjacent word lines 15 separated from each other.
  • the strip-shaped doped regions 8 each run over the entire cell field. They form lines which, depending on the circuitry, are used as bit lines or reference lines and which connect the source / drain regions of MOS transistors adjacent along a trench.
  • the vertical MOS transistor has an increased threshold voltage or not.
  • the information stored in the memory cell arrangement is stored in the presence or absence of the dopant regions 12.
  • the programming of the memory cell arrangement is therefore carried out when the mask layer 9 is structured.
  • the arrangement of the openings 11 in the mask 10 transfers the information into the memory cell arrangement.
  • the strip-shaped doped regions 8 are used as bit or reference lines for reading out the memory cells.
  • the memory cell to be evaluated is selected via the word line.
  • a control signal is applied to the word line, the voltage level of which lies between the threshold voltage of the MOS transistors with dopant region 12 in the channel region and that of the MOS transistors without dopant region 12 in the channel region. With this control signal, the MOS transistors without dopant region 12 in the channel region become conductive, while the MOS transistors with dopant region 12 in the channel region, which have an increased threshold voltage, continue to block.
  • it is evaluated whether a current flows between the associated strip-shaped doped regions 8 or not.
  • FIG. 8 shows a top view of the memory cell arrangement. The course of the word lines 15 across the trenches 5 is shown. Furthermore, the stripe-shaped, doped areas 8 entered, which run at the bottom of the trenches 5 and between adjacent trenches 5. Doping regions 12 are entered in the flanks of the trenches as a dashed contour.
  • Each memory cell comprises a vertical MOS transistor, which has an extent of 2 F parallel to the course of the stripe-shaped trenches 5 and an extent of F perpendicular to the course of the stripe-shaped trenches 5.
  • the space requirement per memory cell is therefore 2 F 2 .
  • the production of the memory cell arrangement is concluded with the deposition of an intermediate dielectric, the opening of contact holes and the production of a metallization (not shown).

Landscapes

  • Semiconductor Memories (AREA)

Abstract

Dans un agencement de cellules mémoire comprenant des transistors MOS verticaux servant de cellules mémoire, l'information est mémorisée au moyen de différentes tensions seuil des transistors. A cet effet, des zones d'agent dopant sont formées, pour un état d'information, par implantation angulaire ou diffusion dans la partie supérieure de la zone de canal. La partie inférieure de la zone de canal est recouverte par un reste d'attaque (9') formé par une attaque avec masque d'un élément d'espacement. Cet agencement peut être produit avec un encombrement par cellule mémoire de 2 F2 (F étant la dimension structurelle minimale).
EP97915321A 1996-03-12 1997-03-03 Agencement de cellules memoire avec transistors mos verticaux et procede de production correspondant Ceased EP0886884A1 (fr)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE19609678A DE19609678C2 (de) 1996-03-12 1996-03-12 Speicherzellenanordnung mit streifenförmigen, parallel verlaufenden Gräben und vertikalen MOS-Transistoren und Verfahren zu deren Herstellung
DE19609678 1996-03-12
PCT/DE1997/000372 WO1997034323A1 (fr) 1996-03-12 1997-03-03 Agencement de cellules memoire avec transistors mos verticaux et procede de production correspondant

Publications (1)

Publication Number Publication Date
EP0886884A1 true EP0886884A1 (fr) 1998-12-30

Family

ID=7788042

Family Applications (1)

Application Number Title Priority Date Filing Date
EP97915321A Ceased EP0886884A1 (fr) 1996-03-12 1997-03-03 Agencement de cellules memoire avec transistors mos verticaux et procede de production correspondant

Country Status (6)

Country Link
US (1) US6180979B1 (fr)
EP (1) EP0886884A1 (fr)
JP (1) JP2000506315A (fr)
KR (1) KR19990087642A (fr)
DE (1) DE19609678C2 (fr)
WO (1) WO1997034323A1 (fr)

Families Citing this family (343)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19617646C2 (de) * 1996-05-02 1998-07-09 Siemens Ag Speicherzellenanordnung und ein Verfahren zu deren Herstellung
DE19742403A1 (de) * 1997-09-25 1999-04-08 Siemens Ag Verfahren zur Herstellung einer Halbleiterstruktur
DE19742397C2 (de) * 1997-09-25 2000-07-06 Siemens Ag Verfahren zur Herstellung einer Halbleiterstruktur mit einer Mehrzahl von Gräben
DE19807920A1 (de) * 1998-02-25 1999-09-02 Siemens Ag Speicherzellenanordnung und entsprechendes Herstellungsverfahren
US6362506B1 (en) * 1998-08-26 2002-03-26 Texas Instruments Incorporated Minimization-feasible word line structure for DRAM cell
US6498061B2 (en) * 2000-12-06 2002-12-24 International Business Machines Corporation Negative ion implant mask formation for self-aligned, sublithographic resolution patterning for single-sided vertical device formation
TW583755B (en) * 2002-11-18 2004-04-11 Nanya Technology Corp Method for fabricating a vertical nitride read-only memory (NROM) cell
US6861701B2 (en) * 2003-03-05 2005-03-01 Advanced Analogic Technologies, Inc. Trench power MOSFET with planarized gate bus
TW588438B (en) * 2003-08-08 2004-05-21 Nanya Technology Corp Multi-bit vertical memory cell and method of fabricating the same
JP4565380B2 (ja) * 2004-04-14 2010-10-20 白土 猛英 読み出し専用記憶装置
TW200849404A (en) * 2007-06-12 2008-12-16 Promos Technologies Inc Method for forming semiconductor device
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8592005B2 (en) * 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (fr) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Procédés de dépôt cyclique pour former un matériau contenant du métal et films et structures comprenant le matériau contenant du métal
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60136378A (ja) * 1983-12-26 1985-07-19 Hitachi Ltd 半導体装置およびその製造方法
US4954854A (en) * 1989-05-22 1990-09-04 International Business Machines Corporation Cross-point lightly-doped drain-source trench transistor and fabrication process therefor
JPH03190165A (ja) * 1989-12-20 1991-08-20 Sony Corp 読み出し専用メモリ装置及びその製造方法
JPH04226071A (ja) * 1990-05-16 1992-08-14 Ricoh Co Ltd 半導体メモリ装置
US5117389A (en) * 1990-09-05 1992-05-26 Macronix International Co., Ltd. Flat-cell read-only-memory integrated circuit
US5110036A (en) * 1990-12-17 1992-05-05 At&T Bell Laboratories Method and apparatus for solder leveling of printed circuit boards
JPH04354159A (ja) * 1991-05-31 1992-12-08 Mitsubishi Electric Corp 半導体装置およびその製造方法
JPH05102436A (ja) * 1991-10-09 1993-04-23 Ricoh Co Ltd 半導体メモリ装置とその製造方法
JPH05110036A (ja) 1991-10-18 1993-04-30 Ricoh Co Ltd 半導体メモリ装置とその製造方法
JP3400044B2 (ja) * 1993-11-12 2003-04-28 株式会社東芝 半導体メモリおよびその製造方法
US5429973A (en) * 1994-02-02 1995-07-04 United Microelectronic, Corp. Trench buried-bit line mask ROM process
US5453637A (en) 1994-05-18 1995-09-26 United Microelectronics Corp. Read-only memory cell configuration with steep trenches
US5448090A (en) 1994-08-03 1995-09-05 International Business Machines Corporation Structure for reducing parasitic leakage in a memory array with merged isolation and node trench construction

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO9734323A1 *

Also Published As

Publication number Publication date
DE19609678A1 (de) 1997-09-18
DE19609678C2 (de) 2003-04-17
KR19990087642A (ko) 1999-12-27
US6180979B1 (en) 2001-01-30
JP2000506315A (ja) 2000-05-23
WO1997034323A1 (fr) 1997-09-18

Similar Documents

Publication Publication Date Title
WO1997034323A1 (fr) Agencement de cellules memoire avec transistors mos verticaux et procede de production correspondant
EP0788165B1 (fr) Système de cellules de mémoire et son procédé de fabrication
DE19514834C1 (de) Festwertspeicherzellenanordnung und Verfahren zu deren Herstellung
DE19600423C2 (de) Elektrisch programmierbare Speicherzellenanordnung und Verfahren zu deren Herstellung
DE19808182C1 (de) Elektrisch programmierbare Speicherzellenanordnung und ein Verfahren zu deren Herstellung
DE4437581C2 (de) Verfahren zur Herstellung einer Festwertspeicherzellenanordnung mit vertikalen MOS-Transistoren
EP0946985B1 (fr) Agencement de cellules de memoire et son procede de fabrication
WO1997003469A1 (fr) Systeme de cellules de memoire morte programmable effaçable electriquement et procede de fabrication dudit systeme
EP1116270A1 (fr) Ensemble circuit integre comportant des transistors verticaux, et son procede de production
EP0917203A2 (fr) Structure de type gain-cell pour DRAM et son procédé de manufacture
EP1129482B1 (fr) Procédé de production d'une configuration de cellules DRAM
EP0896735B1 (fr) Configuration de cellules de memoire dans laquelle des transistors mos verticaux presentent au moins trois differentes valeurs de tension de seuil en fonction de l'information memorisee, et procede permettant de la realiser
DE10212932B4 (de) Trenchzelle für ein DRAM-Zellenfeld
DE102005001904A1 (de) Halbleiterspeicher, Halbleiterbauteil und Verfahren zu deren Herstellung
EP0864177B1 (fr) Groupement de cellules de memoire morte et procede de fabrication correspondant
DE4337355C2 (de) Verfahren zur Herstellung eines Kontaktlochs zu einem dotierten Bereich
DE19923262C1 (de) Verfahren zur Erzeugung einer Speicherzellenanordnung
DE19544327C2 (de) Festwert-Speicherzellenanordnung und Verfahren zu deren Herstellung
DE102006036098A1 (de) Wiederprogrammierbare nichtflüchtige Speicherzelle

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 19980903

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): DE FR GB IT

17Q First examination report despatched

Effective date: 19991230

RAP1 Party data changed (applicant data changed or rights of an application transferred)

Owner name: INFINEON TECHNOLOGIES AG

GRAG Despatch of communication of intention to grant

Free format text: ORIGINAL CODE: EPIDOS AGRA

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION HAS BEEN REFUSED

18R Application refused

Effective date: 20020303