EP0886884A1 - Memory cell arrangement with vertical mos transistors and the production process thereof - Google Patents
Memory cell arrangement with vertical mos transistors and the production process thereofInfo
- Publication number
- EP0886884A1 EP0886884A1 EP97915321A EP97915321A EP0886884A1 EP 0886884 A1 EP0886884 A1 EP 0886884A1 EP 97915321 A EP97915321 A EP 97915321A EP 97915321 A EP97915321 A EP 97915321A EP 0886884 A1 EP0886884 A1 EP 0886884A1
- Authority
- EP
- European Patent Office
- Prior art keywords
- trenches
- doped
- main surface
- flanks
- strip
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Ceased
Links
- 230000015654 memory Effects 0.000 title claims abstract description 50
- 238000004519 manufacturing process Methods 0.000 title claims description 8
- 239000002019 doping agent Substances 0.000 claims abstract description 38
- 238000005530 etching Methods 0.000 claims abstract description 26
- 238000002513 implantation Methods 0.000 claims abstract description 19
- 125000006850 spacer group Chemical group 0.000 claims abstract description 10
- 239000000758 substrate Substances 0.000 claims description 26
- 238000000034 method Methods 0.000 claims description 25
- 230000015572 biosynthetic process Effects 0.000 claims description 8
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 6
- 229920005591 polysilicon Polymers 0.000 claims description 6
- 239000004065 semiconductor Substances 0.000 claims description 6
- 239000011521 glass Substances 0.000 claims description 3
- 238000005496 tempering Methods 0.000 claims description 3
- 229910021417 amorphous silicon Inorganic materials 0.000 claims description 2
- 230000000873 masking effect Effects 0.000 claims description 2
- 239000000463 material Substances 0.000 claims 2
- 238000009792 diffusion process Methods 0.000 abstract description 7
- 210000004027 cell Anatomy 0.000 description 39
- 229910004298 SiO 2 Inorganic materials 0.000 description 9
- 229920002120 photoresistant polymer Polymers 0.000 description 9
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 8
- 229910052710 silicon Inorganic materials 0.000 description 8
- 239000010703 silicon Substances 0.000 description 8
- 238000003860 storage Methods 0.000 description 7
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 5
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 3
- 229910052796 boron Inorganic materials 0.000 description 3
- 238000000151 deposition Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 210000000352 storage cell Anatomy 0.000 description 2
- 238000003631 wet chemical etching Methods 0.000 description 2
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 239000005388 borosilicate glass Substances 0.000 description 1
- 230000005465 channeling Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000018109 developmental process Effects 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005265 energy consumption Methods 0.000 description 1
- 230000001771 impaired effect Effects 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- FAIAAWCVCHQXDN-UHFFFAOYSA-N phosphorus trichloride Chemical compound ClP(Cl)Cl FAIAAWCVCHQXDN-UHFFFAOYSA-N 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B20/00—Read-only memory [ROM] devices
- H10B20/27—ROM only
- H10B20/40—ROM only having the source region and drain region on different levels, e.g. vertical channel
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B20/00—Read-only memory [ROM] devices
Definitions
- MOS transistors Semiconductor-based read-only memories are known for storing smaller amounts of data. In many cases, these are implemented as a plane integrated silicon circuit in which MOS transistors are used as memory cells. The transistors are selected via the gate electrode, which is connected to the word line. The input of the MOS transistor is connected to a reference line, the output to a bit line. The reading process evaluates whether a current flows through the transistor or not. The logical values zero and one are assigned accordingly.
- the storage of zero and one is effected in that no MOS transistor is produced in memory cells in which the logic value associated with the state "no current flow through the transistor" is stored or no conductive connection to the bit line is realized MOS transistors can be realized for the two logical values, which have different threshold voltages due to different dopant concentrations in the channel region.
- semiconductor-based memories allow random access to the stored information.
- the one for reading the The electrical power required for information is significantly smaller than in the aforementioned storage systems with mechanically moving parts. As no moving parts are required, mechanical wear and sensitivity to vibrations are also eliminated.
- Semiconductor-based memories can therefore also be used for mobile systems.
- the silicon memories described usually have a planar structure. This means that a minimum space requirement is required per memory cell, which in the best case is 4 F ⁇ , where F is the smallest structure size that can be produced in the respective technology.
- a read-only memory cell arrangement is known, the memory cells of which comprise MOS transistors. These MOS transistors are arranged along trenches such that a source region adjoins the bottom of the trench, a drain region adjoins the surface of the substrate and a channel region both vertically to the surface of the substrate and parallel to the surface of the substrate on the flank and Bottom of the trench adjoins.
- the surface of the channel area is provided with a gate dielectric.
- the gate electrode is designed as a flank covering (spacer). The logical values zero and one are distinguished by different threshold voltages which are brought about by channel implantation.
- the implanting ions hit the surface of the respective trench at such an angle that is specifically implanted along one flank by shadowing effects of the opposite flank.
- the word lines run as spacers along the flanks of the trenches.
- JP-OS 4-226071 which comprises vertical MOS transistors arranged as memory cells on the flanks of trenches. Diffusion runs on the bottom of trenches and between adjacent trenches. ons regions, which each form the source / drain regions of the vertical MOS transistors.
- the word lines, which comprise the gate electrodes of the vertical MOS transistors, run perpendicular to the trenches.
- the threshold voltage of the vertical MOS transistors is set by an angled implant.
- a memory cell arrangement is known from US Pat. No. 4,663,644 which comprises vertical MOS transistors as memory cells. These vertical MOS transistors are each arranged on the flanks of trenches.
- the word lines which each comprise the gate electrodes of the vertical MOS transistors, are arranged in the trenches. Two word lines are arranged in each trench.
- the bit lines are implemented as conductor tracks on the surface of the substrate.
- the contact between the bit lines and the respective source / drain regions, which adjoin the surface of the substrate, is realized via a contact hole.
- the source / drain regions, which adjoin the bottom of the trenches, are implemented as a continuous doped layer and are set to reference potential.
- the information is stored in this memory cell arrangement in the form of threshold voltages of different levels for the MOS transistors.
- the different threshold voltages are realized by different dopant concentrations in the channel region of the MOS transistors.
- a doped layer is deposited and structured in such a way that flanks in which increased dopant concentrations are to be formed remain covered by the structured dopant layer.
- the channel regions with an increased dopant concentration are formed by diffusion out of the structured dopant layer.
- the invention is based on the problem of specifying a memory cell arrangement based on semiconductors in which an increased memory density is achieved and which can be produced with a few production steps and with a high yield. Of- Furthermore, a method for producing such a memory cell arrangement is to be specified.
- memory cells are provided in a substrate, each of which comprises a MOS transistor vertical to the main surface.
- a substrate made of monocrystalline silicon or the silicon layer of an SOI substrate is preferably used as the substrate.
- the vertical MOS transistors have different threshold voltages depending on the stored information.
- the MOS transistors are driven at a voltage level at which the MOS transistors conduct with a lower threshold voltage and those with a higher threshold voltage do not conduct.
- Strip-shaped trenches running essentially parallel are provided in the substrate. Strip-shaped doped regions are arranged on the bottom of the trenches and on the main area between adjacent trenches, which are doped with a second conductivity type opposite to the first. Gate dielectrics are arranged on the flanks of the trenches. Word lines are provided which run transversely to the trenches and which comprise gate electrodes for the vertical MOS transistors in the region of the flanks of the trenches.
- the vertical MOS transistors each consist of two strip-shaped doped regions adjacent to the same flank of one of the trenches, which act as a source / drain region, the flank of the trench arranged therebetween, the gate dielectric and the part arranged above one of the words - lines formed.
- the striped doped areas are used as bit or reference line in the operation of the memory cell arrangement.
- memory cells in which predetermined information is stored have a dopant region in the upper region of the flank of the trench, the extent of the dopant perpendicular to the main surface being less than the depth of the trenches.
- the doping regions are preferably doped with the same conductivity type as the channel regions, but with an increased doping concentration. In this case, the threshold voltage increases. They can also be doped from the opposite conductivity type, in which case the threshold voltage drops.
- the invention makes use of the knowledge that the threshold voltage of a MOS transistor can also be set by a locally inhomogeneous dopant concentration in the channel region.
- the parts of the dopant region and its precise adjustment with respect to the associated word line are therefore not critical.
- the vertical MOS transistors have more than two different threshold voltages.
- the dopant regions are realized with different dopant concentrations in the flanks.
- the distance between adjacent trenches is preferably selected such that it is substantially equal to the width of the trenches.
- the distance between adjacent word lines is also chosen equal to the width of the word lines. If the width of the trenches and the width of the word lines correspond to the minimum structure width F in the respective
- stripe-shaped trenches are preferably formed in a main surface of a substrate, said trenches running essentially parallel.
- Strip-shaped doped regions are formed on the bottom of the trenches and on the main surface between adjacent trenches, which are doped from a second conductivity type opposite to the first.
- a mask layer is applied, which has an essentially conformal edge covering.
- a mask for example made of photoresist, is produced on the mask layer and has openings.
- the mask layer is structured using the mask so that in the area of the openings
- the main surface and the surface of the trenches are exposed.
- the flanks of trenches in the area of the openings are only partially exposed, so that a residue of the mask layer remains on these flanks in the lower area of the trenches.
- the trenches are preferably formed by anisotropic etching using a trench mask.
- the stripe-shaped doped regions on the bottom of the trenches and on the main area between adjacent trenches are preferably produced by an implantation after the trench formation and after removal of the trench mask. It is advantageous to include the flanks of the trenches before the implantation
- the stripe-shaped doped regions can be produced by creating a doped region on the main surface before the trenches are formed, which covers the entire memory cell array. When the trenches are opened, this doped region is divided into the strip-like doped regions on the main surface.
- the strip-shaped doped regions at the bottom of the trenches are produced by ion implantation after the trenches have opened. When using a trench mask, it is advantageous to leave it as a mask on the main surface during the implantation.
- the mask layer is preferably structured by anistropic etching.
- the mask layer can also be structured by combined isotropic and anisotropic etching. The etching is selective to the substrate.
- the main surface and the bottoms of the trenches are exposed in the region of the openings.
- the etching attack on the exposed main area and the exposed bottoms of the trenches which is inevitable because of the finite selectivity of the etching, is reduced.
- the threshold voltage only depends on the dopant concentration in the channel area, both the exact depth of the dopant area and its lateral adjustment with respect to the arrangement of the gate electrodes are not critical.
- the dopant regions are preferably formed in the exposed flank parts by an angled implantation.
- the implantation is preferably carried out with an inclination angle in the range between 20 ° and 30 ° against the normal of the Main area. Such inclination angles are provided as standard in many implantation systems to avoid the channeling effect.
- the dopant regions are produced by diffusion out of a doped layer.
- the doped layer is applied over the entire surface above the structured mask layer.
- the doped layer is preferably formed from doped glass, doped polysilicon or doped amorphous silicon.
- doped glass has the advantage that in this case the doped layer can be selectively removed from the substrate.
- the mask used for structuring can be removed in order to avoid shadowing by the mask during the subsequent implantation.
- the method according to the invention can thus also be used for trench widths which can be significantly smaller than in the storage cell arrangement known from DE 42 14 923 A1.
- the mask for structuring the mask layer is formed from photoresist, the photoresist does not have to be exposed to the bottom of the trench during the exposure for programming.
- Modern exposure steppers with a focus depth of ⁇ 0.5 ⁇ m can thus also be used in the method according to the invention. Because the mask layer at the bottom of the trench is not necessarily removed unexposed photoresist can remain on the bottom of the trench in the method according to the invention. This avoids exposure problems over the full topology of the trench.
- FIG. 1 shows a substrate with a trough doped with a first conductivity type.
- FIG. 2 shows the substrate after the etching of stripe-shaped trenches.
- FIG. 3 shows the substrate after the formation of stripe-shaped doped regions on the bottoms of the trenches and between adjacent trenches on the main surface.
- FIG. 4 shows the substrate after the application of a mask layer and the formation of a mask.
- FIG. 5 shows the substrate after structuring the mask layer.
- FIG. 6 shows the substrate after the application of a doped layer.
- FIG. 7 shows the substrate after the formation of dopant regions in the flanks of the trenches and after the formation of word lines running transversely to the trenches.
- FIG. 8 shows a plan view of the substrate after the word lines have been formed.
- a substrate 1 of, for example, p-doped silicon with a dopant concentration monokri ⁇ stallinem of 5 x l ⁇ l5 cm "3 is in a major surface 2 by implantation and subsequent heat-doped p-a trough 3 with a dopant concentration of 2 x 10- * - 7 cm ⁇ ⁇ generated (see Figure 1.)
- a scattering oxide with a thickness of, for example, 50 nm (not shown) is used, which after driving in the p-doped well 3 with 180 keV, 7 x 10 ⁇ 2 cm ⁇ 2.
- the p-doped well 3 extends at least over an area for one cell field.
- An SiO 2 "layer is deposited on the main surface 2 in a layer thickness of, for example, 300 nm, for example in a TEOS process.
- the SiO 2 layer is structured with the aid of photolithographic process steps, a trench mask 4 being formed.
- the trench mask 4 has stripes
- the strip-shaped openings in the trench mask 4 have a width of, for example, 0.4 ⁇ m, a length of, for example, 125 ⁇ m and a distance of 0.4 ⁇ m.
- trenches 5 are etched into the main surface 2 of the substrate 1 in an anisotropic etching process, for example using HBr, He, O2, NF3.
- Trenches 5 have a strip-shaped cross section corresponding to the openings of the trench mask 4 parallel to the main surface 2. They have a width of for example 0.4 ⁇ m, a length of for example 125 ⁇ m and a distance of for example 0.4 ⁇ m. The depth of the trenches is, for example, 0.6 ⁇ m (see FIG. 2). For example, 32 parallel trenches 5 are formed. The trench mask 4 is then removed using, for example, HF dip. In order to improve the quality of the crystal surfaces, an SiO 2 layer 6 (so-called sacrificial oxide) with a thickness of, for example, 20 nm is produced by thermal oxidation (see FIG. 3).
- SiO 2 layer 6 silicacrificial oxide
- SiO 2 spacers 7 and the SiO 2 layer 6 are then removed, for example by wet chemical etching with HF dip.
- a mask layer 9 with an essentially conformal edge covering is deposited from SiO 2, for example in a TEOS process.
- the mask layer 9 is deposited in a layer thickness of 60 to 80 nm (see FIG. 4).
- a mask 10 is then formed, for example, from photoresist using photolithographic process steps.
- the mask 10 has openings 11 in the cell field.
- the openings 11 are adjusted so that they overlap at least one flank of the trenches 5.
- the dimensions of the openings 11 parallel to the main surface 2 each correspond to the width of the trenches 5. Larger dimensions of the openings 11 result from the collapse of adjacent openings.
- the mask 10 is adjusted so that the openings 11 are arranged to overlap the flanks of the trenches 5.
- the openings 11 likewise have minimal dimensions of F x F.
- the adjustment accuracy is, for example, F / 2 to F / 3 .
- the mask layer 9 is structured in an anisotropic etching process, for example using HBr, CI2, He.
- the mask 10 acts as an etching mask. In this case, 5 etching residues 9 'remain in the region of the openings 11 on the flanks of the trenches. In the area of the openings 11, the silicon surface is exposed on the bottoms of the trenches 5 and on the main surface 2 between adjacent trenches 5. Under the mask 10, however, the mask layer 9 is not attacked.
- the patterning of the mask layer 9 takes place in an etching process which is selective for silicon. However, due to the limited selectivity, there is an etching attack on the exposed silicon surfaces. Since the etching residues 9 ′ remain on the flanks of the trenches 5, the etching attack on the exposed silicon surfaces that is unavoidable due to the finite selectivity is reduced.
- the height of the etching residues 9 ′ is less than the depth of the strip-shaped, doped regions 8 arranged on the main surface 2.
- the height of the etching residues 9 ' is, for example, 300 nm.
- the exact height of the etching residues 9' is not critical as long as part of the trench wall is exposed below the strip-shaped doped region 8 adjacent to the trench wall.
- Parts of the mask layer 9 exposed at the bottom of the trenches 5 by the mask 10 are removed during the structuring of the mask layer 9. In the event that when the mask 10 is formed from photoresist, the photoresist has not been exposed to the bottom of the trenches 5, the mask layer 9 at the bottom of the trenches 5 is covered by unexposed photoresist.
- the mask layer 9 is not attacked during the anisotropic etching at the bottom of the trenches 5 and the bottom of the trenches 5 remains covered by the mask layer 9. This is not critical for the further course of the method according to the invention.
- the mask 10 is removed (see FIG. 5).
- a thin scattering oxide (approx. 10 nm) is then deposited using a TEOS process (not shown).
- two angled implantations with boron are carried out with a dose of 10 ⁇ 3 c ⁇ 2 to 5 x 10 ⁇ - cm ⁇ 2 and an energy of 60 keV.
- the angle of inclination relative to the normal of the main surface 2 is 20 ° to 30 °, and - 20 ° to - 30 °.
- dopant regions 12 are formed in the exposed flanks of the trenches 5 above the etching residues 9 '(see FIG. 7).
- the Dotierstoff capableen 12 is a dopant concentration of some 10 17 cm -3, 8 x 10 ⁇ preferred wise adjusted to 7 cm ⁇ 3 f.
- the doping in the stripe-shaped doped regions is 8 10 ⁇ 1 cm "3, the implantation of boron in this area can be tolerated.
- the mask 10 is formed, 5 unexposed photoresist remains at the bottom of the trenches and the Bottoms of the trenches 5 are covered with the mask layer 9, boron is not implanted into the strip-shaped doped regions 8 arranged at the bottom of the trenches 5.
- the formation of the dopant regions 12 in the exposed flanks of the trenches 5 is not impaired by this.
- the dopant regions 12 are formed in the flanks of the trenches 5 by diffusion out of a doped layer 13.
- the doped layer 13 for example made of borosilicate glass, is deposited over the entire surface in a layer thickness of 50 nm (see FIG. 6).
- the doping areas 12 are produced by out-diffusion.
- the doped layer 13 is removed, for example with an HF dip.
- a gate dielectric 14 is produced, for example by thermal oxidation, in a layer thickness of 10 nm, for example.
- the vertical MOS transistors are each formed from two strip-shaped doped regions 8 which adjoin the same flank of one of the trenches 5, the part of the trough 3 arranged in between as a channel region, the gate dielectric 14 and the part of one of the word lines 15 adjoining it.
- the extent of the vertical MOS transistor parallel to the course of the strip-shaped trenches 5 is given by the width of the word lines 15.
- MOS transistors that are adjacent along an edge of one of the trenches are separated by the distance between adjacent word lines 15 separated from each other.
- the strip-shaped doped regions 8 each run over the entire cell field. They form lines which, depending on the circuitry, are used as bit lines or reference lines and which connect the source / drain regions of MOS transistors adjacent along a trench.
- the vertical MOS transistor has an increased threshold voltage or not.
- the information stored in the memory cell arrangement is stored in the presence or absence of the dopant regions 12.
- the programming of the memory cell arrangement is therefore carried out when the mask layer 9 is structured.
- the arrangement of the openings 11 in the mask 10 transfers the information into the memory cell arrangement.
- the strip-shaped doped regions 8 are used as bit or reference lines for reading out the memory cells.
- the memory cell to be evaluated is selected via the word line.
- a control signal is applied to the word line, the voltage level of which lies between the threshold voltage of the MOS transistors with dopant region 12 in the channel region and that of the MOS transistors without dopant region 12 in the channel region. With this control signal, the MOS transistors without dopant region 12 in the channel region become conductive, while the MOS transistors with dopant region 12 in the channel region, which have an increased threshold voltage, continue to block.
- it is evaluated whether a current flows between the associated strip-shaped doped regions 8 or not.
- FIG. 8 shows a top view of the memory cell arrangement. The course of the word lines 15 across the trenches 5 is shown. Furthermore, the stripe-shaped, doped areas 8 entered, which run at the bottom of the trenches 5 and between adjacent trenches 5. Doping regions 12 are entered in the flanks of the trenches as a dashed contour.
- Each memory cell comprises a vertical MOS transistor, which has an extent of 2 F parallel to the course of the stripe-shaped trenches 5 and an extent of F perpendicular to the course of the stripe-shaped trenches 5.
- the space requirement per memory cell is therefore 2 F 2 .
- the production of the memory cell arrangement is concluded with the deposition of an intermediate dielectric, the opening of contact holes and the production of a metallization (not shown).
Landscapes
- Semiconductor Memories (AREA)
Abstract
In a memory cell arrangement which includes vertical MOS transistors as the memory cells, information is stored by different threshold voltages of the transistors. Dopant regions are formed for an information state by angular implantation or diffusion in the upper part of the channel region. The lower part of the channel region is consequently covered by an etching residue (9') which is produced by a masked spacer etching. The arrangement can be produced with a surface requirement of 2 F2 (F being the minimum structural size) per memory cell.
Description
Beschreibungdescription
SPEICHERZELLENANORDNUNG MIT VERTIKALEN MOS-TRANSISTOREN UND DEREN HERSTELLUNGSVERFAHRENSTORAGE CELL ARRANGEMENT WITH VERTICAL MOS TRANSISTORS AND THEIR PRODUCTION METHOD
Zur Abspeicherung großer Datenmengen, zum Beispiel für DV- Anwendungen oder zur digitalen Abspeicherung von Musik oder Bildern, werden derzeit hauptsachlich Speichersysteme mit me¬ chanisch bewegten Teilen wie zum Beispiel Festplattenspei¬ cher, Floppy-Discs oder Kompaktdiscs verwendet. Die bewegten Teile sind mechanischem Verschleiß unterworfen. Ferner benö¬ tigen sie vergleichsweise viel Volumen und erlauben nur einen langsamen Datenzugriff. Da sie darüber hinaus erschütterungs- und lageempfindlich sind und einen vergleichsweise hohen Energieverbrauch zu ihrem Betrieb haben, sind diese Speicher- Systeme in mobilen Systemen nur begrenzt einsetzbar.For the storage of large amounts of data, for example for DV applications or for the digital storage of music or images, storage systems with mechanically moving parts such as, for example, hard disk memories, floppy disks or compact discs are currently used. The moving parts are subject to mechanical wear. Furthermore, they require a comparatively large volume and only permit slow data access. Since they are also sensitive to vibrations and situations and have a comparatively high energy consumption for their operation, these storage systems can only be used to a limited extent in mobile systems.
Zur Speicherung kleinerer Datenmengen sind Festwertspeicher auf Halbleiterbasis bekannt. Vielfach werden diese als plane¬ re integrierte Siliziumschaltung realisiert, in der als Spei- cherzellen MOS-Transistoren verwendet werden. Die Transisto¬ ren werden über die Gateelektrode, die mit der Wortleitung verbunden ist, ausgewählt. Der Eingang des MOS-Transistors ist mit einer Referenzleitung verbunden, der Ausgang mit ei¬ ner Bitleitung. Beim Lesevorgang wird bewertet, ob ein Strom durch den Transistor fließt oder nicht. Entsprechend werden die logischen Werte Null und Eins zugeordnet. Technisch wird die Speicherung von Null und Eins dadurch bewirkt, daß in Speicherzellen, in denen der dem Zustand »kein Stromfluß durch den Transistor" zugeordnete logische Wert gespeichert ist, kein MOS-Transistor hergestellt wird oder keine leitende Verbindung zur Bitleitung realisiert wird. Alternativ können für die beiden logischen Werte MOS-Transistoren realisiert werden, die durch unterschiedliche Dotierstoffkonzentrationen im Kanalgebiet unterschiedliche Einsatzspannungen aufweisen.Semiconductor-based read-only memories are known for storing smaller amounts of data. In many cases, these are implemented as a plane integrated silicon circuit in which MOS transistors are used as memory cells. The transistors are selected via the gate electrode, which is connected to the word line. The input of the MOS transistor is connected to a reference line, the output to a bit line. The reading process evaluates whether a current flows through the transistor or not. The logical values zero and one are assigned accordingly. Technically, the storage of zero and one is effected in that no MOS transistor is produced in memory cells in which the logic value associated with the state "no current flow through the transistor" is stored or no conductive connection to the bit line is realized MOS transistors can be realized for the two logical values, which have different threshold voltages due to different dopant concentrations in the channel region.
Diese Speicher auf Halbleiterbasis erlauben einen wahlfreien Zugriff auf die gespeicherte Information. Die zum Lesen der
Information erforderliche elektrische Leistung ist deutlich kleiner als bei den erwähnten Speichersystemen mit mechanisch bewegten Teilen. Da keine bewegten Teile erforderlich sind, entfällt hier auch der mechanische Verschleiß und die E p- findlichkeit gegenüber Erschütterungen. Speicher auf Halblei¬ terbasis sind daher auch für mobile Systeme einsetzbar.These semiconductor-based memories allow random access to the stored information. The one for reading the The electrical power required for information is significantly smaller than in the aforementioned storage systems with mechanically moving parts. As no moving parts are required, mechanical wear and sensitivity to vibrations are also eliminated. Semiconductor-based memories can therefore also be used for mobile systems.
Die beschriebenen Siliziumspeicher weisen meist einen plana- ren Aufbau auf. Damit wird pro Speicherzelle ein minimaler Flächenbedarf erforderlich, der im günstigsten Fall bei 4 F^ liegt, wobei F die in der jeweiligen Technologie kleinste herstellbare Strukturgröße ist.The silicon memories described usually have a planar structure. This means that a minimum space requirement is required per memory cell, which in the best case is 4 F ^, where F is the smallest structure size that can be produced in the respective technology.
Aus DE 42 14 923 AI ist eine Festwertspeicherzellenanordnung bekannt, deren Speicherzellen MOS-Transistoren umfassen. Die¬ se MOS-Transistoren sind entlang von Gräben so angeordnet, daß ein Sourcegebiet an den Boden des Grabens angrenzt, ein Draingebiet an die Oberfläche des Substrats angrenzt und ein Kanalgebiet sowohl vertikal zur Oberfläche des Substrats als auch parallel zur Oberfläche des Substrats an Flanke und Bo¬ den des Grabens angrenzt. Die Oberfläche des Kanalgebietes ist mit einem Gatedielektrikum versehen. Die Gateelektrode ist als Flankenbedeckung (Spacer) ausgebildet. Die logischen Werte Null und Eins werden durch unterschiedliche Einsatz- Spannungen, die durch Kanalimplantation bewirkt werden, un¬ terschieden. Bei der Kanalimplantation treffen die implantie¬ renden Ionen unter einem solchen Winkel auf die Oberfläche des jeweiligen Grabens, das durch Abschattungseffekte der ge¬ genüberliegenden Flanke gezielt nur entlang einer Flanke i - plantiert wird. Die Wortleitungen verlaufen in dieser Spei¬ cherzellenanordnung als Spacer entlang den Flanken der Grä¬ ben.From DE 42 14 923 AI a read-only memory cell arrangement is known, the memory cells of which comprise MOS transistors. These MOS transistors are arranged along trenches such that a source region adjoins the bottom of the trench, a drain region adjoins the surface of the substrate and a channel region both vertically to the surface of the substrate and parallel to the surface of the substrate on the flank and Bottom of the trench adjoins. The surface of the channel area is provided with a gate dielectric. The gate electrode is designed as a flank covering (spacer). The logical values zero and one are distinguished by different threshold voltages which are brought about by channel implantation. In the case of channel implantation, the implanting ions hit the surface of the respective trench at such an angle that is specifically implanted along one flank by shadowing effects of the opposite flank. In this memory cell arrangement, the word lines run as spacers along the flanks of the trenches.
Aus JP-OS 4-226071 ist eine weitere Speicherzellenanordnung bekannt, die als Speicherzellen an den Flanken von Gräben an¬ geordnete vertikale MOS-Transistoren umfaßt. Dabei verlaufen am Boden von Gräben und zwischen benachbarten Gräben Diffusi-
onsgebiete, die jeweils die Source/Drain-Gebiete der vertika¬ len MOS-Transistoren bilden. Die Wortleitungen, die die Ga¬ teelektroden der vertikalen MOS-Transistoren umfassen, ver¬ laufen senkrecht zu den Gräben. Die Einsatzspannung der ver- tikalen MOS-Transistoren wird durch eine gewinkelte Implanta¬ tion eingestellt.A further memory cell arrangement is known from JP-OS 4-226071, which comprises vertical MOS transistors arranged as memory cells on the flanks of trenches. Diffusion runs on the bottom of trenches and between adjacent trenches. ons regions, which each form the source / drain regions of the vertical MOS transistors. The word lines, which comprise the gate electrodes of the vertical MOS transistors, run perpendicular to the trenches. The threshold voltage of the vertical MOS transistors is set by an angled implant.
Aus US-PS 4 663 644 ist eine Speicherzellenanordnung bekannt, die als Speicherzellen vertikale MOS-Transistoren umfaßt. Diese vertikalen MOS-Transistoren sind jeweils an den Flanken von Gräben angeordnet. Die Wortleitungen, die jeweils die Ga¬ teelektroden der vertikalen MOS-Transistoren umfassen, sind in den Gräben angeordnet. In jedem Graben sind zwei Wortlei¬ tungen angeordnet. Die Bitleitungen sind als Leiterbahnen auf der Oberfläche des Substrats realisiert. Der Kontakt zwischen den Bitleitungen und den jeweiligen Source/Drain-Gebieten, die an die Oberfläche des Substrats angrenzen, ist über ein Kontaktloch realisiert. Die Source/Drain-Gebiete, die an den Boden der Gräben angrenzen, sind als durchgehende dotierte Schicht realisiert und werden auf Referenzpotential gelegt. In dieser Speicherzellenanordnung wird die Information in Form unterschiedlich hoher Einsatzspannungen der MOS- Transistoren gespeichert. Die unterschiedlichen Einsatzspan¬ nungen werden durch unterschiedliche Dotierstoffkonzentratio- nen im Kanalgebiet der MOS-Transistoren realisiert. Zur Bil¬ dung einer erhöhten Dotierstoffkonzentration im Kanalgebiet wird eine dotierte Schicht abgeschieden und so strukturiert, daß Flanken, in denen erhöhte Dotierstoffkonzentrationen ge¬ bildet werden sollen, von der strukturierten Dotierstoff- schicht bedeckt bleiben. Durch Ausdiffusion aus der struktu¬ rierten Dotierstoffschicht werden die Kanalbereiche mit er¬ höhter Dotierstoffkonzentration gebildet.A memory cell arrangement is known from US Pat. No. 4,663,644 which comprises vertical MOS transistors as memory cells. These vertical MOS transistors are each arranged on the flanks of trenches. The word lines, which each comprise the gate electrodes of the vertical MOS transistors, are arranged in the trenches. Two word lines are arranged in each trench. The bit lines are implemented as conductor tracks on the surface of the substrate. The contact between the bit lines and the respective source / drain regions, which adjoin the surface of the substrate, is realized via a contact hole. The source / drain regions, which adjoin the bottom of the trenches, are implemented as a continuous doped layer and are set to reference potential. The information is stored in this memory cell arrangement in the form of threshold voltages of different levels for the MOS transistors. The different threshold voltages are realized by different dopant concentrations in the channel region of the MOS transistors. To form an increased dopant concentration in the channel region, a doped layer is deposited and structured in such a way that flanks in which increased dopant concentrations are to be formed remain covered by the structured dopant layer. The channel regions with an increased dopant concentration are formed by diffusion out of the structured dopant layer.
Der Erfindung liegt das Problem zugrunde, eine Speicherzel- lenanordnung auf Halbleiterbasis anzugeben, bei der eine er¬ höhte Speicherdichte erzielt wird und die mit wenigen Her¬ stellungsschritten und hoher Ausbeute herstellbar ist. Des-
weiteren soll ein Verfahren zur Herstellung einer solchen Speicherzellenanordnung angegeben werden.The invention is based on the problem of specifying a memory cell arrangement based on semiconductors in which an increased memory density is achieved and which can be produced with a few production steps and with a high yield. Of- Furthermore, a method for producing such a memory cell arrangement is to be specified.
Dieses Problem wird erfindungsgemäß gelöst durch eine Spei- cherzellenanordnung nach Anspruch 1 sowie ein Verfahren zu deren Herstellung nach Anspruch 3. Weitere Ausgestaltungen der Erfindung ergeben sich aus den Unteransprüchen.According to the invention, this problem is solved by a memory cell arrangement according to claim 1 and a method for its production according to claim 3. Further developments of the invention result from the subclaims.
In der erfindungsgemäßen Speicherzellenanordnung sind in ei- nem Substrat Speicherzellen vorgesehen, die jeweils einen zur Hauptfläche vertikalen MOS-Transistor umfassen. Als Substrat wird vorzugsweise ein Substrat aus monokristallinem Silizium oder die Siliziumschicht eines SOI-Substrats verwendet. Die vertikalen MOS-Transistoren weisen je nach gespeicherter In- formation unterschiedliche Einsatzspannungen auf.In the memory cell arrangement according to the invention, memory cells are provided in a substrate, each of which comprises a MOS transistor vertical to the main surface. A substrate made of monocrystalline silicon or the silicon layer of an SOI substrate is preferably used as the substrate. The vertical MOS transistors have different threshold voltages depending on the stored information.
Zum Auslesen der Information werden die MOS-Transistoren mit einem Spannungspegel angesteuert, bei dem die MOS- Transistoren mit geringerer Einsatzspannung leiten und die mit höherer Einsatzspannung nicht leiten.To read out the information, the MOS transistors are driven at a voltage level at which the MOS transistors conduct with a lower threshold voltage and those with a higher threshold voltage do not conduct.
In dem Substrat sind streifenförmige, im wesentlichen paral¬ lel verlaufende Gräben vorgesehen. Am Boden der Gräben und an der Hauptfläche zwischen benachbarten Gräben sind streifen- förmige dotierte Gebiete angeordnet, die von einem zweiten, dem ersten entgegengesetzten Leitfähigkeitstyp dotiert sind. An den Flanken der Gräben sind jeweils Gatedielektrika ange¬ ordnet. Es sind Wortleitungen vorgesehen, die quer zu den Gräben verlaufen und die im Bereich der Flanken der Gräben Gateelektroden für die vertikalen MOS-Transistoren umfassen. Die vertikalen MOS-Transistoren werden jeweils aus zwei an dieselbe Flanke eines der Gräben angrenzenden streifenförmi- gen dotierten Gebiete, die als Source/Drain-Gebiet wirken, die dazwischen angeordnete Flanke des Grabens, das Gatedie- lektrikum und den darüber angeordneten Teil einer der Wort- leitungen gebildet. Die streifenförmigen dotierten Gebiete
werden im Betrieb der Speicherzellenanordnung als Bit- bzw. Referenzleitung verwendet.Strip-shaped trenches running essentially parallel are provided in the substrate. Strip-shaped doped regions are arranged on the bottom of the trenches and on the main area between adjacent trenches, which are doped with a second conductivity type opposite to the first. Gate dielectrics are arranged on the flanks of the trenches. Word lines are provided which run transversely to the trenches and which comprise gate electrodes for the vertical MOS transistors in the region of the flanks of the trenches. The vertical MOS transistors each consist of two strip-shaped doped regions adjacent to the same flank of one of the trenches, which act as a source / drain region, the flank of the trench arranged therebetween, the gate dielectric and the part arranged above one of the words - lines formed. The striped doped areas are used as bit or reference line in the operation of the memory cell arrangement.
Zur Realisierung der unterschiedlichen Schwellenspannungen weisen Speicherzellen, in denen eine vorbestimmte Information gespeichert ist, im oberen Bereich der Flanke des Grabens ein Dotierstoffgebiet auf, dessen Ausdehnung senkrecht zur Hauptfläche geringer als die Tiefe der Gräben ist. Die Do¬ tierstoffgebiete werden vorzugsweise von demselben Leitfähig- keitstyp wie die Kanalbereiche jedoch mit erhöhter Dotier- stoffkonzentration dotiert. In diesem Fall steigt die Ein¬ satzspannung an. Sie können auch vom entgegengesetzten Leit¬ fähigkeitstyp dotiert werden, hier sinkt dann die Einsatz¬ spannung.In order to implement the different threshold voltages, memory cells in which predetermined information is stored have a dopant region in the upper region of the flank of the trench, the extent of the dopant perpendicular to the main surface being less than the depth of the trenches. The doping regions are preferably doped with the same conductivity type as the channel regions, but with an increased doping concentration. In this case, the threshold voltage increases. They can also be doped from the opposite conductivity type, in which case the threshold voltage drops.
Die Erfindung macht sich dabei die Erkenntnis zunutze, daß die Einsatzspannung eines MOS-Transistors auch durch eine lo¬ kal inhomogene Dotierstoffkonzentration im Kanalbereich ein¬ stellbar ist. Die Teile des Dotierstoffgebietes und dessen genaue Justierung bezüglich der zugehörigen Wortleitung sind damit unkritisch.The invention makes use of the knowledge that the threshold voltage of a MOS transistor can also be set by a locally inhomogeneous dopant concentration in the channel region. The parts of the dopant region and its precise adjustment with respect to the associated word line are therefore not critical.
Soll die Speicherzellenanordnung im Sinne einer Mehrwertlogik eingesetzt werden, so liegt es im Rahmen der Erfindung, daß die vertikalen MOS-Transistoren mehr als zwei unterschiedli¬ che Einsatzspannungen aufweisen. In diesem Fall werden die Dotierstoffgebiete mit unterschiedlichen Dotierstoffkonzen¬ trationen in den Flanken realisiert.If the memory cell arrangement is to be used in the sense of a multi-value logic, it is within the scope of the invention that the vertical MOS transistors have more than two different threshold voltages. In this case, the dopant regions are realized with different dopant concentrations in the flanks.
Vorzugsweise wird der Abstand zwischen benachbarten Gräben so gewählt, daß er im wesentlichen gleich der Breite der Gräben ist. Der Abstand zwischen benachbarten Wortleitungen wird ebenfalls gleich der Breite der Wortleitungen gewählt. Wird die Breite der Gräben und die Breite der Wortleitungen ent- sprechend der minimalen Strukturbreite F in der jeweiligenThe distance between adjacent trenches is preferably selected such that it is substantially equal to the width of the trenches. The distance between adjacent word lines is also chosen equal to the width of the word lines. If the width of the trenches and the width of the word lines correspond to the minimum structure width F in the respective
Technologie gewählt, so ergibt sich für die Speicherzelle ein Platzbedarf von 2 F2. Legt man eine minimale Strukturbreite
von F = 0,4 um zugrunde, so wird in der Speicherzellenanord¬ nung eine Speicherdichte von etwa 3,1 Bit/um2 erzielt.Technology selected, the space required for the memory cell is 2 F 2 . If you set a minimum structure width of F = 0.4 µm, a storage density of approximately 3.1 bits / µm 2 is achieved in the memory cell arrangement.
Zur Herstellung der erfindungsgemäßen Speicherzellenanordnung werden vorzugsweise in einer Hauptfläche eines Substrats streifenförmige Gräben gebildet, die im wesentlichen.parallel verlaufen. Am Boden der Gräben und an der Hauptfläche zwi¬ schen benachbarten Gräben werden streifenförmige dotierte Ge¬ biete gebildet, die von einem zweiten, zum ersten entgegenge- setzten Leitfähigkeitstyp dotiert sind. Anschließend wird ei¬ ne Maskenschicht aufgebracht, die eine im wesentlichen kon¬ forme Kantenbedeckung aufweist. Auf der Maskenschicht wird eine Maske, zum Beispiel aus Photolack, erzeugt, die Öffnun¬ gen aufweist. Die Maskenschicht wird unter Verwendung der Maske so strukturiert, daß im Bereich der Öffnungen dieTo produce the memory cell arrangement according to the invention, stripe-shaped trenches are preferably formed in a main surface of a substrate, said trenches running essentially parallel. Strip-shaped doped regions are formed on the bottom of the trenches and on the main surface between adjacent trenches, which are doped from a second conductivity type opposite to the first. Then a mask layer is applied, which has an essentially conformal edge covering. A mask, for example made of photoresist, is produced on the mask layer and has openings. The mask layer is structured using the mask so that in the area of the openings
Hauptfläche und die Oberfläche an den Böden der Gräben frei¬ gelegt wird. Die Flanken von Gräben im Bereich der Öffnungen werden dagegen nur teilweise freigelegt, so daß an diesen Flanken im unteren Bereich der Gräben ein Rest der Masken- schicht verbleibt.The main surface and the surface of the trenches are exposed. The flanks of trenches in the area of the openings, on the other hand, are only partially exposed, so that a residue of the mask layer remains on these flanks in the lower area of the trenches.
Anschließend werden in den freigelegten Flankenteilen Dotier¬ stoffgebiete erzeugt. Nach Entfernen der strukturierten Mas¬ kenschicht wird an den Flanken der Gräben ein Gatedielektri- kum gebildet. Schließlich werden Wortleitungen gebildet, die quer zu den Gräben verlaufen.Subsequently, dopant regions are generated in the exposed flank parts. After removing the structured mask layer, a gate dielectric is formed on the flanks of the trenches. Finally, word lines are formed that run across the trenches.
Die Gräben werden vorzugsweise durch anisotropes Ätzen unter Verwendung einer Grabenmaske gebildet.The trenches are preferably formed by anisotropic etching using a trench mask.
Die streifenförmigen dotierten Gebiete am Boden der Gräben und an der Hauptfläche zwischen benachbarten Gräben werden vorzugsweise durch eine Implantation nach der Grabenbildung und nach Entfernen der Grabenmaske erzeugt. Dabei ist es vor- teilhaft, die Flanken der Gräben vor der Implantation mitThe stripe-shaped doped regions on the bottom of the trenches and on the main area between adjacent trenches are preferably produced by an implantation after the trench formation and after removal of the trench mask. It is advantageous to include the flanks of the trenches before the implantation
Spacern zu versehen, die bei der Implantation maskierend wir¬ ken. Diese Spacer werden anschließend entfernt. Die Bildung
der Gräben und der streifenförmigen dotierten Gebiete erfor¬ dert nur eine Maske.To provide spacers that have a masking effect during implantation. These spacers are then removed. The education the trenches and the stripe-shaped doped regions require only one mask.
Alternativ können die streifenförmigen dotierten Gebiete da- durch hergestellt werden, daß vor der Bildung der Gräben ein dotierter Bereich an der Hauptfläche erzeugt wird, der das gesamte Speicherzellenfeld überdeckt. Bei der Öffnung der Gräben wird dieser dotierte Bereich in die streifenförmigen dotierten Gebiete an der Hauptfläche unterteilt. Die strei- fenförmigen dotierten Gebiete am Boden der Gräben werden nach der Öffnung der Gräben durch Ionenimplantation erzeugt. Bei Verwendung einer Graben aske ist es dabei vorteilhaft, diese bei der Implantation als Maske auf der Hauptfläche zu belas¬ sen.Alternatively, the stripe-shaped doped regions can be produced by creating a doped region on the main surface before the trenches are formed, which covers the entire memory cell array. When the trenches are opened, this doped region is divided into the strip-like doped regions on the main surface. The strip-shaped doped regions at the bottom of the trenches are produced by ion implantation after the trenches have opened. When using a trench mask, it is advantageous to leave it as a mask on the main surface during the implantation.
Die Strukturierung der Maskenschicht erfolgt vorzugsweise durch anistropes Ätzen. Die Strukturierung der Maskenschicht kann jedoch auch durch kombiniertes isotropes und anisotropes Ätzen erfolgen. Das Ätzen erfolgt selektiv zu dem Substrat.The mask layer is preferably structured by anistropic etching. However, the mask layer can also be structured by combined isotropic and anisotropic etching. The etching is selective to the substrate.
In dem erfindungsgemäßen Verfahren werden zwar die Hauptflä¬ che und die Böden der Gräben im Bereich der Öffnungen freige¬ legt. Da jedoch an den Flanken der Gräben ein Rest der Mas¬ kenschicht verbleibt, wird der Ätzangriff auf die freigelegte Hauptfläche und die freigelegten Böden der Gräben, der wegen der endlichen Selektivität der Ätzung unvermeidlich ist, re¬ duziert.In the method according to the invention, the main surface and the bottoms of the trenches are exposed in the region of the openings. However, since a remainder of the mask layer remains on the flanks of the trenches, the etching attack on the exposed main area and the exposed bottoms of the trenches, which is inevitable because of the finite selectivity of the etching, is reduced.
Da die Einsatzspannung lediglich von der Dotierstoffkonzen- tration im Kanalbereich abhängt, sind sowohl die exakte Tiefe des Dotierstoffgebietes als auch dessen seitliche Justierung in bezug auf die Anordnung der Gateelektroden unkritisch.Since the threshold voltage only depends on the dopant concentration in the channel area, both the exact depth of the dopant area and its lateral adjustment with respect to the arrangement of the gate electrodes are not critical.
Die Dotierstoffgebiete werden in den freigelegten Flankentei- len vorzugsweise durch eine gewinkelte Implantation gebildet. Die Implantation erfolgt vorzugsweise mit einem Neigungswin¬ kel im Bereich zwischen 20° und 30° gegen die Normale der
Hauptfläche. Derartige Neigungswinkel sind in vielen Implan¬ tationsanlagen zur Vermeidung des Channeling-Effekts stan¬ dardmäßig vorgesehen.The dopant regions are preferably formed in the exposed flank parts by an angled implantation. The implantation is preferably carried out with an inclination angle in the range between 20 ° and 30 ° against the normal of the Main area. Such inclination angles are provided as standard in many implantation systems to avoid the channeling effect.
Alternativ werden die Dotierstoffgebiete durch Ausdiffusion aus einer dotierten Schicht erzeugt. Die dotierte Schicht wird ganzflächig oberhalb der strukturierten Maskenschicht aufgebracht. Die dotierte Schicht wird vorzugsweise aus do¬ tiertem Glas, dotiertem Polysilizium oder dotiertem amorphem Silizium gebildet. Die Verwendung von dotiertem Glas hat den Vorteil, daß die dotierte Schicht in diesem Fall selektiv zum Substrat entfernt werden kann.Alternatively, the dopant regions are produced by diffusion out of a doped layer. The doped layer is applied over the entire surface above the structured mask layer. The doped layer is preferably formed from doped glass, doped polysilicon or doped amorphous silicon. The use of doped glass has the advantage that in this case the doped layer can be selectively removed from the substrate.
Die Einführung der Maskenschicht in den erfindungsgemäßen Prozeß führt zu folgenden Vorteilen:The introduction of the mask layer into the process according to the invention leads to the following advantages:
- Es wird nur eine Maske zur Programmierung der Speicherzel- lenanordnung benötigt. Im Gegensatz dazu werden in den aus DE 42 14 923 AI und JP-OS 4-22 60 71 bekannten Speicherzel- lenanordnungen jeweils zwei Masken zur Programmierung benö¬ tigt.- Only one mask is required to program the memory cell arrangement. In contrast, two masks are required for programming in the memory cell arrangements known from DE 42 14 923 AI and JP-OS 4-22 60 71.
- Nach der Strukturierung der Maskenschicht kann die zur Strukturierung verwendete Maske entfernt werden, um bei der nachfolgenden Implantation eine Abschattung durch die Maske zu vermeiden. Damit ist das erfindungsgemäße Verfahren auch bei Grabenweiten anwendbar, die deutlich kleiner sein kön¬ nen als in der aus DE 42 14 923 AI bekannten Speicherzel¬ lenanordnung.- After structuring the mask layer, the mask used for structuring can be removed in order to avoid shadowing by the mask during the subsequent implantation. The method according to the invention can thus also be used for trench widths which can be significantly smaller than in the storage cell arrangement known from DE 42 14 923 A1.
- Wird die Maske zur Strukturierung der Maskenschicht aus Photolack gebildet, so muß der Photolack bei der Belichtung zur Programmierung nicht bis auf den Boden des Grabens durchbelichtet werden. Damit können in dem erfindungsgemä- ßen Verfahren auch moderne Belichtungsstepper verwendet werden, die eine Fokustiefe von < 0,5 um aufweisen. Da die Maskenschicht am Boden des Grabens nicht unbedingt entfernt
werden muß, kann in dem erfindungsgemäßen Verfahren am Gra¬ benboden unbelichteter Photolack verbleiben. Damit werden Belichtungsprobleme über die volle Topologie des Grabens vermieden.- If the mask for structuring the mask layer is formed from photoresist, the photoresist does not have to be exposed to the bottom of the trench during the exposure for programming. Modern exposure steppers with a focus depth of <0.5 μm can thus also be used in the method according to the invention. Because the mask layer at the bottom of the trench is not necessarily removed unexposed photoresist can remain on the bottom of the trench in the method according to the invention. This avoids exposure problems over the full topology of the trench.
- Bei Bildung der Dotierstoffgebiete durch Ausdiffusion aus einer dotierten Schicht wird diese im Gegensatz zu dem aus US-PS 4 663 644 bekannten Verfahren nicht strukturiert. Da¬ mit werden Probleme, die bei der Strukturierung über die Topologie des Grabens auftreten, vermieden.In contrast to the method known from US Pat. No. 4,663,644, when the dopant regions are formed by diffusion out of a doped layer, this is not structured. This avoids problems that arise when structuring via the topology of the trench.
Im folgenden wird die Erfindung anhand eines Ausführungsbei- spiels und der Figuren näher erläutert.The invention is explained in more detail below with the aid of an exemplary embodiment and the figures.
Figur 1 zeigt ein Substrat mit einer von einem ersten Leitfä¬ higkeitstyp dotierten Wanne.FIG. 1 shows a substrate with a trough doped with a first conductivity type.
Figur 2 zeigt das Substrat nach der Ätzung von streifenförmi- gen Gräben.FIG. 2 shows the substrate after the etching of stripe-shaped trenches.
Figur 3 zeigt das Substrat nach der Bildung streifenförmiger dotierter Gebiete an den Böden der Gräben und zwi¬ schen benachbarten Gräben an der Hauptfläche.FIG. 3 shows the substrate after the formation of stripe-shaped doped regions on the bottoms of the trenches and between adjacent trenches on the main surface.
Figur 4 zeigt das Substrat nach dem Aufbringen einer Masken¬ schicht und der Bildung einer Maske.FIG. 4 shows the substrate after the application of a mask layer and the formation of a mask.
Figur 5 zeigt das Substrat nach Strukturierung der Masken¬ schicht.FIG. 5 shows the substrate after structuring the mask layer.
Figur 6 zeigt das Substrat nach dem Aufbringen einer dotier¬ ten Schicht.FIG. 6 shows the substrate after the application of a doped layer.
Figur 7 zeigt das Substrat nach der Bildung von Dotierstoff- gebieten in den Flanken der Gräben und nach Bildung von quer zu den Gräben verlaufenden Wortleitungen.
Figur 8 zeigt eine Aufsicht auf das Substrat nach Bildung der Wortleitungen.FIG. 7 shows the substrate after the formation of dopant regions in the flanks of the trenches and after the formation of word lines running transversely to the trenches. FIG. 8 shows a plan view of the substrate after the word lines have been formed.
Die Darstellungen in den Figuren sind nicht maßstäblich.The representations in the figures are not to scale.
In einem Substrat 1 aus zum Beispiel p-dotiertem monokri¬ stallinem Silizium mit einer Dotierstoffkonzentration von 5 x lθl5 cm"3 wird in einer Hauptfläche 2 durch Implantation und anschließendes Tempern eine p-dotierte Wanne 3 mit einer Do- tierstoffkonzentration von 2 x 10-*-7 cm~^ erzeugt (siehe Figur 1) . Bei der Implantation der p-dotierten Wanne 3 wird ein Streuoxid in einer Dicke von zum Beispiel 50 nm (nicht darge¬ stellt) verwendet, das nach dem Eintreiben der p-dotierten Wanne 3 mit 180 keV, 7 x 10^2 cm~2 wieder entfernt wird. Die p-dotierte Wanne 3 erstreckt sich mindestens über einen Be¬ reich für ein Zellenfeld.In a substrate 1 of, for example, p-doped silicon with a dopant concentration monokri¬ stallinem of 5 x lθl5 cm "3 is in a major surface 2 by implantation and subsequent heat-doped p-a trough 3 with a dopant concentration of 2 x 10- * - 7 cm ~ ^ generated (see Figure 1.) When implanting the p-doped well 3, a scattering oxide with a thickness of, for example, 50 nm (not shown) is used, which after driving in the p-doped well 3 with 180 keV, 7 x 10 ^ 2 cm ~ 2. The p-doped well 3 extends at least over an area for one cell field.
Auf der Hauptfläche 2 wird eine Siθ2"Schicht in einer Schichtdicke von zum Beispiel 300 nm zum Beispiel in einem TEOS-Verfahren abgeschieden. Mit Hilfe photolithographischer Prozeßschritte wird die Siθ2-Schicht strukturiert, wobei eine Grabenmaske 4 gebildet wird. Die Graben aske 4 weist strei- fenförmige Öffnungen auf, die im wesentlichen parallel ver¬ laufen. Die streifenförmigen Öffnungen in der Grabenmaske 4 weisen eine Breite von zum Beispiel 0,4 um, eine Länge von zum Beispiel 125 μm und einen Abstand von 0,4 μm auf.An SiO 2 "layer is deposited on the main surface 2 in a layer thickness of, for example, 300 nm, for example in a TEOS process. The SiO 2 layer is structured with the aid of photolithographic process steps, a trench mask 4 being formed. The trench mask 4 has stripes The strip-shaped openings in the trench mask 4 have a width of, for example, 0.4 μm, a length of, for example, 125 μm and a distance of 0.4 μm.
Unter Verwendung der Grabenmaske 4 als Ätzmaske werden in ei¬ nem anisotropen Ätzprozeß zum Beispiel mit HBr, He, O2, NF3 in die Hauptflache 2 des Substrats 1 Gräben 5 geätzt. DieUsing the trench mask 4 as an etching mask, trenches 5 are etched into the main surface 2 of the substrate 1 in an anisotropic etching process, for example using HBr, He, O2, NF3. The
Gräben 5 weisen entsprechend den Öffnungen der Grabenmaske 4 parallel zur Hauptfläche 2 einen streifenförmigen Querschnitt auf. Sie weisen eine Weite von zum Beispiel 0,4 μm, eine Län¬ ge von zum Beispiel 125 μm und einen Abstand von zum Beispiel 0,4 μm auf. Die Tiefe der Gräben beträgt zum Beispiel 0,6 μm (siehe Figur 2) . Es werden zum Beispiel 32 parallele Gräben 5 gebildet.
Anschließend wird die Grabenmaske 4 mit zum Beispiel HF-Dip abgelöst. Um die Qualität der Kristalloberflächen zu verbes¬ sern, wird durch thermische Oxidation eine Siθ2-Schicht 6 (sogenanntes sacrificial oxide) in einer Dicke von zum Bei¬ spiel 20 nm erzeugt (siehe Figur 3) . Durch konforme Abschei¬ dung zum Beispiel in einem TEOS-Verfahren einer Siθ2-Schicht in einer Schichtdicke von zum Beispiel 60 nm und anschließen¬ des anisotropes Trockenätzen mit CHF3, O2 werden an senkrech- ten Flanken der Gräben 5 Siθ2-Spacer 7 erzeugt (siehe Figur 3) . Anschließend wird ein dünnes Streuoxid in einem TEOS- Verfahren abgeschieden (nicht dargestellt) . Durch Implantati¬ on senkrecht zur Hauptfläche 2 mit As mit einer Dosis von 5 x 10^5 cm~2 und einer Energie von 80 keV und einen anschließen- den Temperschritt zur Dotierstoffaktivierung werden am Boden der Gräben 5 und an der Hauptfläche 2 zwischen benachbarten Gräben 5 n+-dotierte, streifenförmige Gebiete 8 gebildet. In den streifenförmigen, dotierten Gebieten 8 wird eine Dotier¬ stoffkonzentration von zum Beispiel 10^1 cm~3 eingestellt. Bei der Implantation wirkt die Siθ2-Schicht 6 als Streuoxid.Trenches 5 have a strip-shaped cross section corresponding to the openings of the trench mask 4 parallel to the main surface 2. They have a width of for example 0.4 μm, a length of for example 125 μm and a distance of for example 0.4 μm. The depth of the trenches is, for example, 0.6 μm (see FIG. 2). For example, 32 parallel trenches 5 are formed. The trench mask 4 is then removed using, for example, HF dip. In order to improve the quality of the crystal surfaces, an SiO 2 layer 6 (so-called sacrificial oxide) with a thickness of, for example, 20 nm is produced by thermal oxidation (see FIG. 3). By conformal deposition, for example in a TEOS process, of a SiO 2 layer in a layer thickness of, for example, 60 nm and subsequent anisotropic dry etching with CHF3, O2, 5 SiO 2 spacers 7 are produced on vertical flanks of the trenches (see Figure 3). A thin scattering oxide is then deposited in a TEOS process (not shown). By implantation perpendicular to the main surface 2 with As with a dose of 5 × 10 ^ 5 cm ~ 2 and an energy of 80 keV and a subsequent tempering step for dopant activation, the trenches 5 on the bottom and on the main surface 2 between adjacent trenches 5 n + -doped, strip-shaped regions 8 are formed. In the strip-shaped doped regions 8 a dopes will concentration of, for example, 10 ^ 1 cm ~ 3 is set. During implantation, the SiO 2 layer 6 acts as a scatter oxide.
Anschließend werden die Siθ2-Spacer 7 und die Siθ2-Schicht 6 zum Beispiel durch naßchemisches Ätzen mit HF-Dip entfernt. Es wird eine Maskenschicht 9 mit im wesentlichen konformer Kantenbedeckung zum Beispiel in einem TEOS-Verfahren aus Siθ2 abgeschieden. Die Maskenschicht 9 wird in einer Schichtdicke von 60 bis 80 nm abgeschieden (siehe Figur 4) .The SiO 2 spacers 7 and the SiO 2 layer 6 are then removed, for example by wet chemical etching with HF dip. A mask layer 9 with an essentially conformal edge covering is deposited from SiO 2, for example in a TEOS process. The mask layer 9 is deposited in a layer thickness of 60 to 80 nm (see FIG. 4).
Anschließend wird eine Maske 10 zum Beispiel aus Photolack unter Verwendung photolithographischer Prozeßschritte gebil¬ det. Die Maske 10 weist im Zellenfeld Öffnungen 11 auf. Der Bereich außerhalb des Zellenfeldes, in dem zum Beispiel eine Peripherie für die Speicherzellenanordnung gebildet wird, wird von der Maske 10 abgedeckt. Die Öffnungen 11 werden so justiert, daß sie jeweils mindestens eine Flanke der Gräben 5 überlappen. Die Abmessungen der Öffnungen 11 parallel zur Hauptfläche 2 entsprechen jeweils der Weite der Gräben 5.
Größere Abmessungen der Öffnungen 11 kommen durch das Zusam¬ menfallen benachbarter Öffnungen zustande. Die Maske 10 wird so justiert, daß die Öffnungen 11 jeweils überlappend zu den Flanken der Gräben 5 angeordnet sind. Werden die Gräben 5 mit einer Weite entsprechend der in der jeweiligen Technologie minimal herstellbaren Strukturgröße F von zum Beispiel 0,4 um gebildet, so weisen die Öffnungen 11 ebenfalls minimale Ab¬ messungen von F x F auf. Bei der Justierung der Maske 10 wird in diesem Fall ausgenutzt, daß die Justiergenauigkeit jeweils größer ist als die in der jeweiligen Technologie kleinste herstellbare Strukturgröße F. In einer 0,4 um-Technologie be¬ trägt die Justiergenauigkeit beispielsweise F/2 bis F/3.A mask 10 is then formed, for example, from photoresist using photolithographic process steps. The mask 10 has openings 11 in the cell field. The area outside the cell field, in which, for example, a periphery for the memory cell arrangement is formed, is covered by the mask 10. The openings 11 are adjusted so that they overlap at least one flank of the trenches 5. The dimensions of the openings 11 parallel to the main surface 2 each correspond to the width of the trenches 5. Larger dimensions of the openings 11 result from the collapse of adjacent openings. The mask 10 is adjusted so that the openings 11 are arranged to overlap the flanks of the trenches 5. If the trenches 5 are formed with a width corresponding to the structure size F that can be produced in the respective technology, for example 0.4 μm, then the openings 11 likewise have minimal dimensions of F x F. In the case of the adjustment of the mask 10, use is made of the fact that the adjustment accuracy is greater than the structure size F that can be produced in the respective technology. In a 0.4 μm technology, the adjustment accuracy is, for example, F / 2 to F / 3 .
In einem anisotropen Ätzverfahren zum Beispiel mit HBr, CI2, He wird die Maskenschicht 9 strukturiert. Die Maske 10 wirkt dabei als Ätzmaske. Dabei verbleiben im Bereich der Öffnungen 11 an den Flanken der Gräben 5 Ätzreste 9'. Im Bereich der Öffnungen 11 wird die Siliziumoberfläche an den Böden der Gräben 5 und an der Hauptfläche 2 zwischen benachbarten Grä- ben 5 freigelegt. Unter der Maske 10 wird die Maskenschicht 9 dagegen nicht angegriffen.The mask layer 9 is structured in an anisotropic etching process, for example using HBr, CI2, He. The mask 10 acts as an etching mask. In this case, 5 etching residues 9 'remain in the region of the openings 11 on the flanks of the trenches. In the area of the openings 11, the silicon surface is exposed on the bottoms of the trenches 5 and on the main surface 2 between adjacent trenches 5. Under the mask 10, however, the mask layer 9 is not attacked.
Die Strukturierung der Maskenschicht 9 erfolgt zwar in einem zu Silizium selektiven Ätzverfahren. Wegen der begrenzten Se- lektivitat kommt es jedoch dennoch zu einem Ätzangriff auf die freigelegten Oberflächen aus Silizium. Da an den Flanken der Gräben 5 die Ätzreste 9' verbleiben, wird der aufgrund der endlichen Selektivität unvermeidbare Ätzangriff auf die freigelegten Siliziumoberflächen reduziert.The patterning of the mask layer 9 takes place in an etching process which is selective for silicon. However, due to the limited selectivity, there is an etching attack on the exposed silicon surfaces. Since the etching residues 9 ′ remain on the flanks of the trenches 5, the etching attack on the exposed silicon surfaces that is unavoidable due to the finite selectivity is reduced.
Die Höhe der Ätzreste 9' ist geringer, als es der Tiefe der an der Hauptfläche 2 angeordneten streifenförmigen, dotierten Gebiete 8 entspricht. Die Höhe der Ätzreste 9' beträgt zum Beispiel 300 nm. Die exakte Höhe der Ätzreste 9' ist dabei unkritisch, solange ein Teil der Grabenwand unterhalb des an die Grabenwand angrenzenden streifenförmigen dotierten Gebie¬ tes 8 freigelegt wird.
Von der Maske 10 freigelegte Teile der Maskenschicht 9 am Bo¬ den der Gräben 5 werden bei der Strukturierung der Masken¬ schicht 9 entfernt. Für den Fall, daß bei der Bildung der Maske 10 aus Photolack der Photolack nicht bis zum Boden der Gräben 5 durchbelichtet worden ist, ist die Maskenschicht 9 am Boden der Gräben 5 von unbelichtete Photolack bedeckt. In diesem Fall wird die Maskenschicht 9 bei dem anisotropen Ät¬ zen am Boden der Gräben 5 nicht angegriffen und der Boden der Gräben 5 bleibt von der Maskenschicht 9 bedeckt. Dieses ist für den weiteren Ablauf des erfindungsgemäßen Verfahrens un¬ kritisch. Nach der Strukturierung der Maskenschicht 9, 9' wird die Maske 10 entfernt (siehe Figur 5) .The height of the etching residues 9 ′ is less than the depth of the strip-shaped, doped regions 8 arranged on the main surface 2. The height of the etching residues 9 'is, for example, 300 nm. The exact height of the etching residues 9' is not critical as long as part of the trench wall is exposed below the strip-shaped doped region 8 adjacent to the trench wall. Parts of the mask layer 9 exposed at the bottom of the trenches 5 by the mask 10 are removed during the structuring of the mask layer 9. In the event that when the mask 10 is formed from photoresist, the photoresist has not been exposed to the bottom of the trenches 5, the mask layer 9 at the bottom of the trenches 5 is covered by unexposed photoresist. In this case, the mask layer 9 is not attacked during the anisotropic etching at the bottom of the trenches 5 and the bottom of the trenches 5 remains covered by the mask layer 9. This is not critical for the further course of the method according to the invention. After the mask layer 9, 9 'has been structured, the mask 10 is removed (see FIG. 5).
Anschließend wird ein dünnes Streuoxid (ca. 10 nm) mit einem TEOS-Verfahren abgeschieden (nicht dargestellt) .A thin scattering oxide (approx. 10 nm) is then deposited using a TEOS process (not shown).
Anschließend werden zwei gewinkelte Implantationen mit Bor mit einer Dosis von 10^3 c ~2 bis 5 x 10^- cm~2 und einer Energie von 60 keV durchgeführt. Dabei beträgt der Neigungs¬ winkel gegen die Normale der Hauptfläche 2 20° bis 30°, und - 20° bis - 30°. Dabei werden in den freiliegenden Flanken der Gräben 5 oberhalb der Ätzreste 9' Dotierstoffgebiete 12 gebildet (siehe Figur 7) . In den Dotierstoffgebieten 12 wird eine Dotierstoffkonzentration von einigen 1017 cm~3, vorzugs¬ weise 8 x 10^7 cm~3f eingestellt. Da die Dotierung in den streifenförmigen dotierten Gebieten 8 10^1 cm"3 beträgt, kann die Implantation von Bor in diesem Bereich toleriert werden. Für den Fall, daß bei der Bildung der Maske 10 am Boden der Gräben 5 unbelichteter Photolack verblieben ist und die Böden der Gräben 5 mit der Maskenschicht 9 bedeckt sind, erfolgt keine Implantation von Bor in die am Boden der Gräben 5 ange¬ ordneten streifenförmigen dotierten Gebiete 8. Die Bildung der Dotierstoffgebiete 12 in den freiliegenden Flanken der Gräben 5 ist davon nicht beeinträchtigt.
Alternativ werden die Dotierstoffgebiete 12 in den Flanken der Gräben 5 durch Ausdiffusion aus einer dotierten Schicht 13 gebildet. Dazu wird nach Entfernen der Maske 10 ganzflä¬ chig die dotierte Schicht 13 zum Beispiel aus Borsilikatglas in einer Schichtdicke von 50 nm abgeschieden (siehe Figur 6) . In einem Temperschritt bei zum Beispiel 900° werden die Do¬ tierstoffgebiete 12 durch Ausdiffusion erzeugt. Anschließend wird die dotierte Schicht 13 zum Beispiel mit HF-Dip ent¬ fernt.Then two angled implantations with boron are carried out with a dose of 10 ^ 3 c ~ 2 to 5 x 10 ^ - cm ~ 2 and an energy of 60 keV. The angle of inclination relative to the normal of the main surface 2 is 20 ° to 30 °, and - 20 ° to - 30 °. In this case, dopant regions 12 are formed in the exposed flanks of the trenches 5 above the etching residues 9 '(see FIG. 7). In the Dotierstoffgebieten 12 is a dopant concentration of some 10 17 cm -3, 8 x 10 ^ preferred wise adjusted to 7 cm ~ 3 f. Since the doping in the stripe-shaped doped regions is 8 10 ^ 1 cm "3, the implantation of boron in this area can be tolerated. In the event that when the mask 10 is formed, 5 unexposed photoresist remains at the bottom of the trenches and the Bottoms of the trenches 5 are covered with the mask layer 9, boron is not implanted into the strip-shaped doped regions 8 arranged at the bottom of the trenches 5. The formation of the dopant regions 12 in the exposed flanks of the trenches 5 is not impaired by this. Alternatively, the dopant regions 12 are formed in the flanks of the trenches 5 by diffusion out of a doped layer 13. For this purpose, after removing the mask 10, the doped layer 13, for example made of borosilicate glass, is deposited over the entire surface in a layer thickness of 50 nm (see FIG. 6). In a tempering step at 900 ° for example, the doping areas 12 are produced by out-diffusion. Subsequently, the doped layer 13 is removed, for example with an HF dip.
Durch naßchemisches Ätzen mit HF werden anschließend die strukturierte Maskenschicht 9 und die Ätzreste 9' entfernt (siehe Figur 7) . Es wird ein Gatedielektrikum 14 zum Beispiel durch thermische Oxidation in einer Schichtdicke von zum Bei- spiel 10 nm erzeugt. Anschließend wird ganzflächig eine n+- dotierte Polysiliziumschicht in einer Schichtdicke von 400 nm aufgebracht. Dieses erfolgt vorzugsweise durch in situ do¬ tiertes Abscheiden von Polysilizium. Alternativ wird die Po¬ lysiliziumschicht undotiert abgeschieden und anschließend durch Belegung mit einer POCL-Schicht (POCL steht für PCI3: Phosphor-Chlorid-Gas) dotiert. Mit Hilfe photolithographi¬ scher Prozeßschritte wird die dotierte Polysiliziumschicht durch anisotropes Ätzen strukturiert. Dabei entstehen Wort¬ leitungen 15, die quer zu den Gräben 5 verlaufen (siehe Figur 7 und Figur 8) . Die Wortleitungen 15 weisen eine Breite von zum Beispiel F = 0,4 μm auf. Der Abstand zwischen benachbar¬ ten Wortleitungen 15 beträgt ebenfalls F.The structured mask layer 9 and the etching residues 9 'are then removed by wet chemical etching with HF (see FIG. 7). A gate dielectric 14 is produced, for example by thermal oxidation, in a layer thickness of 10 nm, for example. An n + -doped polysilicon layer is then applied over the entire surface in a layer thickness of 400 nm. This is preferably done by in situ doped polysilicon. Alternatively, the polysilicon layer is deposited undoped and then doped by covering it with a POCL layer (POCL stands for PCI3: phosphorus chloride gas). With the help of photolithographic process steps, the doped polysilicon layer is structured by anisotropic etching. This results in word lines 15 which run transversely to the trenches 5 (see FIG. 7 and FIG. 8). The word lines 15 have a width of, for example, F = 0.4 μm. The distance between adjacent word lines 15 is also F.
Die vertikalen MOS-Transistoren werden jeweils aus zwei streifenförmigen dotierten Gebieten 8, die an dieselbe Flanke eines der Gräben 5 angrenzen, der dazwischen angeordnete Teil der Wanne 3 als Kanalgebiet, das Gatedielektrikum 14 und der daran angrenzende Teil einer der Wortleitungen 15 gebildet. Die Ausdehnung des vertikalen MOS-Transistors parallel zum Verlauf der streifenförmigen Gräben 5 ist durch die Breite der Wortleitungen 15 gegeben. Entlang einer Flanke eines der Gräben benachbarte MOS-Transistoren sind durch den Abstand
zwischen benachbarten Wortleitungen 15 voneinander getrennt. Die streifenförmigen dotierten Gebiete 8 verlaufen jeweils über das gesamte Zellenfeld. Sie bilden Leitungen, die je nach Beschaltung als Bitleitung oder Referenzleitung einge- setzt werden und die die Source/Drain-Gebiete von entlang ei¬ nem Graben benachbarten MOS-Transistoren miteinander verbin¬ den.The vertical MOS transistors are each formed from two strip-shaped doped regions 8 which adjoin the same flank of one of the trenches 5, the part of the trough 3 arranged in between as a channel region, the gate dielectric 14 and the part of one of the word lines 15 adjoining it. The extent of the vertical MOS transistor parallel to the course of the strip-shaped trenches 5 is given by the width of the word lines 15. MOS transistors that are adjacent along an edge of one of the trenches are separated by the distance between adjacent word lines 15 separated from each other. The strip-shaped doped regions 8 each run over the entire cell field. They form lines which, depending on the circuitry, are used as bit lines or reference lines and which connect the source / drain regions of MOS transistors adjacent along a trench.
Je nachdem, ob in der Flanke des jeweiligen Grabens 5 ein Do- tierstoffgebiet 12 angeordnet ist oder nicht, weist der ver¬ tikale MOS-Transistor eine erhöhte Einsatzspannung auf oder nicht. Die in der Speicherzellenanordnung gespeicherte Infor¬ mation ist in dem Vorhandensein oder NichtVorhandensein der Dotierstoffgebiete 12 gespeichert. Die Programmierung der Speicherzellenanordnung erfolgt daher bei der Strukturierung der Maskenschicht 9. Über die Anordnung der Öffnungen 11 in der Maske 10 wird die Information in die Speicherzellenanord¬ nung übertragen.Depending on whether or not a doping region 12 is arranged in the flank of the respective trench 5, the vertical MOS transistor has an increased threshold voltage or not. The information stored in the memory cell arrangement is stored in the presence or absence of the dopant regions 12. The programming of the memory cell arrangement is therefore carried out when the mask layer 9 is structured. The arrangement of the openings 11 in the mask 10 transfers the information into the memory cell arrangement.
Zum Auslesen der Speicherzellen werden die streifenförmigen dotierten Gebiete 8 als Bit- bzw. Referenzleitung verwendet. Die zu bewertende Speicherzelle wird über die Wortleitung ausgewählt. An die Wortleitung wird dabei ein Steuersignal angelegt, dessen Spannungspegel zwischen der Einsatzspannung der MOS-Transistoren mit Dotierstoffgebiet 12 im Kanalbereich und der der MOS-Transistoren ohne Dotierstoffgebiet 12 im Ka¬ nalbereich liegt. Bei diesem Steuersignal werden die MOS- Transistoren ohne Dotierstoffgebiet 12 im Kanalbereich lei¬ tend, während die MOS-Transistoren mit Dotierstoffgebiet 12 im Kanalbereich, die eine erhöhte Einsatzspannung aufweisen, weiterhin sperren. Beim Auslesen wird bewertet, ob zwischen den zugehörigen streifenförmigen dotierten Gebieten 8 ein Strom fließt oder nicht.The strip-shaped doped regions 8 are used as bit or reference lines for reading out the memory cells. The memory cell to be evaluated is selected via the word line. A control signal is applied to the word line, the voltage level of which lies between the threshold voltage of the MOS transistors with dopant region 12 in the channel region and that of the MOS transistors without dopant region 12 in the channel region. With this control signal, the MOS transistors without dopant region 12 in the channel region become conductive, while the MOS transistors with dopant region 12 in the channel region, which have an increased threshold voltage, continue to block. When reading out, it is evaluated whether a current flows between the associated strip-shaped doped regions 8 or not.
In Figur 8 ist eine Aufsicht auf die Speicherzellenanordnung dargestellt. Es ist der Verlauf der Wortleitungen 15 quer zu den Gräben 5 dargestellt. Ferner sind die streifenförmigen,
dotierten Gebiete 8 eingetragen, die am Boden der Gräben 5 sowie zwischen benachbarten Gräben 5 verlaufen. Als gestri¬ chelte Kontur sind Dotierstoffgebiete 12 in den Flanken der Gräben eingetragen.FIG. 8 shows a top view of the memory cell arrangement. The course of the word lines 15 across the trenches 5 is shown. Furthermore, the stripe-shaped, doped areas 8 entered, which run at the bottom of the trenches 5 and between adjacent trenches 5. Doping regions 12 are entered in the flanks of the trenches as a dashed contour.
Jede Speicherzelle umfaßt einen vertikalen MOS-Transistor, der parallel zum Verlauf der streifenförmigen Gräben 5 eine Ausdehnung von 2 F, senkrecht zum Verlauf der streifenförmi¬ gen Gräben 5 eine Ausdehnung von F aufweist. Der Platzbedarf pro Speicherzelle beträgt daher 2 F2.Each memory cell comprises a vertical MOS transistor, which has an extent of 2 F parallel to the course of the stripe-shaped trenches 5 and an extent of F perpendicular to the course of the stripe-shaped trenches 5. The space requirement per memory cell is therefore 2 F 2 .
Die Herstellung der Speicherzellenanordnung wird abgeschlos¬ sen mit der Abscheidung eines Zwiεchendielektrikums, der Öff¬ nung von Kontaktlöchern und der Herstellung einer Metallisie- rung (nicht dargestellt) .
The production of the memory cell arrangement is concluded with the deposition of an intermediate dielectric, the opening of contact holes and the production of a metallization (not shown).
Claims
1. Speicherzellenanordnung1. Memory cell arrangement
- bei der in einem Substrat (1) , das mindestens im Bereich einer Hauptfläche (2) von einem ersten Leitfähigkeitstyp dotiertes Halbleitermaterial umfaßt, Speicherzellen vorge¬ sehen sind, die jeweils einen zur Hauptfläche vertikalen MOS-Transistor umfassen,memory cells are provided in a substrate (1), which comprises semiconductor material doped with a first conductivity type at least in the area of a main surface (2), each comprising a MOS transistor vertical to the main surface,
- bei der die vertikalen MOS-Transistoren je nach gespeicher¬ ter Information unterschiedliche Einsatzspannungen aufwei¬ sen,in which the vertical MOS transistors have different threshold voltages depending on the stored information,
- bei der in dem Substrat (1) streifenförmige, im wesentli¬ chen parallel verlaufende Gräben (5) vorgesehen sind,in which strip-shaped trenches (5) which run essentially parallel are provided in the substrate (1),
- bei der am Boden der Gräben (5) und an der Hauptfläche (2) zwischen benachbarten Gräben (5) streifenförmige dotierte Gebiete (8) angeordnet sind, die von einem zweiten, dem er¬ sten entgegengesetzten Leitfähigkeitstyp dotiert sind,in which strip-shaped doped regions (8) are arranged on the bottom of the trenches (5) and on the main surface (2) between adjacent trenches (5), which are doped with a second conductivity type opposite to the first,
- bei der an den Flanken der Gräben (5) ein Gatedielektrikum (14) angeordnet ist,- A gate dielectric (14) is arranged on the flanks of the trenches (5),
- bei der Wortleitungen (15) vorgesehen sind, die quer zu den Gräben (5) verlaufen,- The word lines (15) are provided, which run transversely to the trenches (5),
- bei der die vertikalen MOS-Transistoren jeweils aus zwei an dieselbe Flanke eines der Gräben (5) angrenzenden streifen¬ förmige dotierte Gebiete (8) , die dazwischen angeordnete Flanken des Grabens, das Gatedielektrikum (14) und eine der Wortleitungen (15) gebildet werden,- In which the vertical MOS transistors are each formed from two strip-shaped doped regions (8) adjacent to the same flank of one of the trenches (5), the flanks of the trench arranged between them, the gate dielectric (14) and one of the word lines (15) become,
- bei der die Speicherzellen, in denen eine vorbestimmte In¬ formation gespeichert ist, im oberen Bereich der Flanke des Grabens (5) ein Dotierstoffgebiet (12) aufweisen, dessen Ausdehnung senkrecht zur Hauptfläche (2) geringer als die Tiefe der Gräben (5) ist.- in which the memory cells, in which a predetermined information is stored, have a dopant region (12) in the upper region of the flank of the trench (5), the Expansion perpendicular to the main surface (2) is less than the depth of the trenches (5).
2. SpeicherZeilenanordnung nach Anspruch 1,2. Memory line arrangement according to claim 1,
- bei der der Abstand zwischen benachbarten Gräben (5) gleich der Breite der Gr ben (5) ist,- in which the distance between adjacent trenches (5) is equal to the width of the trenches (5),
- bei der der Abstand zwischen benachbarten Wortleitungen (15) gleich der Breite der Wortleitungen (15) ist.- In which the distance between adjacent word lines (15) is equal to the width of the word lines (15).
3. Verfahren zur Herstellung einer Speicherzellenanordnung,3. Method for producing a memory cell arrangement,
- bei dem in einer Hauptfläche (2) eines Substrats (1), das mindestens im Bereich der Hauptfläche (2) von einem ersten- In which in a main surface (2) of a substrate (1), at least in the region of the main surface (2) of a first
Leitfähigkeitstyp dotiertes Halbleitermaterial umfaßt, streifenförmige Gräben (5) gebildet werden, die im wesent¬ lichen parallel verlaufen,Comprises conductivity-type doped semiconductor material, strip-shaped trenches (5) are formed which run essentially parallel,
- bei dem am Boden der Gräben (5) und an der Hauptfläche (2) zwischen benachbarten Gräben (5) streifenförmige dotierte Gebiete (8) gebildet werden, die von einem zweiten, zum er¬ sten entgegengesetzten Leitfähigkeitstyp dotiert sind,in which strip-shaped doped regions (8) are formed on the bottom of the trenches (5) and on the main surface (2) between adjacent trenches (5), which are doped with a second conductivity type opposite to the first,
- bei dem eine Maskenschicht (9) mit im wesentlichen konfor¬ mer Kantenbedeckung aufgebracht wird,- in which a mask layer (9) with an essentially conformal edge covering is applied,
- bei dem auf der Maskenschicht (9) eine Maske (10) erzeugt wird, die Öffnungen (11) aufweist,- In which a mask (10) is produced on the mask layer (9), which has openings (11),
- bei dem die Maskenschicht (9) unter Verwendung der Maske- In which the mask layer (9) using the mask
(10) durch anisotropes Ätzen so strukturiert wird, daß im Bereich der Öffnungen (11) die Flanken von Gräben (5) teil¬ weise freigelegt werden, so daß an diesen Flanken ein Rest der Maskenschicht (9') verbleibt, 19(10) is structured by anisotropic etching in such a way that the flanks of trenches (5) are partially exposed in the area of the openings (11), so that a remainder of the mask layer (9 ') remains on these flanks, 19
- bei dem in den freigelegten Flankenteilen Dotierstoffgebie¬ te (12) erzeugt werden,- in which dopant regions (12) are generated in the exposed flank parts,
- bei dem nach Entfernen der strukturierten Maskenschicht (9, 9') an den Flanken der Gräben (5) ein Gatedielektrikum (14) gebildet wird,in which a gate dielectric (14) is formed on the flanks of the trenches (5) after the structured mask layer (9, 9 ') has been removed,
- bei dem Wortleitungen (15) gebildet werden, die quer zu den Gräben verlaufen.- In the word lines (15) are formed, which run across the trenches.
4. Verfahren nach Anspruch 3, bei dem die Dotierstoffgebiete (12) in den freigelegten Flan¬ kenteilen durch eine gewinkelte Implantation gebildet werden.4. The method according to claim 3, wherein the dopant regions (12) are formed in the exposed flank parts by an angled implantation.
5. Verfahren nach Anspruch 4, bei dem die Implantation mit einem Neigungswinkel im Bereich zwischen 20° und 30° und/ oder - 20° und - 30° gegen die Nor¬ male der Hauptfläche (2) erfolgt.5. The method according to claim 4, wherein the implantation is carried out with an inclination angle in the range between 20 ° and 30 ° and / or - 20 ° and - 30 ° against the normal of the main surface (2).
6. Verfahren nach Anspruch 3, bei dem zur Bildung der Dotierstoffgebiete (12) in den frei¬ gelegten Flankenteilen eine dotierte Schicht (13) aufgebracht wird, aus der in einem Temperschritt Dotierstoff ausdiffun¬ diert wird.6. The method according to claim 3, in which a doped layer (13) is applied from the exposed flank parts to form the dopant regions (12), from which dopant is diffused in a tempering step.
7. Verfahren nach Anspruch 6, bei dem die dotierte Schicht (13) aus dotiertem Glas, dotier¬ tem Polysilizium oder dotiertem amorphem Silizium gebildet wird.7. The method according to claim 6, in which the doped layer (13) is formed from doped glass, doped polysilicon or doped amorphous silicon.
8. Verfahren nach einem der Ansprüche 3 bis 7,8. The method according to any one of claims 3 to 7,
- bei dem nach der Bildung der Gräben (5) die Flanken der Gräben (5) mit Spacern (7) versehen werden, - bei dem die streifenförmigen dotierten Gebiete (8) durch eine Implantation gebildet werden, bei der die Spacer (7) an den Flanken der Gräben (5) maskierend wirken,- In which after the formation of the trenches (5), the flanks of the trenches (5) are provided with spacers (7), - in which the strip-shaped doped regions (8) are formed by an implantation in which the spacers (7) have a masking effect on the flanks of the trenches (5),
- bei dem die Spacer (7) nach Bildung der streifenförmigen dotierten Gebiete (8) entfernt werden. - In which the spacers (7) are removed after formation of the strip-shaped doped regions (8).
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
DE19609678 | 1996-03-12 | ||
DE19609678A DE19609678C2 (en) | 1996-03-12 | 1996-03-12 | Memory cell arrangement with stripe-shaped, parallel trenches and vertical MOS transistors and method for their production |
PCT/DE1997/000372 WO1997034323A1 (en) | 1996-03-12 | 1997-03-03 | Memory cell arrangement with vertical mos transistors and the production process thereof |
Publications (1)
Publication Number | Publication Date |
---|---|
EP0886884A1 true EP0886884A1 (en) | 1998-12-30 |
Family
ID=7788042
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
EP97915321A Ceased EP0886884A1 (en) | 1996-03-12 | 1997-03-03 | Memory cell arrangement with vertical mos transistors and the production process thereof |
Country Status (6)
Country | Link |
---|---|
US (1) | US6180979B1 (en) |
EP (1) | EP0886884A1 (en) |
JP (1) | JP2000506315A (en) |
KR (1) | KR19990087642A (en) |
DE (1) | DE19609678C2 (en) |
WO (1) | WO1997034323A1 (en) |
Families Citing this family (361)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE19617646C2 (en) * | 1996-05-02 | 1998-07-09 | Siemens Ag | Memory cell arrangement and a method for the production thereof |
DE19742403A1 (en) * | 1997-09-25 | 1999-04-08 | Siemens Ag | Method of manufacturing a semiconductor structure |
DE19742397C2 (en) * | 1997-09-25 | 2000-07-06 | Siemens Ag | Method for producing a semiconductor structure with a plurality of trenches |
DE19807920A1 (en) * | 1998-02-25 | 1999-09-02 | Siemens Ag | Memory cell arrangement and corresponding manufacturing method |
US6362506B1 (en) * | 1998-08-26 | 2002-03-26 | Texas Instruments Incorporated | Minimization-feasible word line structure for DRAM cell |
US6498061B2 (en) * | 2000-12-06 | 2002-12-24 | International Business Machines Corporation | Negative ion implant mask formation for self-aligned, sublithographic resolution patterning for single-sided vertical device formation |
TW583755B (en) * | 2002-11-18 | 2004-04-11 | Nanya Technology Corp | Method for fabricating a vertical nitride read-only memory (NROM) cell |
US6861701B2 (en) | 2003-03-05 | 2005-03-01 | Advanced Analogic Technologies, Inc. | Trench power MOSFET with planarized gate bus |
TW588438B (en) * | 2003-08-08 | 2004-05-21 | Nanya Technology Corp | Multi-bit vertical memory cell and method of fabricating the same |
JP4565380B2 (en) * | 2004-04-14 | 2010-10-20 | 白土 猛英 | Read-only storage device |
TW200849404A (en) * | 2007-06-12 | 2008-12-16 | Promos Technologies Inc | Method for forming semiconductor device |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8592005B2 (en) * | 2011-04-26 | 2013-11-26 | Asm Japan K.K. | Atomic layer deposition for controlling vertical film growth |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (en) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing thin film |
KR102263121B1 (en) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor device and manufacuring method thereof |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (en) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming metal interconnection and method of fabricating semiconductor device using the same |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (en) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (en) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and method of operating the same |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (en) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Gas supply unit and substrate processing apparatus including the same |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (en) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (en) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (en) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (en) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (en) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (en) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (en) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (en) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (en) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | Storage device for storing wafer cassettes used in batch furnaces |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (en) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | Method for depositing gap filling layer by plasma auxiliary deposition |
TWI799494B (en) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | Deposition method |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
JP7124098B2 (en) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (en) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method and apparatus |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (en) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (en) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method |
TWI843623B (en) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (en) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures |
KR102596988B1 (en) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
TWI840362B (en) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (en) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing system |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TW202409324A (en) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | Cyclic deposition processes for forming metal-containing material |
KR102686758B1 (en) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (en) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | Method for deposition of a thin film |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (en) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | Substrate retaining apparatus, system including the apparatus, and method of using same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (en) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (en) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
KR102605121B1 (en) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (en) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and substrate processing apparatus including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (en) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | A method for cleaning a substrate processing apparatus |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (en) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method and system for forming device structures using selective deposition of gallium nitride - Patents.com |
TWI819180B (en) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
KR20200091543A (en) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor processing device |
CN111524788B (en) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | Method for topologically selective film formation of silicon oxide |
JP2020136678A (en) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method for filing concave part formed inside front surface of base material, and device |
TWI845607B (en) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
KR20200102357A (en) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for plug fill deposition in 3-d nand applications |
KR102626263B1 (en) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | Cyclical deposition method including treatment step and apparatus for same |
TWI842826B (en) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing apparatus and method for processing substrate |
KR20200108242A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer |
KR20200108243A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Structure Including SiOC Layer and Method of Forming Same |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (en) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | Door opener and substrate processing apparatus provided therewith |
KR20200116855A (en) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | Method of manufacturing semiconductor device |
KR20200123380A (en) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | Layer forming method and apparatus |
KR20200125453A (en) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system and method of using same |
KR20200130121A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Chemical source vessel with dip tube |
KR20200130118A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for Reforming Amorphous Carbon Polymer Film |
KR20200130652A (en) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing material onto a surface and structure formed according to the method |
JP2020188254A (en) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | Wafer boat handling device, vertical batch furnace, and method |
JP2020188255A (en) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | Wafer boat handling device, vertical batch furnace, and method |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (en) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system including a gas detector |
KR20200143254A (en) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (en) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | Temperature control assembly for substrate processing apparatus and method of using same |
JP7499079B2 (en) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | Plasma device using coaxial waveguide and substrate processing method |
CN112216646A (en) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | Substrate supporting assembly and substrate processing device comprising same |
KR20210010307A (en) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210010816A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Radical assist ignition plasma system and method |
KR20210010820A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods of forming silicon germanium structures |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (en) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming topology-controlled amorphous carbon polymer film |
KR20210010817A (en) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Method of Forming Topology-Controlled Amorphous Carbon Polymer Film |
CN112309843A (en) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | Selective deposition method for achieving high dopant doping |
CN112309899A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112309900A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (en) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | Liquid level sensor for chemical source container |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (en) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | Production apparatus of mixed gas of film deposition raw material and film deposition apparatus |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (en) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for forming a structure with a hole |
KR20210024420A (en) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (en) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selective deposition using a sacrificial capping layer |
KR20210029663A (en) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (en) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process |
TWI846953B (en) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
KR20210042810A (en) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
KR20210043460A (en) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming a photoresist underlayer and structure including same |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (en) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (en) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for selectively etching films |
KR20210050453A (en) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (en) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (en) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
CN112951697A (en) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
KR20210065848A (en) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885693A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885692A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
JP7527928B2 (en) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | Substrate processing apparatus and substrate processing method |
KR20210070898A (en) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
TW202125596A (en) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (en) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | Channeled lift pin |
TW202140135A (en) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | Gas supply assembly and valve plate assembly |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (en) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming thin film and method of modifying surface of thin film |
TW202130846A (en) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming structures including a vanadium or indium layer |
TW202146882A (en) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (en) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | System dedicated for parts cleaning |
KR20210116240A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate handling device with adjustable joints |
KR20210116249A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | lockout tagout assembly and system and method of using same |
CN113394086A (en) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | Method for producing a layer structure having a target topological profile |
KR20210124042A (en) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | Thin film forming method |
TW202146689A (en) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | Method for forming barrier layer and method for manufacturing semiconductor device |
TW202145344A (en) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus and methods for selectively etching silcon oxide films |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (en) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming chromium nitride layer and structure including the chromium nitride layer |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
TW202146831A (en) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Vertical batch furnace assembly, and method for cooling vertical batch furnace |
JP2021172884A (en) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer |
KR20210134226A (en) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | Solid source precursor vessel |
KR20210134869A (en) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Fast FOUP swapping with a FOUP handler |
TW202147543A (en) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Semiconductor processing system |
KR20210141379A (en) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Laser alignment fixture for a reactor system |
TW202146699A (en) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming a silicon germanium layer, semiconductor structure, semiconductor device, method of forming a deposition layer, and deposition system |
KR20210143653A (en) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210145078A (en) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | Structures including multiple carbon layers and methods of forming and using same |
KR102702526B1 (en) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus for depositing thin films using hydrogen peroxide |
TW202201602A (en) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
TW202212620A (en) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus for processing substrate, method of forming film, and method of controlling apparatus for processing substrate |
TW202218133A (en) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming a layer provided with silicon |
TW202217953A (en) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing method |
KR102707957B1 (en) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | Method for processing a substrate |
TW202219628A (en) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | Structures and methods for use in photolithography |
TW202204662A (en) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | Method and system for depositing molybdenum layers |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (en) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | Method and system for forming metal silicon oxide and metal silicon oxynitride |
TW202229601A (en) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming patterned structures, method of manipulating mechanical property, device structure, and substrate processing system |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (en) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | Deposition method and an apparatus for depositing a silicon-containing material |
CN114293174A (en) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | Gas supply unit and substrate processing apparatus including the same |
TW202229613A (en) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing material on stepped structure |
KR20220053482A (en) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing vanadium metal, structure, device and a deposition assembly |
TW202223136A (en) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming layer on substrate, and semiconductor processing system |
TW202235649A (en) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | Methods for filling a gap and related systems and devices |
TW202235675A (en) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | Injector, and substrate processing apparatus |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (en) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS60136378A (en) * | 1983-12-26 | 1985-07-19 | Hitachi Ltd | Semiconductor device and manufacture thereof |
US4954854A (en) * | 1989-05-22 | 1990-09-04 | International Business Machines Corporation | Cross-point lightly-doped drain-source trench transistor and fabrication process therefor |
JPH03190165A (en) * | 1989-12-20 | 1991-08-20 | Sony Corp | Read-only memory device and manufacture thereof |
JPH04226071A (en) * | 1990-05-16 | 1992-08-14 | Ricoh Co Ltd | Semiconductor memory device |
US5117389A (en) * | 1990-09-05 | 1992-05-26 | Macronix International Co., Ltd. | Flat-cell read-only-memory integrated circuit |
US5110036A (en) * | 1990-12-17 | 1992-05-05 | At&T Bell Laboratories | Method and apparatus for solder leveling of printed circuit boards |
JPH04354159A (en) * | 1991-05-31 | 1992-12-08 | Mitsubishi Electric Corp | Semiconductor device and manufacture thereof |
JPH05102436A (en) * | 1991-10-09 | 1993-04-23 | Ricoh Co Ltd | Semiconductor memory device and manufacture thereof |
JPH05110036A (en) | 1991-10-18 | 1993-04-30 | Ricoh Co Ltd | Semiconductor memory and manufacture thereof |
JP3400044B2 (en) * | 1993-11-12 | 2003-04-28 | 株式会社東芝 | Semiconductor memory and manufacturing method thereof |
US5429973A (en) * | 1994-02-02 | 1995-07-04 | United Microelectronic, Corp. | Trench buried-bit line mask ROM process |
US5453637A (en) * | 1994-05-18 | 1995-09-26 | United Microelectronics Corp. | Read-only memory cell configuration with steep trenches |
US5448090A (en) * | 1994-08-03 | 1995-09-05 | International Business Machines Corporation | Structure for reducing parasitic leakage in a memory array with merged isolation and node trench construction |
-
1996
- 1996-03-12 DE DE19609678A patent/DE19609678C2/en not_active Expired - Fee Related
-
1997
- 1997-03-03 WO PCT/DE1997/000372 patent/WO1997034323A1/en active IP Right Grant
- 1997-03-03 KR KR1019980707095A patent/KR19990087642A/en active IP Right Grant
- 1997-03-03 EP EP97915321A patent/EP0886884A1/en not_active Ceased
- 1997-03-03 US US09/142,462 patent/US6180979B1/en not_active Expired - Fee Related
- 1997-03-03 JP JP9532167A patent/JP2000506315A/en not_active Ceased
Non-Patent Citations (1)
Title |
---|
See references of WO9734323A1 * |
Also Published As
Publication number | Publication date |
---|---|
JP2000506315A (en) | 2000-05-23 |
DE19609678A1 (en) | 1997-09-18 |
WO1997034323A1 (en) | 1997-09-18 |
DE19609678C2 (en) | 2003-04-17 |
KR19990087642A (en) | 1999-12-27 |
US6180979B1 (en) | 2001-01-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
WO1997034323A1 (en) | Memory cell arrangement with vertical mos transistors and the production process thereof | |
EP0788165B1 (en) | Storage cell arrangement and method for making the same | |
DE19514834C1 (en) | Read-only memory cell arrangement and method for its production | |
DE19600423C2 (en) | Electrically programmable memory cell arrangement and method for its production | |
DE19808182C1 (en) | Electrically programmable memory cell arrangement | |
DE4437581C2 (en) | Method for producing a read-only memory cell arrangement with vertical MOS transistors | |
EP0946985B1 (en) | Memory cell arrangement and process for manufacturing the same | |
EP0838092A1 (en) | Electrically erasable programmable rom memory cell array and a method of producing the same | |
EP1116270A1 (en) | Integrated circuit comprising vertical transistors, and a method for the production thereof | |
EP0917203A2 (en) | Gain cell DRAM structure and method of producing the same | |
EP1129482B1 (en) | Method for producing a DRAM Cell System | |
EP0896735B1 (en) | Storage cell arrangement in which vertical mos transistors have at least three different threshold voltages depending on stored data, and method of producing said arrangement | |
DE10212932B4 (en) | Trench cell for a DRAM cell array | |
DE102005001904A1 (en) | Semiconductor memory, semiconductor device and method of making the same | |
EP0864177B1 (en) | Read-only memory cell array and method for the fabrication thereof | |
DE4337355C2 (en) | Method for producing a contact hole to a doped region | |
DE19923262C1 (en) | Memory cell array, especially dynamic random access memory cell array, production comprises insulation removal from only one side wall of a recess by non-masked etching to allow adjacent cell transistor formation | |
DE19544327C2 (en) | Fixed value memory cell arrangement and method for the production thereof | |
DE102006036098A1 (en) | Reprogrammable non-volatile memory cell |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PUAI | Public reference made under article 153(3) epc to a published international application that has entered the european phase |
Free format text: ORIGINAL CODE: 0009012 |
|
17P | Request for examination filed |
Effective date: 19980903 |
|
AK | Designated contracting states |
Kind code of ref document: A1 Designated state(s): DE FR GB IT |
|
17Q | First examination report despatched |
Effective date: 19991230 |
|
RAP1 | Party data changed (applicant data changed or rights of an application transferred) |
Owner name: INFINEON TECHNOLOGIES AG |
|
GRAG | Despatch of communication of intention to grant |
Free format text: ORIGINAL CODE: EPIDOS AGRA |
|
STAA | Information on the status of an ep patent application or granted ep patent |
Free format text: STATUS: THE APPLICATION HAS BEEN REFUSED |
|
18R | Application refused |
Effective date: 20020303 |