CN1312585A - 半导体制造方法和半导体制造装置 - Google Patents
半导体制造方法和半导体制造装置 Download PDFInfo
- Publication number
- CN1312585A CN1312585A CN01116233A CN01116233A CN1312585A CN 1312585 A CN1312585 A CN 1312585A CN 01116233 A CN01116233 A CN 01116233A CN 01116233 A CN01116233 A CN 01116233A CN 1312585 A CN1312585 A CN 1312585A
- Authority
- CN
- China
- Prior art keywords
- mentioned
- substrate
- reative cell
- moisture
- reactant gas
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 120
- 239000004065 semiconductor Substances 0.000 title claims abstract description 66
- 239000000758 substrate Substances 0.000 claims abstract description 126
- 230000008569 process Effects 0.000 claims abstract description 62
- 238000012545 processing Methods 0.000 claims abstract description 26
- 238000006243 chemical reaction Methods 0.000 claims abstract description 21
- 239000007789 gas Substances 0.000 claims description 168
- 239000000376 reactant Substances 0.000 claims description 80
- 230000032258 transport Effects 0.000 claims description 60
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 44
- 229910052710 silicon Inorganic materials 0.000 claims description 44
- 239000010703 silicon Substances 0.000 claims description 44
- 238000010438 heat treatment Methods 0.000 claims description 14
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 10
- 230000007246 mechanism Effects 0.000 claims description 9
- 238000000862 absorption spectrum Methods 0.000 claims description 7
- 238000002156 mixing Methods 0.000 claims description 4
- 239000000377 silicon dioxide Substances 0.000 claims description 4
- 229910001385 heavy metal Inorganic materials 0.000 abstract description 15
- 238000004519 manufacturing process Methods 0.000 abstract description 8
- 238000005070 sampling Methods 0.000 description 31
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 13
- 239000010408 film Substances 0.000 description 13
- 229910000041 hydrogen chloride Inorganic materials 0.000 description 13
- IXCSERBJSXMMFS-UHFFFAOYSA-N hydrogen chloride Substances Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 description 13
- 238000005259 measurement Methods 0.000 description 13
- 230000008676 import Effects 0.000 description 12
- 239000000463 material Substances 0.000 description 11
- 239000013078 crystal Substances 0.000 description 10
- 238000000407 epitaxy Methods 0.000 description 9
- 230000005540 biological transmission Effects 0.000 description 8
- 238000004458 analytical method Methods 0.000 description 7
- 230000014509 gene expression Effects 0.000 description 6
- 230000009897 systematic effect Effects 0.000 description 6
- 230000033228 biological regulation Effects 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 5
- 239000011261 inert gas Substances 0.000 description 5
- 230000004044 response Effects 0.000 description 5
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 5
- 238000005260 corrosion Methods 0.000 description 4
- 230000007797 corrosion Effects 0.000 description 4
- 230000035945 sensitivity Effects 0.000 description 4
- PNEYBMLMFCGWSK-UHFFFAOYSA-N Alumina Chemical compound [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 3
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 238000012937 correction Methods 0.000 description 3
- 239000001257 hydrogen Substances 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 238000000746 purification Methods 0.000 description 3
- 238000001179 sorption measurement Methods 0.000 description 3
- 239000010409 thin film Substances 0.000 description 3
- 238000001947 vapour-phase growth Methods 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- 239000007795 chemical reaction product Substances 0.000 description 2
- 238000006073 displacement reaction Methods 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 230000004941 influx Effects 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 238000012544 monitoring process Methods 0.000 description 2
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 2
- 238000004445 quantitative analysis Methods 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000007086 side reaction Methods 0.000 description 2
- 229920002379 silicone rubber Polymers 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 238000004804 winding Methods 0.000 description 2
- 102100031920 Dihydrolipoyllysine-residue succinyltransferase component of 2-oxoglutarate dehydrogenase complex, mitochondrial Human genes 0.000 description 1
- -1 H 2 Chemical compound 0.000 description 1
- 101000992065 Homo sapiens Dihydrolipoyllysine-residue succinyltransferase component of 2-oxoglutarate dehydrogenase complex, mitochondrial Proteins 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- WGLPBDUCMAPZCE-UHFFFAOYSA-N Trioxochromium Chemical compound O=[Cr](=O)=O WGLPBDUCMAPZCE-UHFFFAOYSA-N 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 238000004364 calculation method Methods 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000003985 ceramic capacitor Substances 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 229910000423 chromium oxide Inorganic materials 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000001773 deep-level transient spectroscopy Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 238000000605 extraction Methods 0.000 description 1
- 230000003760 hair shine Effects 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 230000002401 inhibitory effect Effects 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 230000002285 radioactive effect Effects 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 238000010517 secondary reaction Methods 0.000 description 1
- 230000006641 stabilisation Effects 0.000 description 1
- 238000011105 stabilization Methods 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000000624 total reflection X-ray fluorescence spectroscopy Methods 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4408—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/54—Apparatus specially adapted for continuous coating
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
- C30B25/14—Feed and outlet means for the gases; Modifying the flow of the reactive gases
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
- C30B25/16—Controlling or regulating
- C30B25/165—Controlling or regulating the flow of the reactive gases
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/906—Cleaning of wafer as interim step
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/907—Continuous processing
- Y10S438/908—Utilizing cluster apparatus
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Mechanical Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
Abstract
提供一种可以正确调整工艺时的条件,高精度进行选择外延生长等的反应性气体处理的半导体制造方法。并且,提供一种可以抑制水分浓度的增加,防止重金属污染,同时能够检测反应室内水分浓度与外部区域之间相关的半导体制造方法和半导体制造装置。在设置衬底的状态下测量反应室1内或该反应室的气体排放系统内的水分浓度,根据该水分浓度调整反应性气体处理的条件。并且,在用连接于衬底运送系统2、3内密闭空间的第1水分计6测量上述密闭空间的水分浓度后,用上述衬底运送系统进行送入或取出衬底W的衬底运送工序,及在该衬底运送工序后,用连接于反应室1的第2水分计一边测量反应室内的水分浓度一边进行反应性气体处理的处理工序。
Description
本发明涉及一种例如在反应室内配置的硅衬底上,使用反应性气体(腐蚀性气体)进行外延生长等的半导体制造方法。
作为用于在硅衬底上形成LSI等半导体电路的制造工序,有时采用在表面上外延生长硅薄膜的工序;在将SiO2膜(氧化硅)形成图形后的硅衬底W表面之中的硅露出来的区域上,选择性地外延生长硅膜的工序;和作为MOS器件用的衬底,在极低电阻率的硅衬底上,以规定的杂质浓度气相生长单晶硅薄膜(外延层)的工序等。
这些制造工序中,就是在反应室(加工室:process chamber)内配置硅衬底,流过反应性源气体而在衬底上进行外延生长的。
并且,作为使用反应性气体处理的另一种制造工序,采用随反应性气体的反应而在衬底上形成薄膜的各种CVD工序或采用形成微细图形的蚀刻工序等。
这些半导体制造装置使用象超高纯度的氯化氢气体或氨气之类的腐蚀性气体作为反应性气体,然而即使其中含有少量水分,也变得容易造成使用于装置(反应室内部、气体供给系统、气体排气系统等)的金属构件受腐蚀,由金属部分产生的金属(重金属)就成为污染的原因而且是有害的,因此要求对反应室内的腐蚀性气体中的水分进行高灵敏度定量分析。
以往,为了研究工艺条件与重金属污染之间的关系和工艺条件与反应性气体处理的特性之间的关系,在过程后只有通过化学分析(原子吸收光谱、放射化分析等)、物理分析(SIMS、TXRF等)或电分析(DLTS、SPV、寿命等)直接地对过程监控片进行分析,并将其结果反馈的手段。
近年来,作为测定反应性气体(腐蚀性气体)中的水分浓度的手段,例如在特开平-5-99845号公报和特开平11-183366号公报等已经提出:向与反应室连接的管状盒本体内射入激光来测定透过激光的吸收光谱的激光水分计。该激光水分计由于能以与气体非接触式测定,即使反应性气体也可以高精度进行测定。因此,在工艺中,也就可能测定反应室内的水分浓度。
上述现有的半导体制造技术中,留下以下的这种课题。就是,在实际的工艺中,反应室内的水分浓度每次工艺过程最好一定,即使由工艺过程监控片的分析而产生的反馈来设定条件,也存在随水分浓度的变动而使反应性气体处理的过程特性发生偏离。例如,在进行上述选择外延生长的情况下,SiO2膜含有的水分(吸附水分)在加工前的衬底烘焙中脱离,有提高反应室内水分浓度的现象。大家都知道,这时,选择外延生长时的水分浓度增加,将给选择生长的选择性和选择生长膜的特性带来影响。
并且,反应室内的水分不只是从反应性气体的配管导入,而且存在起因于从其它外部区域侵入气氛的情况,因此,也增加了水分浓度,所以仅仅测定反应室内的水分浓度,就难以研究水分浓度变动的原因。并且,不清楚反应室内的水分浓度到什么程度,才能充分抑制重金属污染的影响。例如,研究供给反应的排出气体中含有的水分与寿命之间的关系时,如图9所示,很清楚水分浓度越少寿命越长,寿命的平均值(实线)与最大值(虚线)之差加大。这是由于衬底表面上发生点状重金属污染的缘故。
本发明就是鉴于上述问题而作出发明,因而其目的在于提供一种能够正确调整工艺过程时的条件,高精度进行选择外延生长等的反应性气体处理的半导体制造方法。
进而,本发明的目的在于提供一种能够抑制水分浓度增加,防止重金属污染等,同时可以检查反应室内的水分浓度与外部区域之间关系的半导体制造方法和半导体制造装置。
本发明的第1方面是为解决上述问题而采用以下构成。就是,本发明的第1方面的半导体制造方法是在内部设置有衬底的反应室内流过反应性气体,进行使衬底与反应性气体反应的反应性气体处理,其特征在于在设置有上述衬底的状态下,测量上述反应室内和该反应室的气体排放系统内的水分浓度,根据该水分浓度,调整反应性气体处理的条件。
在该半导体制造方法中,在设置有衬底的状态下,测量反应室内和该反应室的气体排放系统内的水分浓度,根据该水分浓度,调整反应性气体处理的条件,因而由实际过程的水分浓度测量值来调整水分浓度自身(校正到适当范围内)和调整成膜或腐蚀等条件,使考虑到水分浓度对反应性气体处理的特性影响的高精度而且稳定的处理成为可能。
并且,本发明的第1方面的半导体制造方法,作为上述反应性气体处理的条件,最好是包括在使反应性气体流入上述反应室内之前进行的上述衬底的加热条件。
就是,在该半导体制造方法中,调整在使反应性气体流入反应室内之前进行的衬底的加热条件(烘焙条件),因而可以在使反应性气体流入反应室前充分地使衬底上含有的水分脱离并把反应室内的水分浓度调整到适当范围内,就可能成为稳定的反应性气体处理。
另外,作为上述加热条件,至少调整上述衬底的加热温度、衬底的加热时间或纯化气体的流量之中的一个条件。
并且,本发明的第1方面的半导体制造方法,上述反应性气体处理的条件最好是上述衬底的加热温度、上述反应性气体的流量、上述反应性气体的混合比或上述反应室内的压力之中的至少一个条件。
就是,在本半导体制造方法中,作为反应性气体处理条件,至少调整衬底的加热温度、反应性气体的流量、反应性气体的混合比或反应室内的压力之中的至少一个条件,而这些条件特别是对进行选择外延生长时的选择性带来影响,所以能够提高选择生长的选择性。
并且,本发明的第1方面的半导体制造方法,在对表面的至少一部分上形成了氧化硅的上述衬底进行上述反应性气体处理的情况下是合适的。
就是,在对表面的至少一部分形成了氧化硅的衬底的情况下,氧化硅中含有的水分在烘焙时脱离恐怕会增加反应室内的水分浓度,通过实测水分浓度,也可以对这样的衬底进行高精度而又稳定的处理。
进而,本发明的第1方面的半导体制造方法,上述衬底是硅衬底,上述反应性气体处理在对上述衬底表面之中的硅露出的区域进行选择性生长半导体层的处理时是合适的。
就是,在对衬底表面的硅露出区域进行选择性外延生长硅等的半导体层时,由于其选择性受水分浓度影响,因此通过根据实际测出的水分浓度调整条件,可以得到高精度而又高选择性的选择生长。
就是若按照本发明的第1方面的半导体制造方法,在设置有衬底的状态下,测量反应室内或该反应室的气体排放系统内的水分浓度,根据该水分浓度调整反应性气体处理的条件,则由实际工艺过程中的水分浓度测量值调整水分浓度自身的调整、成膜或腐蚀等的工艺条件,就能进行考虑到水分浓度给反应性气体处理特性的影响的高精度而且稳定的处理。特别是,在对在硅衬底上进行选择外延生长时,由于选择生长的选择性影响到水分浓度,所以要是根据水分浓度的实测值调整左右选择性的参数(加热温度等处理条件),就能进行具有高选择性并稳定的选择生长。
进而,本发明人对反应室内的水分浓度增加的重要原因进行研究的结果,在把衬底运送到反应室内时,对预反应室外部区域的衬底运送系统的密闭空间的水分浓度进行测量,然而如图10所示,尽管该密闭空间的水分浓度降低,但可以看出上述反应室内的水分浓度增加(图10中的Tr-ch为运送用室(上述密闭空间)内的数据,Pr-ch为反应室内的数据)。这样,为了使上述反应室内加热到预先规定的温度,考虑到由于在上述密闭空间里从装片连锁等外部导入的氧气与反应室的氢气反应产生水分而想到运送系统是反应性气体以外的水分来源。
因此,本发明的第2方面,根据上述见识,为解决上述问题而采用以下构成。即,在本发明的第2方面的半导体制造方法是,当用衬底运送系统从该衬底运送系统内的密闭空间把衬底送入反应室内时,或从反应室内取出到上述密闭空间时,流到该反应室内的反应性气体(腐蚀性气体),在反应室内进行使反应性气体反应的反应性气体处理(腐蚀性气体处理)的半导体制造方法,其特征是配备有:在用连接于上述密闭空间的第1水分计测量上述密闭空间内的水分浓度以后,用上述衬底运送系统对上述衬底进行上述送入或上述取出的衬底运送工序;在该衬底运送工序后,边用连接于上述反应室的第2水分计测量反应室内的水分浓度边进行上述反应性气体处理(腐蚀性气体处理)的气体处理工序。
并且,本发明的第3方面的半导体制造装置是,当用衬底运送系统从该衬底运送系统内的密闭空间把衬底送入反应室内时,或从反应室内取出到上述密闭空间时,流到该反应室内的反应性气体(腐蚀性气体),在反应室内进行使反应性气体反应的反应性气体处理(腐蚀性气体处理)的半导体制造方法,其特征是配备有:测量上述衬底运送系统的密闭空间内的水分浓度的第1水分计和测量上述反应室内的水分浓度的第2水分计。
在这些的第2方面的半导体制造方法和第3方面的半导体制造装置中,借助于测量衬底运送系统密闭空间水分浓度的第1水分计和测量反应室内水分浓度的第2水分计,可以一起测量衬底运送系统密闭空间水分浓度和反应室内水分浓度,可以检查上述密闭空间中的水分浓度给予反应室内水分浓度的影响,同时为了降低反应室内水分浓度就可以测量、限制上述密封空间的水分浓度并进行良好的气体处理。
并且,本发明的第2方面的半导体制造方法中,在上述衬底运送工序,在确认上述密闭空间内水分浓度要比第1给定值低后,从上述密闭空间内把上述衬底送入上述反应室内或从反应室内取出到上述密闭空间,上述反应性气体处理工序,最好在确认上述密闭空间内水分浓度要比第2给定值低后,开始上述反应性气体处理。
本半导体制造方法中,通过预先设定在上述密闭空间把衬底移送到反应室内外时的必要的水分浓度的上限作为第1给定值、在反应室进行重金属污染等的无反应性气体处理的必要的水分浓度的上限作为第2给定值,可以稳定地实现良好的反应性气体处理。
进而,在本发明的第2方面的半导体制造方法,理想的是把上述第2给定值设定为未满1ppm。
就是,本发明人研究了反应室内水分浓度与重金属污染之间关系的结果,确认该水分浓度在ppm数量级对衬底表面有点状重金属污染,然而通过降低到亚ppm级,就几乎看不到发生点状的污染。因此,本发明基于这个见识,在本半导体制造方法中,采用至少把第2给定值设定为未满1ppm的办法,可以防止重金属的点状污染。
并且,本发明的第2方面的半导体制造方法和第3方面的半导体制造装置中,上述第1水分计或上述第2水分计的至少一方,最好是使激光射入在与上述密闭空间或上述反应室连接的管状盒本体内并测定透过激光的吸收光谱的激光水分计。
在这些的半导体制造方法和半导体制造装置中,第1和第2水分计的至少一方是激光水分计,因而可能与测定对象的气体以非接触方式高精度定量分析水分。
并且,本发明的第3方面的半导体制造装置中,最好配备有多个反应室,并且在每个上述反应室设置有上述第1水分计可以测量水分浓度。
该半导体制造装置中,在每个反应室可以用第1水分计测量多个反应室的水分浓度,因而可以通过测量各个反应室内水分浓度,一个个地以适合的水分浓度进行良好的反应性气体处理。
进而,本发明的第3方面的半导体制造装置中,最好配备有可以把与上述第1水分计连接的对象切换到任意的上述反应室的切换机构。
在该半导体制造装置中,配备有可以把与上述第1水分计连接的对象切换到任意的上述反应室的切换机构,因而通过切换机构连接希望测量的反应室和第1水分计,就可以用一个第1水分计测量多个而且任意的反应室内水分浓度,可以达到减少构件个数和成本。
并且,本发明的第3方面的半导体制造装置中,上述第1水分计和第2水分计,最好是同一个水分计,并且配备有可把连接该水分计的对象切换到上述密闭空间或上述反应室的切换机构。
在本半导体制造装置中,由于同一水分计兼用作第1和第2水分计,通过切换机构,把连接的对象任意地切换到上述密闭空间或上述反应室,所以可用一个水分计测量上述密闭空间和反应室的水分浓度,可以达到减少构件个数和成本。
就是若采用本发明的第2方面的半导体制造方法和第3方面的半导体制造装置,用测量衬底运送系统密闭空间内水分浓度的第1水分计和测量反应室内水分浓度的第2水分计,共同测量衬底运送系统密闭空间的水分浓度和反应室内的水分浓度,因而可以检查上述密闭空间的水分浓度给予反应室内的水分浓度的影响,就能分析来自衬底运送系统的水分供给原因。并且,为了降低反应室内的水分浓度,测量并限制上述密闭空间的水分浓度就可以进行良好的反应性气体处理,可稳定性良好地进行晶体生长、薄膜形成和腐蚀等反应性气体处理,能够制造出高质量的半导体衬底和半导体器件等的半导体装置。
图1表示本发明的半导体制造方法一个实施例的外延晶体生长的示意性全体平面图。
图2表示本发明的半导体制造方法一个实施例的工艺用水分计构成的剖面图。
图3放大表示选择外延生长的重要部分的硅衬底剖面图。
图4表示本发明的半导体制造方法和半导体制造装置一个实施例的外延晶体生长的示意性全体平面图。
图5表示本发明的半导体制造方法和半导体制造装置一个实施例的工艺用水分计构成的配管图。
图6表示本发明的半导体制造方法和半导体制造装置一个实施例的激光水分计本体构成的剖面图。
图7表示用半导体制造方法和半导体制造装置的现有例实际进行外延生长时,晶片表面内重金属污染状态的分布图。
图8表示用本发明的半导体制造方法和半导体制造装置的一个实施例实际进行外延生长时,晶片表面内重金属污染状态的分布图。
图9表示排气气体中水分与寿命之间的关系图。
图10表示运送用室和反应室的水分浓度与反应室温度之间的关系图。
以下,边参照图1到图3,边说明本发明的第1方面的半导体制造方法的一个实施例。
在这些图中,标号1表示反应室、2表示运送用室、3表示送入装片连锁室、4表示取出装片连锁室、5表示工艺过程用水分计。
图1表示用于实施本发明的半导体制造方法的单片式外延晶体生长装置图。该外延晶体生长装置,如图1所示,备有:作为内部配置硅衬底W的中空气密容器的3个石英制造的加工室(以下称为:反应室)1、向这些反应室1内送入硅衬底W时在内部的密闭空间进行气氛置换的运送用室2、把加工前的硅衬底W送入该运送用室2的送入装片连锁室3和用于从运送用室2取出加工后的硅衬底W的取出装片连锁室4。
在上述反应室1中,设有对导入该反应室1的反应性气体进行取样,测量气体中含有的水分的工艺过程用水分计5和测量反应室1内压力的压力计7。
并且,在运送用室2内,还设置测量内部气氛中水分的运送系统水分计6。该运送系统水分计6,例如,理想的是具有精度和响应速度高的同后述的水分计本体10同样的激光水分计,但是也不妨使用将水分吸附到氧化铝电容器等上,测量其电容变化的静电容方式的水分计或质量分析法的水分计等。
上述反应室1与反应性气体等的气体供给源(图中省略)连接,就可以导入来自该气体供给源的气体(SiCl2H2、SiCl3H、HCl、H2、N2、B2H6、PH3、SiH4等),同时通过气体排放系统与排气处理设备(图中省略)连接,就能将反应室1内供给反应后的反应性气体等向排气处理设备排气。
上述工艺过程用水分计5,如图5所示,配备有:通过反应室1的气体排放系统和阀门(图中省略)一端连接的取样管道的取样配管9、与该取样配管9的另一端连接并测量来自反应室1的反应性气体中所含水分的水分计本体10、及通过连接管11与该水分计本体10的后端连接的旋转泵12。
上述水分计本体10,在框体10a内设置管状盒本体19,该管状盒本体19上一端侧面连接着取样配管(气体排放系统)9,而且另一端侧面连接着连接管11。管状盒本体19的两端装有透光性窗口材料19a,并在一方的透光性窗口材料19a的外侧面,对向设置产生红外激光L(波长1.3~1.55μm)的波长可变半导体激光器LD,另一方透光性窗口材料19a的外侧面,对向设置接收透过管状盒本体19内的红外激光L并将其接收的光强度变换成电信号的光检测器PD。
另外,在上述取样配管9和连接管11上卷绕连接电流供给源(图中省略)的带状加热器20,进而,其上缠绕硅橡胶的隔热材料21。另外,带状加热器20是为调整其流过的电流,将取样配管9和连接管11加热到100℃以上,抑制这些配管内副生成反应物的附着。
并且,在水分计本体10的管状盒本体19和透光性窗口材料19a上安装主要为将其加热的电热线的盒用加热器22,并加热到100℃以上。进而,水分计本体10根据用带状加热器20和盒用加热器22加热到100℃以上的气体温度,预先进行其测定灵敏度的调整和校正。
其次,作为本实施例,如图3所示,对于表面上形成了SiO2膜31图形的硅衬底W,只在表面上露出硅的区域使用上述外延晶体生长装置,选择性地进行外延生长硅膜(半导体层)32的情况进行说明。
首先,从送入装片连锁室3把硅衬底W送入运送用室2内,并将运送用室2内的气氛置换成N2等惰性气体,同时用运送系统水分计6测量气氛中的水分,确认水分已降低到足够低的状态以后,把硅衬底W送入反应室1内。
反应室1内用H2或N2等惰性气体的纯化气体进行净化,在送入了硅衬底W的状态下烘焙(加热)衬底W直到规定温度。在该烘焙过程中,开动旋转泵12,同时打开取样配管9的阀门等,一边调整气体流入量一边时常把反应室1内的气氛导入到水分计本体10内。
被取样的气体流入水分计本体10里的管状盒本体19内,并受来自半导体激光器LD的红外激光L照射。透过管状盒本体19内气体的红外激光L用光检测器PD接收,根据从该接收量获得的吸收光谱强度,测量气体中的水分浓度,进行气体中所含水分的定量分析。另外,流入管状盒本体19的气体通过连接管11和旋转泵12由排气系统排出。并且,反应室1内的压力,则时常用压力计7进行测量。
这时,基于实测到的烘焙中反应室1内的水分浓度,调整烘焙条件。即,作为烘焙条件,至少调整衬底W的加热温度、加热时间或纯化气体的流量中的一个条件。例如,水分浓度比适当范围升高时,根据水分浓度,进行提高加热温度,延长加热时间或加大纯化气体流量等的调整,并调整到将烘焙中的水分浓度抑制在适当范围内。另外,采用提高烘焙中的加热温度或延长加热时间的办法,可提高选择生长的选择性。
这样调整烘焙条件使水分浓度变成适当范围内的状态,接着,导入SiCl2H2、HCl、H2、SiH4等反应性气体,在硅衬底W的表面上进行选择外延生长。另外,这时也与烘焙时同样,时常测量反应室1内的水分浓度。
这时,根据实测的烘焙中和工艺过程中的反应室1内的水分浓度,调整工艺条件。即,作为工艺条件,至少调整衬底W的加热温度、反应性气体的流量、反应性气体的混合比或反应室1内的压力之中的一个条件。例如,水分浓度比适当范围提高时,根据水分浓度,相对于源气体(SiCl2H2、HCl等)增加工艺过程中的氢气流量,通过进行调整提高HCl(氯化氢)的流量或反应室1内的压力等,就可以提高选择生长的选择性。特别是,HCl具有抑制在SiO2上生长多晶硅(提高选择性)的作用。
另外,气体的流量,最好设定在选择生长可能的选择区域与非选择区域的边界附近的条件。
并且,预先把对应于水分浓度的条件设定储存到生长装置的控制器等里,借助于该控制器等,根据实测水分浓度,自动调整烘焙条件和工艺条件也无妨。
在上述外延生长结束后,用惰性气体置换反应室1内的气体,进而,通过运送用室2从取出装片连锁室4取出完成选择生长的硅衬底W。
在本实施例中,由于在设置有硅衬底W的状态下,测量反应室1内的水分浓度,根据该水分浓度调整选择外延生长的气体处理条件,从实际水分浓度的测量值把烘焙时的水分浓度调整到适当范围内,进而,调整选择生长中的工艺条件,而可以考虑到水分浓度给选择生长的选择性带来影响的高精度稳定的选择生长。
另外,本发明的第1方面还包括以下这个实施例。
在上述实施例中,作为半导体制造方法适用于进行选择外延生长的气相生长,然而在反应室内进行使反应性气体与衬底的反应的处理,若是按照水分浓度使处理特性受到影响这样的方法,在其它的半导体制造方法也可使用。例如,作为MOS器件用的衬底,在极低电阻率的衬底上,采用气相生长单晶硅薄膜的外延晶片的制造方法、在衬底上形成其它薄膜的CVD法或使用反应性气体蚀刻衬底表面的干式蚀刻法等也无妨。
下面,边参照图4到图6边说明本发明的第2方面的半导体制造方法和本发明的第3方面的半导体制造装置的一个实施例。
并且,在本实施例的说明中,对与上述本发明的第1方面的半导体制造方法的实施例中说过的构件具有相同功能的构件,赋予相同参照标号。
这些图中,标号1表示反应室、2表示运送用室、3表示送入装片连锁室、4表示取出装片连锁室、5表示过程用水分计、6表示运送系统水分计。
图4就是表示将本发明的第3方面的半导体制造装置,应用于例如单片式外延晶体生长装置时的平面图。该外延晶体生长装置,如图4所示,是配备有:内部配置有硅衬底W的中空气密容器的3个石英制的反应室1;向这些反应室内送入硅衬底W时,在内部的密闭空间进行气氛置换的运送用室(衬底运送系统)2;以及用于把加工前的硅衬底W送入运送用室2的送入装片连锁室3和从运送用室2取出加工后的硅衬底W的取出装片连锁室4的多片式的生长装置。
上述反应室1分别用工艺过程用取样配管9与含有导入到该反应室1的反应性气体(腐蚀性气体)的工艺过程气体进行取样,测量气体中所含水分的工艺过程用水分计(第2水分计)5连接。
并且,在运送用室2、送入装片连锁室3和取出装片连锁室4的各个内部,也用运送系统取样配管6a连接测量内部气氛中水分的运送系统水分计(第1水分计)6。该运送系统水分计6是与使用精度和响应速度高的后述激光水分计本体10的工艺过程用水分计5同样的水分计。
运送系统取样配管6a由从水分计本体10对应于运送用室2、送入装片连锁室3和取出装片连锁室4分支成3条分支管6b而构成,并在各条分支管6b上设置可以将其开闭的阀门6c。
如图2所示,在上述反应室1上连接有用于导入反应性气体等的气体供给源(图中省略)来的气体(SiCl2H2、SiCl3H、HCl、H2、N2、B2H6、PH3等)的工艺过程气体导入管23和反应室1内供反应后往排气处理设备(图中省略)排出反应性气体等的工艺过程气体排气管8。
上述工艺过程用水分计5配备有:具备阀门9a的一端侧面通过工艺过程气体排气管8的基端侧面连接各反应室1的取样管道的工艺过程用取样配管9;通过可变阀门9b连接该工艺过程用取样配管9的另一端,测量反应室1来的反应性气体中所含水分的激光水分计本体10;和通过可变阀门11a用连接管11连接该激光水分计本体10后端部的旋转泵12。
在上述工艺过程用取样配管9的基端侧面,通过阀门13a连接有取样管道N2纯化用取样配管13,并且,工艺过程气体导入管23通过阀门14a用分支管14连接到配管纯化管道13。另外,配管纯化管道13与分支管14的连接部分相比,在上游配备有阀门13b。
并且,工艺用取样配管9由对应于3个反应室1从激光水分计本体10分支成3条分支管9c而构成,并在各分支管9c上设置可以将其开闭的阀门(切换机构)9d。
上述激光水分计本体10上,如图5和图6所示,连接有用于该框体10a内进行N2纯化的框体纯化管道15,同时另一端连接到排出N2的工艺过程气体排气管8上的N2排气管道16。
另外,上述旋转泵12通过阀门17a用取样排气管17连接工艺过程气体排气管8。并且,在旋转泵12上连接有气体稳流用的N2纯化管道18。
上述激光水分计本体10,如图6所示,框体10a内设有管状盒本体19,该管状盒本体19上,一端侧面连接工艺过程用取样配管9,同时另一端侧面连接着连接管11。管状盒本体19两端装有透光性窗口材料19a,并在一方的透光性窗口材料19a的外侧面,对向设置产生红外激光L(波长1.3~1.55μm)的波长可变半导体激光器LD,另一方透光性窗口材料19a的外侧面,对向设置接收透过管状盒本体19内的红外激光L并将其接收的光强度变换成电信号的光检测器PD。
另外,在上述工艺用取样配管9和上述连接管11上卷绕带状加热器20,进而,其上缠绕硅橡胶的隔热材料21。另外,带状加热器20与图中未示出的电流供给源连接起来。而且,调整流到带状加热器20的电流,将工艺过程用取样配管9和连接管11加热到100℃以上。
并且,在激光水分计本体10的管状盒本体19和透光性窗口材料19a上也安装主要为将其加热的电热线的盒用加热器22,并将其加热到100℃以上。另外,运送系统取样配管6a也用与工艺过程用取样配管9同样的手段进行加热。因此,可以抑制反应室1内加热后反应性气体的配管内部的副反应生成物的附着,并能够防止副反应生成物闭塞配管。而且,可以就地时常测量水分。
进而,水分计本体10根据用带状加热器20和盒用加热器22加热到100℃以上的反应气体温度,预先进行其测定灵敏度的调整和校正。另外,测定灵敏度的调整和校正,例如是在将光检测器PD来的信号连接到光检测器PD的控制器(图中省略)中进行运算处理。
另外,作为上述各配管,使用不锈钢配管为配管材料,最好使用电解研磨处理其里面或进行过CRP处理(表面具有氧化铬膜的钝化膜)的配管。
其次,说明有关本发明第2方面的半导体制造方法和本发明的第3方面的半导体制造装置的一个实施例的外延晶体生长方法。
首先,从外部把进行外延生长的硅衬底W移送到送入装片连锁室3,同时这时用运送系统水分计6测量送入装片连锁室3中的水分。即,只打开与送入装片连锁室3连接的分支管6b的阀门6c并关闭其它的阀门6c,在此状态下通过运送系统取样配管6a将送入装片连锁室3内的气氛导入激光水分计本体10并测量水分浓度。另外,从运送系统取样配管6a导入激光水分计本体10的气体与后述的工艺过程用水分计5同样进行。
在用运送系统水分计6的测量确认送入装片连锁室3内的水分浓度不到规定值以后,从送入装片连锁室3把硅衬底W送入运送用室2内,进而,将运送用室2内的气氛置换成N2等的惰性气体。
这时,用运送系统水分计6测量运送用室2中的水分。即,只打开与运送用室2连接的分支管6b的阀门6c而关闭其它的阀门6c,在此状态下,通过运送系统取样配管6a将运送用室2内的气氛导入激光水分计本体10并测量水分浓度。而且,在根据运送系统水分计6的测量,直到运送用室2内的水分浓度未满规定的给定值(第1给定值),确认为充分降低水分的状态后,把硅衬底W送入反应室1内。另外,规定的给定值,按运送用室2与反应室1的容积比,未满5ppm是希望的。即,少许水分浓度即使高,也用纯化气体进行稀释,影响就小。
在加工前,用H2或N2等的惰性气体使各反应室1内成为净化状态,而且配置从运送用室2送入的硅衬底W并加热到规定温度。这时,用工艺过程用水分计5,测量各反应室1中的水分。即,只打开连接要测量的反应室1的分支管9c的阀门9d而关闭其它的阀门9d,在此状态下,通过工艺过程用取样配管9将反应室1内的气体导入激光水分计本体10并测量其水分浓度。这时,打开阀门9a、17a同时开动旋转泵12,进而,一边用可变阀门9b、11a调整气体流入量,一边通过工艺过程用取样配管9时常将反应室1内的一部分气体导入到水分计本体10里。
取样来的气体流入激光水分计本体10里的管状盒本体19内,并受半导体激光器LD来的红外激光L照射。透过管状盒本体19内的气体的红外激光L被光检测器PD接收,根据从其接收量获得的吸收光谱强度,进行气体中所含水分的定量分析。
而且,在确认取样气体中的水分浓度为至少未满1ppm(第2给定值)以后,用工艺过程气体导入管23导入规定的反应性气体,在硅衬底W表面上进行外延生长。假如,运送用室2存在氧气,反应室1内的气体是氢气时,在规定的温度下由于反应产生水,此时,要中断工艺过程检测运送系统的泄漏等,进行装置的维修。
另外,流入管状盒本体19的反应性气体等,通过连接管11、旋转泵12和取样排气管17由工艺过程气体排气管8排出。
进而,在外延生长中,与上述同样,也时常通过工艺过程用取样配管9,将在反应室1供给反应并加热的排出气体的一部分导入激光水分计本体10,测量排出气体的水分浓度。
外延生长结束后,将衬底W从反应室1返回运送用室2,进而,将该衬底W送到取出装片连锁室4,向外部取出。另外,取出装片连锁室4内的水分浓度,也可以通过切换阀门6c的开闭,用运送系统水分计6进行测量,也可以测出从取出装片连锁室4来的泄漏等。
在本实施例中,也可以借助于测量运送用室2的密闭空间水分浓度的运送系统水分计6和测量反应室1内水分浓度的工艺过程用水分计5,一起测量送入装片连锁室3、运送用室2等的衬底运送系统内的水分浓度和反应室1内的水分浓度,可以检测衬底运送系统的密闭空间的水分浓度给反应室1内的水分浓度带来的影响。另外,关于运送用室2和送入装片连锁室3的两个方面,都可以用运送系统水分计6一个个地测量内部的水分浓度,因而也可以检测送入装片连锁室3内的水分浓度给运送用室2内水分浓度带来的影响。
并且,为了降低反应室1内的水分,因而测量送入装片连锁室3和运送用室2内的水分浓度,在限制规定浓度的状态下,将衬底W移送到反应室1,极力降低衬底运送系统内的气体流入反应室1内而增加水分浓度,就可以进行良好的外延生长。并且,假如,即使衬底运送系统内夹入氧气时,也可以看到反应室1内的水分浓度增加的现象,就能进行良好的外延生长。
并且,在确认取样气体中的水分浓度至少未满1ppm后,导入反应性气体在硅衬底W的表面上进行外延生长,因而可以防止重金属的点状污染。
并且,因为配备有可以一个个测量各反应室1内水分浓度的工艺过程用水分计5,对每个反应室1测量水分浓度,当一部分反应室1内水分浓度上升时,能够很容易进行不良或故障的判断。
进而,因为配备有可将连接工艺过程用水分计5的对象切换到任意一个反应室1的阀门9d,借助于各阀门9d的开闭连接想要测量的反应室1与激光水分计本体,就可能用一个工艺过程用水分计5测量多个而且任意的反应室1内的水分浓度,可以达到构件个数和成本的降低。
另外,本发明的第2和第3方面也包括以下的实施例。
在上述实施例中,虽然分别设置工艺过程用水分计5和运送系统水分计6,但是通过从一个水分计把取样配管分支到反应室和运送用室等的衬底运送系统,并在各分支配管上设置阀门等的切换机构的办法,将同一水分计兼用作工艺过程用水分计和运送系统水分计,也可以用阀门等随意地将连接的对象切换到衬底运送系统的密闭空间或反应室。这时,可以用一个水分计随意地测量衬底运送系统的密闭空间和反应室的水分浓度,进而,可以达到减少构件个数和成本。
在本实施例中,虽然是在从运送用室2把硅晶片W送入反应室1时应用本发明,可是在外延生长结束后,从反应室1把硅晶片W取到运送用室2之际,也可以应用本发明。例如,在反应室1内硅晶片W上,用甲硅烷进行外延生长,当该生长结束后,从反应室1把硅晶片W取到运送用室2中,然后向反应室1内流入HCl对内部进行净化(腐蚀)时,在上述取出晶片W前测量运送用室2内的水分浓度,确认未达到规定的给定值(例如,5ppm)以后从反应室1把晶片W取到运送用室2内。这样一来,在上述取出时,也测量并确认运送用室2内的水分浓度,因此极力降低取出时运送用室2内的气体流入反应室1内使水分浓度增加,就可能进行良好的HCl净化。
上述实施例的运送系统水分计6,如上所述,理想的是与使用高精度的激光水分计本体10的工艺过程用水分计5同样的水分计,然而测量涂覆有吸湿性薄膜的石英晶振的信号频率变动量的吸附式水分计、水分吸附于陶瓷电容器等测量其电容量变化的静电容方式的水分计和使用质量分析法的水分计等也可以。
在本实施例中,作为半导体制造装置应用于进行外延生长的气相生长装置,然而只要是在反应室内的衬底上使反应性气体反应的装置,其它的半导体制造装置也可以用。例如,也可以在衬底上形成其它薄膜的CVD装置或用腐蚀性气体蚀刻衬底表面的干式蚀刻装置等采用。
并且,在本实施例中,应用于单片式外延生长装置,然而并不限于此,也可以应用于其它方式(各种的分批式等)。
进而,在加工前,各配管和反应室内进行H2净化后导入作为反应性气体的腐蚀性气体,可是在充分地进行H2净化后,进而用HCl(氯化氢)进行净化,然后导入供生长的反应性气体也行。这时,吸附于各配管和反应室内壁的水分子与HCl结合并运送出去,而后可以降低进入供给的反应性气体中的水分。
实施例
为了比较,图7和图8分别表示用现有的方法(水分浓度为4ppm)在硅晶片W上进行外延生长的结果和用上述实施例实际上在水分浓度1ppm下进行该生长的结果。另外,这些图中,晶片表面上寿命不到500μs的区域(即,相当于重金属污染区域)画上了斜线。
由该图7和图8可知,在现有的方法中,对于晶片表面上发生点状重金属污染,而在本发明的实施例中,不发生点状污染。
Claims (15)
1、一种半导体制造方法,是在内部设置有衬底的反应室(1)内流过反应性气体,进行使衬底(W)与反应性气体反应的反应性气体处理,其特征在于,
在设置有上述衬底(W)的状态下,测量上述反应室(1)内和该反应室(1)的气体排放系统内的水分浓度,根据该水分浓度,调整反应性气体处理的条件。
2、根据权利要求1所述的半导体制造方法,其特征在于,
上述反应性气体处理的条件,包括在向上述反应室内流入反应性气体前进行的上述衬底(W)的加热条件。
3、根据权利要求2所述的半导体制造方法,其特征在于,
上述加热条件是上述衬底(W)的加热温度、衬底(W)的加热时间或纯化气体的流量的至少一个条件。
4、根据权利要求1所述的半导体制造方法,其特征在于,
上述反应性气体处理的条是上述衬底(W)的加热温度、上述反应性气体的流量、上述反应性气体的混合比或上述反应室(1)内的压力的至少一个条件。
5、根据权利要求1所述的半导体制造方法,其特征在于,
对表面的至少一部分上形成了氧化硅(31)的上述衬底(W)进行上述反应性气体处理。
6、根据权利要求5所述的半导体制造方法,其特征在于,
上述衬底(W)是硅衬底,上述反应性气体处理在上述衬底(W)表面之中的硅露出的区域上选择性地进行生长半导体层的处理。
7、一种半导体制造方法,当用衬底运送系统(2,3)从该衬底运送系统内的密闭空间把衬底(W)送入反应室(1)内时,或从反应室(1)内取出到上述密闭空间时,流到该反应室(1)内的反应性气体,在反应室(1)内进行使反应性气体反应的反应性气体处理,其特征在于配备有:
在用连接于上述密闭空间的第1水分计(1)测量上述密闭空间内的水分浓度以后,用上述衬底运送系统(2,3)进行上述衬底(W)的上述送入或上述取出的衬底运送工序;以及
在该衬底运送工序后,边用连接于上述反应室(1)的第2水分计(5)测量反应室(1)内的水分浓度,边进行上述反应性气体处理的气体处理工序。
8、根据权利要求7所述的半导体制造方法,其特征在于,
上述衬底运送工序,在确认上述密闭空间内的水分浓度比第1给定值低后,从上述密闭空间内把上述衬底(W)送入上述反应室(1)内或从反应室(1)内取出到上述密闭空间;以及
上述反应性气体处理工序,在确认上述密闭空间(1)内的水分浓度比第2给定值低后,开始上述反应性气体处理。
9、根据权利要求8所述的半导体制造方法,其特征在于至少上述第2给定值设定为未满1ppm。
10、根据权利要求7所述的半导体制造方法,其特征在于上述第1水分计(6)或上述第2水分计(5)的至少一方是测量使激光射入到与上述密闭空间或上述反应室(1)连接的管状盒本体(19)内并透过的激光吸收光谱的激光水分计(10)。
11、一种半导体制造装置,是在用衬底运送系统(2,3)把衬底(W)从该衬底运送系统内的密闭空间送入反应室(1)内之际,或从反应室(1)内取出到上述密封空间时,向该反应室(1)内流入反应性气体,并在反应室(1)内进行使反应性气体反应的腐蚀性气体,其特征在于配备有:
测量上述衬底运送系统密闭空间水分浓度的第1水分计(6),和
测量上述反应室(1)内水分浓度的第2水分计(5)。
12、根据权利要求11所述的半导体制造装置,其特征在于在每个上述反应室(1)设置有可以测量水分浓度的上述第1水分计(6)。
13、根据权利要求12所述的半导体制造装置,其特征在于配备有可将连接于上述第1水分计(6)的对象切换到任意的上述反应室(1)的切换机构(9d)。
14、根据权利要求11所述的半导体制造装置,其特征在于上述第1水分计(6)和第2水分计(5)是同一个水分计,以及
配备有可将连接于该水分计的对象切换到上述密闭空间或上述反应室(1)的切换机构。
15、根据权利要求11所述的半导体制造装置,其特征在于上述第1水分计(6)或上述第2水分计(5)的至少一方是测量使激光射入到与上述密闭空间或上述反应室(1)连接的管状盒本体(19)内并透过的激光吸收光谱的激光水分计(10)。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP52519/2000 | 2000-02-28 | ||
JP2000052519A JP3592603B2 (ja) | 2000-02-28 | 2000-02-28 | 半導体製造方法及び半導体製造装置 |
JP2000108563A JP3636962B2 (ja) | 2000-04-10 | 2000-04-10 | 半導体製造方法 |
JP108563/2000 | 2000-04-10 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1312585A true CN1312585A (zh) | 2001-09-12 |
CN1183578C CN1183578C (zh) | 2005-01-05 |
Family
ID=26586302
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB011162333A Expired - Fee Related CN1183578C (zh) | 2000-02-28 | 2001-02-28 | 半导体制造方法和半导体制造装置 |
Country Status (5)
Country | Link |
---|---|
US (3) | US6776805B2 (zh) |
KR (1) | KR100773636B1 (zh) |
CN (1) | CN1183578C (zh) |
DE (1) | DE10109507B4 (zh) |
TW (1) | TW476996B (zh) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN109254108A (zh) * | 2017-07-12 | 2019-01-22 | 株式会社堀场制作所 | 分析装置和分析方法 |
CN113774478A (zh) * | 2021-09-16 | 2021-12-10 | 季华实验室 | 外延设备的反应工况调节方法、装置、系统及电子设备 |
Families Citing this family (364)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2004296558A (ja) * | 2003-03-26 | 2004-10-21 | Osaka Prefecture | 絶縁層埋め込み型単結晶炭化シリコン基板の製造方法及びその製造装置 |
US8113757B2 (en) * | 2006-08-01 | 2012-02-14 | Tokyo Electron Limited | Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber |
US20080188011A1 (en) * | 2007-01-26 | 2008-08-07 | Silicon Genesis Corporation | Apparatus and method of temperature conrol during cleaving processes of thick film materials |
US7436081B2 (en) * | 2007-01-31 | 2008-10-14 | Caterpillar Inc. | System for controlling a hybrid energy system |
DE102007019122B3 (de) * | 2007-04-23 | 2008-06-26 | Texas Instruments Deutschland Gmbh | Verfahren zur Temperaturregelung während eines Epitaxieschrittes von Halbleiterwafern |
US8986253B2 (en) | 2008-01-25 | 2015-03-24 | Tandem Diabetes Care, Inc. | Two chamber pumps and related methods |
US8408421B2 (en) | 2008-09-16 | 2013-04-02 | Tandem Diabetes Care, Inc. | Flow regulating stopcocks and related methods |
CA2737461A1 (en) | 2008-09-19 | 2010-03-25 | Tandem Diabetes Care, Inc. | Solute concentration measurement device and related methods |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
JP2010190824A (ja) * | 2009-02-20 | 2010-09-02 | Shimadzu Corp | 半導体製造プロセス用吸光分析装置 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
EP3284494A1 (en) | 2009-07-30 | 2018-02-21 | Tandem Diabetes Care, Inc. | Portable infusion pump system |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9196551B2 (en) * | 2011-08-26 | 2015-11-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Automatically adjusting baking process for low-k dielectric material |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9180242B2 (en) | 2012-05-17 | 2015-11-10 | Tandem Diabetes Care, Inc. | Methods and devices for multiple fluid transfer |
US9555186B2 (en) | 2012-06-05 | 2017-01-31 | Tandem Diabetes Care, Inc. | Infusion pump system with disposable cartridge having pressure venting and pressure feedback |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10724137B2 (en) * | 2013-02-05 | 2020-07-28 | Kokusai Eletric Corporation | Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, recording medium, and cleaning completion determining method |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9173998B2 (en) | 2013-03-14 | 2015-11-03 | Tandem Diabetes Care, Inc. | System and method for detecting occlusions in an infusion pump |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) * | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
JP6458595B2 (ja) * | 2015-03-27 | 2019-01-30 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法並びに記憶媒体 |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
JP6586328B2 (ja) * | 2015-09-04 | 2019-10-02 | 東京エレクトロン株式会社 | 被処理体を処理する方法 |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
TWI607367B (zh) | 2017-01-25 | 2017-12-01 | 友達光電股份有限公司 | 面板及其製造方法 |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103613A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | A storage device for storing wafer cassettes for use with a batch furnace |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
KR102695659B1 (ko) | 2018-01-19 | 2024-08-14 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
WO2019158960A1 (en) | 2018-02-14 | 2019-08-22 | Asm Ip Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
TWI728456B (zh) | 2018-09-11 | 2021-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 相對於基板的薄膜沉積方法 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI838458B (zh) | 2019-02-20 | 2024-04-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於3d nand應用中之插塞填充沉積之設備及方法 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
JP2021177545A (ja) | 2020-05-04 | 2021-11-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3732435A (en) * | 1972-03-27 | 1973-05-08 | Strandberg Eng Labor Inc | Moisture measuring and control apparatus |
CH613546A5 (zh) * | 1977-05-04 | 1979-09-28 | Boschung Fa M | |
US4272986A (en) * | 1979-04-16 | 1981-06-16 | Harris Corporation | Method and means for measuring moisture content of hermetic semiconductor devices |
US4475080A (en) * | 1982-05-10 | 1984-10-02 | Walker Charles W E | Microwave moisture measurement of moving particulate layer after thickness leveling |
JPS60145999A (ja) | 1984-01-11 | 1985-08-01 | Nec Corp | 化合物半導体気相成長方法 |
US4661196A (en) * | 1984-10-22 | 1987-04-28 | Texas Instruments Incorporated | Plasma etch movable substrate |
GB8715357D0 (en) | 1987-06-30 | 1987-08-05 | Sandoz Inst For Medical Resear | Organic compounds |
JPH01216551A (ja) * | 1988-02-24 | 1989-08-30 | Nec Kyushu Ltd | 半導体基板の収納保管装置 |
JP2602880B2 (ja) * | 1988-03-05 | 1997-04-23 | 忠弘 大見 | シリンダーキャビネット配管装置 |
DE68927726T2 (de) * | 1988-07-20 | 1997-07-17 | Hashimoto Chemical Ind Co | Einrichtung zum Trockenätzen mit einem Generator zum Erzeugen von wasserfreiem Flusssäuregas |
JPH03226649A (ja) * | 1990-01-31 | 1991-10-07 | Sanyo Electric Co Ltd | 感湿素子 |
JPH0485927A (ja) * | 1990-07-30 | 1992-03-18 | Nippon Telegr & Teleph Corp <Ntt> | ゲート電極用薄膜の形成方法とその形成装置 |
JP3017301B2 (ja) * | 1991-02-18 | 2000-03-06 | 大阪酸素工業株式会社 | 不動態膜の形成方法 |
JP3059262B2 (ja) | 1991-10-08 | 2000-07-04 | 日本酸素株式会社 | ガス中の微量水分分析装置 |
US5241851A (en) | 1991-11-04 | 1993-09-07 | The Boc Group, Inc. | Method of performing an instantaneous moisture concentration measurement and for determining the drydown characteristics of an environment |
JPH0661199A (ja) | 1992-04-27 | 1994-03-04 | Nec Corp | 気相エッチング方法および装置 |
TW262566B (zh) * | 1993-07-02 | 1995-11-11 | Tokyo Electron Co Ltd | |
JPH0864559A (ja) * | 1994-06-14 | 1996-03-08 | Fsi Internatl Inc | 基板面から不要な物質を除去する方法 |
US5963336A (en) * | 1995-10-10 | 1999-10-05 | American Air Liquide Inc. | Chamber effluent monitoring system and semiconductor processing system comprising absorption spectroscopy measurement system, and methods of use |
JPH09129709A (ja) | 1995-10-27 | 1997-05-16 | Nec Corp | プロセス装置 |
WO1997024760A1 (fr) * | 1995-12-28 | 1997-07-10 | Nippon Sanso Corporation | Procede et dispositif de transfert de substrats en plaques minces |
US5749521A (en) * | 1996-05-22 | 1998-05-12 | Lore Parker | Moisture sensing electronic irrigation control |
JPH10144581A (ja) * | 1996-11-14 | 1998-05-29 | Kokusai Electric Co Ltd | 半導体製造装置 |
SG63825A1 (en) | 1997-03-11 | 1999-03-30 | Applied Materials Inc | In situ monitoring of contaminants in semiconductor processing chambers |
US6312525B1 (en) * | 1997-07-11 | 2001-11-06 | Applied Materials, Inc. | Modular architecture for semiconductor wafer fabrication equipment |
US5844125A (en) * | 1997-10-01 | 1998-12-01 | Millipore Corporation | Method and apparatus for measuring moisture content in a gas |
JPH11183366A (ja) | 1997-12-25 | 1999-07-09 | Nippon Sanso Kk | 分光分析用測定セル |
JPH11354516A (ja) * | 1998-06-08 | 1999-12-24 | Sony Corp | シリコン酸化膜形成装置及びシリコン酸化膜形成方法 |
JP2000097890A (ja) * | 1998-09-21 | 2000-04-07 | Sony Corp | ガス中の水分量測定装置およびクライオポンプ再生終了時の検知方法 |
JP4319723B2 (ja) | 1999-01-25 | 2009-08-26 | 株式会社アルバック | エピタキシャル成長方法 |
-
2001
- 2001-02-12 TW TW090103004A patent/TW476996B/zh not_active IP Right Cessation
- 2001-02-27 US US09/793,124 patent/US6776805B2/en not_active Expired - Lifetime
- 2001-02-27 KR KR1020010009843A patent/KR100773636B1/ko active IP Right Grant
- 2001-02-28 CN CNB011162333A patent/CN1183578C/zh not_active Expired - Fee Related
- 2001-02-28 DE DE10109507A patent/DE10109507B4/de not_active Expired - Fee Related
-
2002
- 2002-09-26 US US10/254,601 patent/US6794204B2/en not_active Expired - Lifetime
-
2003
- 2003-10-30 US US10/696,702 patent/US7033843B2/en not_active Expired - Lifetime
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN109254108A (zh) * | 2017-07-12 | 2019-01-22 | 株式会社堀场制作所 | 分析装置和分析方法 |
CN109254108B (zh) * | 2017-07-12 | 2023-02-17 | 株式会社堀场制作所 | 分析装置和分析方法 |
CN113774478A (zh) * | 2021-09-16 | 2021-12-10 | 季华实验室 | 外延设备的反应工况调节方法、装置、系统及电子设备 |
Also Published As
Publication number | Publication date |
---|---|
DE10109507B4 (de) | 2011-11-17 |
US6794204B2 (en) | 2004-09-21 |
US6776805B2 (en) | 2004-08-17 |
US20030022469A1 (en) | 2003-01-30 |
TW476996B (en) | 2002-02-21 |
CN1183578C (zh) | 2005-01-05 |
US20040092043A1 (en) | 2004-05-13 |
KR100773636B1 (ko) | 2007-11-05 |
DE10109507A1 (de) | 2001-09-13 |
KR20010085636A (ko) | 2001-09-07 |
US7033843B2 (en) | 2006-04-25 |
US20010019900A1 (en) | 2001-09-06 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1183578C (zh) | 半导体制造方法和半导体制造装置 | |
CN1282764C (zh) | 化学汽相淀积装置的净化方法 | |
CN1199237C (zh) | 半导体器件的制造方法 | |
US7604010B2 (en) | Film formation apparatus and method of using the same | |
US8048224B2 (en) | Process for producing a III-N bulk crystal and a free-standing III-N substrate, and III-N bulk crystal and free-standing III-N substrate | |
CN101911253A (zh) | 闭环mocvd沉积控制 | |
US20070155138A1 (en) | Apparatus and method for depositing silicon germanium films | |
US20150368794A1 (en) | Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, recording medium, and cleaning completion determining method | |
JP2009530821A (ja) | 軽減システムの改善された操作方法及び装置 | |
CN1630934A (zh) | 制造半导体器件的设备和方法及上述设备中用的清洁方法 | |
JP2007531269A (ja) | 装置の構成要素のプラズマエンハンスクリーニングの方法及びその処理装置 | |
CN1958878A (zh) | 成膜装置的使用方法 | |
US20030221708A1 (en) | Method of cleaning a semiconductor process chamber | |
CN1445822A (zh) | 半导体制造装置、半导体制造系统和衬底处理方法 | |
US20190259610A1 (en) | Film forming method and method of manufacturing semiconductor device | |
JP6098997B2 (ja) | エピタキシャル成長装置の汚染評価方法及びエピタキシャルウェーハの製造方法 | |
CN1854715A (zh) | 半导体制造装置的保养时期判断方法 | |
JP2007142354A (ja) | 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置 | |
JP2001291671A (ja) | 半導体製造方法 | |
JP2001244200A (ja) | 半導体製造装置のメンテナンス時期判断方法 | |
WO2013138016A2 (en) | Method of quantitatively characterizing adulterants of silanes and coated susceptor |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20050105 Termination date: 20200228 |
|
CF01 | Termination of patent right due to non-payment of annual fee |