KR100773636B1 - 반도체 제조 방법 및 반도체 제조 장치 - Google Patents

반도체 제조 방법 및 반도체 제조 장치 Download PDF

Info

Publication number
KR100773636B1
KR100773636B1 KR1020010009843A KR20010009843A KR100773636B1 KR 100773636 B1 KR100773636 B1 KR 100773636B1 KR 1020010009843 A KR1020010009843 A KR 1020010009843A KR 20010009843 A KR20010009843 A KR 20010009843A KR 100773636 B1 KR100773636 B1 KR 100773636B1
Authority
KR
South Korea
Prior art keywords
substrate
reactive gas
reaction chamber
moisture
chamber
Prior art date
Application number
KR1020010009843A
Other languages
English (en)
Other versions
KR20010085636A (ko
Inventor
하세가와히로유끼
야마오까도모노리
이시하라요시오
마스사끼히로시
Original Assignee
미쯔비시 마테리알 실리콘 가부시끼가이샤
다이요 닛산 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2000052519A external-priority patent/JP3592603B2/ja
Priority claimed from JP2000108563A external-priority patent/JP3636962B2/ja
Application filed by 미쯔비시 마테리알 실리콘 가부시끼가이샤, 다이요 닛산 가부시키가이샤 filed Critical 미쯔비시 마테리알 실리콘 가부시끼가이샤
Publication of KR20010085636A publication Critical patent/KR20010085636A/ko
Application granted granted Critical
Publication of KR100773636B1 publication Critical patent/KR100773636B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing
    • Y10S438/908Utilizing cluster apparatus

Abstract

프로세스시의 조건을 정확하게 조정하여 선택 에피택셜 성장 등의 반응성 가스 처리를 고정밀도로 행할 수 있는 반도체 제조 방법을 제공한다. 또, 수분 농도의 증가를 억제하고, 중금속 오염 등을 방지할 수 있는 동시에 프로세스 챔버 내의 수분 농도와 외부 영역의 상관을 조사할 수 있는 반도체 제조 방법 및 반도체 제조 장치를 제공한다. 기판(W)을 설치한 상태에서 반응실(1) 내 또는 상기 반응실의 가스 배기계 내의 수분 농도를 계측하고, 상기 수분 농도에 기초하여 반응성 가스 처리의 조건을 조정한다. 또, 기판 반송계(2, 3) 내의 밀폐 공간에 접속된 제1 수분계(6)에 의해 상기 밀폐 공간 내의 수분 농도를 계측한 후에, 기판(W)을 상기 기판 반송계로 반입 또는 반출을 행하는 기판 반송 공정과, 상기 기판 반송 공정 후에 반응실(1)에 접속된 제2 수분계(5)로 반응실 내의 수분 농도를 계측하면서 반응성 가스 처리를 행하는 가스 처리 공정을 행한다.
반응실, 반송용 챔버, 반송계 수분계, 실리콘 기판, 반도체 제조 장치

Description

반도체 제조 방법 및 반도체 제조 장치{Semiconductor Manufacturing Method and Semiconductor Manufacturing Apparatus}
도1은 본 발명에 관한 반도체 제조 방법의 일 실시 형태에 있어서의 에피택셜 결정 성장 장치를 도시한 개략적인 전체 평면도.
도2는 본 발명에 관한 반도체 제조 방법의 일 실시 형태에 있어서의 프로세스용 수분계의 구성을 도시한 단면도.
도3은 선택 에피택셜 성장을 도시한 요부를 확대한 실리콘 기판의 단면도.
도4는 본 발명에 관한 반도체 제조 방법 및 반도체 제조 장치의 일 실시 형태에 있어서의 에피택셜 결정 성장 장치를 도시한 개략적인 전체 평면도.
도5는 본 발명에 관한 반도체 제조 방법 및 반도체 제조 장치의 일 실시 형태에 있어서의 프로세스용 수분계의 구성을 도시한 배관도.
도6은 본 발명에 관한 반도체 제조 방법 및 반도체 제조 장치의 일 실시 형태에 있어서의 레이저 수분계 본체의 구성을 도시한 단면도.
도7은 반도체 제조 방법 및 반도체 제조 장치의 종래예에 의해 실제로 에피택셜 성장을 행한 경우에 있어서, 웨이퍼면 내에 있어서의 중금속 오염의 상태를 도시한 분포도.
도8은 본 발명에 관한 반도체 제조 방법 및 반도체 제조 장치의 일 실시 형 태에 의해 실제로 에피택셜 성장을 행한 경우에 있어서, 웨이퍼면 내에 있어서의 중금속 오염의 상태를 도시한 분포도.
도9는 배기 가스 중 수분과 수명의 관계를 도시한 그래프.
도10은 반송용 챔버 및 프로세스 챔버의 수분 농도와 프로세스 챔버 온도의 관계를 도시한 그래프.
<도면의 주요 부분에 대한 부호의 설명>
1 : 프로세스 챔버(반응실)
2 : 반송용 챔버(기판 반송계)
3 : 반입 로드 로크실
4 : 반출 로드 로크실
5 : 프로세스용 수분계
6 : 반송계 수분계
9 : 샘플링 배관
10 : 수분계 본체
19 : 관형 셀 본체
W : 실리콘 기판
본 발명은 예를 들어 반응실 내에 배치한 실리콘 기판 상에 반응성 가스(부 식성 가스)를 이용하여 에피택셜 성장 등을 행하는 반도체 제조 방법에 관한 것이다.
실리콘 기판 상에 LSI 등의 반도체 회로를 형성하기 위한 제조 공정으로서, 표면에 실리콘 박막을 에피택셜 성장시키는 공정, Si02막(산화실리콘)이 패턴 형성된 실리콘 기판(W)의 표면 중 실리콘이 노출된 영역에 선택적으로 실리콘막을 에피택셜 성장시키는 공정이나 M0S 디바이스용 기판으로서 매우 낮은 저항율의 실리콘 기판 상에, 소정의 불순물 농도로 단결정 실리콘 박막(에피택셜층)을 기상(氣相) 성장시키는 공정 등이 이용되는 경우가 있다.
이들 제조 공정에서는 프로세스 챔버 내에 실리콘 기판을 배치하고, 반응성 소스 가스를 흘려보내어 기판 상에 에피택셜 성장을 행하는 것이다.
또, 반응성 가스 처리를 이용하는 이외의 제조 공정으로서, 반응성 가스의 반응에 의해 기판 상에 박막을 형성하는 각종 CVD 공정이나 미세 패턴을 형성하는 엣칭 공정 등이 이용되고 있다.
이들 반도체 제조 장치는 초고순도의 염화수소 가스나 암모니아 가스와 같은 부식성 가스를 반응성 가스로서 이용하는데, 그 속에 조금이라도 수분이 포함되어 있으면, 장치(프로세스 챔버 내부, 가스 공급계, 가스 배기계 등)에 사용되고 있는 금속 부품의 부식을 일으키기 쉬워지고, 금속 부분으로부터 발생하는 메탈(중금속)에 의해서 오염의 원인이 되어 유해하므로, 프로세스 챔버 내에 있어서의 부식성 가스 중의 수분을 고감도로 정량 분석하는 것이 요구되고 있다.
종래, 프로세스 조건과 중금속 오염의 상관이나 프로세스 조건과 반응성 가스 처리의 특성의 상관을 조사하기 위해서는 프로세스 모니터 웨이퍼를 프로세스 후에 화학적 분석(원자 흡광 분석, 방사화 분석 등), 물리적 분석(SIMS, TXRF 등) 또는 전기적 분석(DLTS, SPV, 수명 등)에 의해 직접적으로 해석하고, 그 결과를 피드백하는 수단밖에 없었다.
최근, 반응성 가스(부식성 가스) 중의 수분 농도를 측정하는 수단으로서, 예를 들어 일본 특허 공개 평5-99845호 공보나 특허 공개 평11-183366호 공보 등에 프로세스 챔버에 접속된 관형 셀 본체 내에 레이저광을 입사시켜 투과한 레이저광의 흡수 스펙트럼을 측정하는 레이저 수분계가 제안되어 있다. 이 레이저 수분계는 가스에 비접촉으로 측정 가능하므로, 반응성 가스라도 고정밀도로 측정할 수 있는 것이다. 이에 의해서, 프로세스 중에 있어서도 프로세스 챔버 내의 수분 농도를 측정하는 것이 가능해졌다.
상기 종래의 반도체 제조 기술에는 이하와 같은 과제가 남겨져 있다. 즉, 실제의 프로세스에서는 프로세스 챔버 내의 수분 농도가 프로세스마다 일정하다고는 할 수 없으며, 프로세스 모니터 웨이퍼의 해석에 의한 피드백으로 조건을 설정해도 수분 농도의 변동에 의해서 반응성 가스 처리에 의한 프로세스 특성에 변동이 생겨 버리는 경우가 있다. 예를 들어, 상술한 선택 에피택셜 성장을 행하는 경우에는 Si02막에 포함되어 있는 수분(흡착 수분)이 프로세스 전의 기판 베이킹 중에 이탈하여 프로세스 챔버 내의 수분 농도를 높여 버리는 현상이 있다. 이 경우, 선택 에피택셜 성장시의 수분 농도가 증가하여, 선택 성장의 선택성 및 선택 성장막의 특성에 영향을 미침을 알 수 있다.
또, 프로세스 챔버 내의 수분은 단순히 반응성 가스의 배관으로부터 도입되는 것 뿐만 아니라, 다른 외부 영역으로부터 침입한 분위기에 기인하는 경우가 있으며, 이에 의해서도 수분 농도가 증가해 버리므로, 프로세스 챔버 내의 수분 농도를 측정하는 것만으로는 수분 농도 변동의 원인을 조사하는 것이 곤란했다. 또, 프로세스 챔버 내의 수분 농도가 어느 정도이면 중금속 오염의 영향을 충분히 억제할 수 있는지가 불명확했다. 예를 들어, 반응에 이용된 배기 가스 중에 포함되는 수분과 수명의 관계를 조사하면, 도9에 도시한 바와 같이 수분 농도가 적을수록 수명이 길어지는데, 수명의 평균치(실선)와 최대치(파선)의 차이가 크게 발생하고 있음을 알 수 있다. 이것은 기판 표면에 중금속 오염이 스폿형으로 발생하고 있기 때문이다.
본 발명은 전술한 과제를 감안하여 이루어진 것으로, 프로세스시의 조건을 정확하게 조정하여 선택 에피택셜 성장 등의 반응성 가스 처리를 고정밀도로 행할 수 있는 반도체 제조 방법을 제공하는 것을 목적으로 한다.
또, 본 발명은 수분 농도의 증가를 억제하고, 중금속 오염 등을 방지할 수 있는 동시에 프로세스 챔버 내의 수분 농도와 외부 영역의 상관을 조사할 수 있는 반도체 제조 방법 및 반도체 제조 장치를 제공하는 것을 목적으로 한다.
본 발명의 제1 형태는 상기 과제를 해결하기 위해서 이하의 구성을 채용했다. 즉, 본 발명의 제1 형태의 반도체 제조 방법은, 기판이 내부에 설치된 반응실 내에 반응성 가스를 흘려보내어 기판과 반응성 가스를 반응시키는 반응성 가스 처리를 행하는 반도체 제조 방법으로서, 상기 기판을 설치한 상태에서 상기 반응실 내 또는 상기 반응실의 가스 배기계 내의 수분 농도를 계측하고, 상기 수분 농도에 기초하여 반응성 가스 처리의 조건을 조정하는 것을 특징으로 한다.
이 반도체 제조 방법에서는 기판을 설치한 상태에서 반응실 내 또는 상기 반응실의 가스 배기계 내의 수분 농도를 계측하고, 상기 수분 농도에 기초하여 반응성 가스 처리의 조건을 조정하므로, 실제의 프로세스에 있어서의 수분 농도의 계측치로부터 수분 농도 자체의 조정(적정 범위 내로의 수정)이나 성막 또는 엣칭 등의 조건이 조정되어, 수분 농도가 반응성 가스 처리의 특성에 미치는 영향을 고려한 고정밀도이며 또한 안정된 처리가 가능해진다.
또, 본 발명의 제1 형태의 반도체 제조 방법은, 상기 반응성 가스 처리의 조건으로서, 상기 반응실 내에 반응성 가스를 유입시키기 전에 행하는 상기 기판의 가열 조건을 포함하는 것이 바람직하다.
즉, 이 반도체 제조 방법에서는 반응실 내에 반응성 가스를 유입시키기 전에 행하는 기판의 가열 조건(베이킹 조건)을 조정하므로, 반응성 가스를 반응실에 유입시키기 전에 기판에 포함되는 수분을 충분히 이탈시키고, 또한 반응실 내의 수분 농도를 적정한 범위로 조정하는 일 등을 행할 수 있어, 안정된 반응성 가스 처리가 가능해진다.
또, 상기 가열 조건으로서는 상기 기판의 가열 온도, 기판의 가열 시간 또는 퍼지 가스의 유량 중 적어도 하나가 조정된다.
또, 본 발명의 제1 형태의 반도체 제조 방법은, 상기 반응성 가스 처리의 조건이 상기 기판의 가열 온도, 상기 반응성 가스의 유량, 상기 반응성 가스의 혼합비 또는 상기 반응실 내의 압력 중 적어도 하나인 것이 바람직하다.
즉, 이 반도체 제조 방법에서는 반응성 가스 처리 조건으로서, 기판의 가열 온도, 반응성 가스의 유량, 반응성 가스의 혼합비 또는 반응실 내의 압력 중 적어도 하나가 조정되므로, 이들 조건이 특히 선택 에피택셜 성장을 행할 때의 선택성에 영향을 미치므로, 선택 성장의 선택성을 향상시킬 수 있다.
또, 본 발명의 제1 형태의 반도체 제조 방법은, 표면의 적어도 일부에 산화실리콘이 형성되어 있는 상기 기판에 대하여 상기 반응성 가스 처리를 행하는 경우에 적합하다.
즉, 표면의 적어도 일부에 산화실리콘이 형성되어 있는 기판의 경우, 산화실리콘 중에 포함되어 있는 수분이 베이킹시에 이탈하여 반응실 내의 수분 농도를 증가시킬 우려가 있고, 수분 농도를 실측함으로써 이러한 기판에 대해서도 고정밀도이며 또한 안정된 처리를 행할 수 있다.
또, 본 발명의 제1 형태의 반도체 제조 방법은, 상기 기판이 실리콘 기판이고, 상기 반응성 가스 처리가 상기 기판의 표면 중 실리콘이 노출된 영역에 선택적으로 반도체층을 성장시키는 처리인 경우에 적합하다.
즉, 기판 표면의 실리콘 노출 영역에 실리콘 등의 반도체층을 선택적으로 에 피택셜 성장 등을 시키는 경우에, 그 선택성이 수분 농도에 영향을 받으므로, 실측한 수분 농도에 기초하여 조건을 조정함으로써 고정밀도이며 또한 높은 선택성의 선택 성장을 얻을 수 있다.
즉, 본 발명의 제1 형태의 반도체 제조 방법에 따르면, 기판을 설치한 상태에서 반응실 내 또는 상기 반응실의 가스 배기계 내의 수분 농도를 계측하고, 상기 수분 농도에 기초하여 반응성 가스 처리의 조건을 조정하므로, 실제의 프로세스에 있어서의 수분 농도의 계측치로부터 수분 농도 자체의 조정이나 성막 또는 엣칭 등의 프로세스 조건이 조정되어, 수분 농도가 반응성 가스 처리의 특성에 미치는 영향을 고려한 고정밀도이며 또한 안정된 처리를 행할 수 있다. 특히, 실리콘 기판 상에 선택 에피택셜 성장을 행하는 경우에는 선택 성장의 선택성이 수분 농도에 영향을 받으므로, 선택성을 좌우하는 매개 변수(가열 온도 등의 처리 조건)를 수분 농도의 실측치에 기초하여 조정하면 높은 선택성을 갖고 안정된 선택 성장을 행할 수 있다.
또, 본 발명자들은 반응실 내의 수분 농도가 증가하는 요인에 대하여 연구한 결과, 반응실 내에 기판을 반송할 때 미리 반응실의 외부 영역인 기판 반송계의 밀폐 공간의 수분 농도를 계측해 둔 결과, 도10에 도시한 바와 같이 상기 밀폐 공간의 수분 농도가 저하되어 있음에도 불구하고 상기 반응실 내의 수분 농도가 증가하는 것을 발견했다[도10 중 Tr-ch는 반송용 챔버(상기 밀폐 공간) 내의 데이타, Pr-ch는 프로세스 챔버(반응실) 내의 데이타]. 이것은 상기 반응실 내부가 미리 소정의 온도로 가열되어 있으므로, 상기 밀폐 공간에 로드 로크 등의 외부로부터 도입 된 산소와 반응실의 수소가 반응하여 수분을 발생시키기 때문이라고 생각되며, 반송계가 반응성 가스 이외의 수분 공급원으로 되고 있다고 생각된다.
따라서, 본 발명의 제2 형태는 상기 지식에 기초하여 상기 과제를 해결하기 위해서 이하의 구성을 채용했다. 즉, 본 발명의 제2 형태의 반도체 제조 방법에서는 기판을 기판 반송계에 의해 상기 기판 반송계 내의 밀폐 공간으로부터 반응실 내로 반입했을 때 또는 반응실 내로부터 상기 밀폐 공간으로 반출했을 때, 상기 반응실 내에 반응성 가스(부식성 가스)를 흘려보내어 반응실 내에서 반응성 가스를 반응시키는 반응성 가스 처리(부식성 가스 처리)를 행하는 반도체 제조 방법으로서, 상기 밀폐 공간에 접속된 제1 수분계에 의해 상기 밀폐 공간 내의 수분 농도를 계측한 후에, 상기 기판을 상기 기판 반송계로 상기 반입 또는 상기 반출을 행하는 기판 반송 공정과, 상기 기판 반송 공정 후에, 상기 반응실에 접속된 제2 수분계로 반응실 내의 수분 농도를 계측하면서 상기 반응성 가스 처리(부식성 가스 처리)를 행하는 가스 처리 공정을 구비하고 있는 것을 특징으로 한다.
또, 본 발명의 제3 형태의 반도체 제조 장치에서는 기판을 기판 반송계에 의해 상기 기판 반송계 내의 밀폐 공간으로부터 반응실 내로 반입했을 때 또는 반응실 내로부터 상기 밀폐 공간으로 반출했을 때, 상기 반응실 내에 반응성 가스(부식성 가스)를 흘려보내어 반응실 내에서 반응성 가스(부식성 가스)를 반응시키는 반응성 가스 처리(부식성 가스 처리)를 행하는 반도체 제조 장치로서, 상기 기판 반송계의 밀폐 공간 내의 수분 농도를 계측하는 제1 수분계와, 상기 반응실 내의 수분 농도를 계측하는 제2 수분계를 구비하고 있는 것을 특징으로 한다.
이들 제2 형태의 반도체 제조 방법 및 제3 형태의 반도체 제조 장치에서는 기판 반송계의 밀폐 공간 내의 수분 농도를 계측하는 제1 수분계와, 반응실 내의 수분 농도를 계측하는 제2 수분계에 의해, 기판 반송계의 밀폐 공간의 수분 농도 및 반응실 내의 수분 농도를 모두 계측할 수 있고, 상기 밀폐 공간에 있어서의 수분 농도가 반응실 내의 수분 농도에 미치는 영향을 조사할 수 있는 동시에, 반응실 내의 수분 농도를 저감하기 위해서 상기 밀폐 공간의 수분 농도를 계측, 제한하여 양호한 가스 처리를 행하는 것이 가능해진다.
또, 본 발명의 제2 형태의 반도체 제조 방법에서는 상기 기판 반송 공정에 있어서, 상기 밀폐 공간 내의 수분 농도가 제1 기정치보다 낮은 것을 확인한 후에 상기 기판을 상기 밀폐 공간으로부터 상기 반응실 내로 반입 또는 반응실 내로부터 상기 밀폐 공간으로 반출하고, 상기 반응성 가스 처리 공정은 상기 반응실 내의 수분 농도가 제2 기정치보다 낮은 것을 확인한 후에 상기 반응성 가스 처리를 개시하는 것이 바람직하다.
이 반도체 제조 방법에서는 상기 밀폐 공간에 있어서 반응실 내외측으로 기판을 이송할 때 필요한 수분 농도의 상한을 제1 기정치로 하고, 반응실에 있어서 중금속 오염 등이 없는 반응성 가스 처리를 행하기 위해서 필요한 수분 농도의 상한을 제2 기정치로서 미리 설정함으로써, 안정하고 양호한 반응성 가스 처리를 실현할 수 있다.
또, 본 발명의 제2 형태의 반도체 제조 방법에서는 적어도 상기 제2 기정치를 1 ppm 미만으로 하는 것이 바람직하다.
즉, 본 발명자들은 반응실 내의 수분 농도와 중금속 오염의 관계를 조사한 결과, 상기 수분 농도가 ppm급에서는 기판 표면에 스폿형의 중금속 오염이 확인되지만, 서브 ppm급까지 저감시킴으로써 스폿형의 오염이 거의 발생하지 않음을 발견했다. 따라서, 본 발명은 이 지식에 기초한 것이며, 이 반도체 제조 방법에서는 적어도 제2 기정치를 1 ppm 미만으로 함으로써, 중금속의 스폿형 오염을 방지할 수 있다.
또, 본 발명의 제2 형태의 반도체 제조 방법 및 제3 형태의 반도체 제조 장치에서는 상기 제1 수분계 또는 상기 제2 수분계의 적어도 한 쪽이, 상기 밀폐 공간 또는 상기 반응실에 접속된 관형 셀 본체 내에 레이저광을 입사시켜 투과한 레이저광의 흡수 스펙트럼을 측정하는 레이저 수분계인 것이 바람직하다.
이들 반도체 제조 방법 및 반도체 제조 장치에서는 제1 및 제2 수분계의 적어도 한 쪽이 레이저 수분계이므로, 측정 대상의 가스에 비접촉으로 고정밀도로 수분의 정량 분석이 가능해진다.
또, 본 발명의 제3 형태의 반도체 제조 장치에서는 복수의 반응실을 구비하고, 상기 제1 수분계가 상기 반응실마다 수분 농도를 계측 가능하게 설치되어 있는 것이 바람직하다.
이 반도체 제조 장치에서는 복수의 반응실의 수분 농도를 반응실마다 제1 수분계로 계측 가능하므로, 각 반응실마다 수분 농도를 계측함으로써 개별로 적절한 수분 농도로 양호한 반응성 가스 처리를 행할 수 있다.
또, 본 발명의 제3 형태의 반도체 제조 장치에서는 상기 제1 수분계에 접속 하는 대상을 임의의 상기 반응실로 절환 가능한 절환 기구를 구비하고 있는 것이 바람직하다.
이 반도체 제조 장치에서는 제1 수분계에 접속하는 대상을 임의의 반응실로 절환 가능한 절환 기구를 구비하고 있으므로, 절환 기구로 계측하고 싶은 반응실과 제1 수분계를 접속함으로써, 복수이며 또한 임의의 반응실 내의 수분 농도를 하나의 제1 수분계로 계측하는 것이 가능해지고, 부재 갯수 및 비용의 저감을 도모할 수 있다.
또, 본 발명의 제3 형태의 반도체 제조 장치에서는 상기 제1 수분계 및 상기 제2 수분계는 동일한 수분계이고, 상기 수분계에 접속되는 대상을 상기 밀폐 공간 또는 상기 반응실로 절환 가능한 절환 기구를 구비하고 있는 것이 바람직하다.
이 반도체 제조 장치에서는 동일한 수분계를 제1 및 제2 수분계로서 겸용하고, 절환 기구에 의해서 접속하는 대상을 상기 밀폐 공간 또는 상기 반응실로 임의로 절환 가능하므로, 하나의 수분계로 상기 밀폐 공간 및 반응실의 수분 농도를 계측할 수 있고, 부재 갯수 및 비용의 저감을 도모할 수 있다.
즉, 본 발명의 제2 형태의 반도체 제조 방법 및 제3 형태의 반도체 제조 장치에 따르면, 기판 반송계의 밀폐 공간 내의 수분 농도를 계측하는 제1 수분계와, 반응실 내의 수분 농도를 계측하는 제2 수분계에 의해, 기판 반송계의 밀폐 공간의 수분 농도 및 반응실 내의 수분 농도를 모두 계측하므로, 상기 밀폐 공간에 있어서의 수분 농도가 반응실 내의 수분 농도에 미치는 영향을 조사할 수 있고, 기판 반송계로부터의 수분 공급 원인을 분석하는 것이 가능해진다. 또, 반응실 내의 수분 농도를 저감하기 위해서 상기 밀폐 공간의 수분 농도를 계측, 제한하여 양호한 반응성 가스 처리를 행하는 것이 가능해지고, 결정 성장, 박막 형성 및 엣칭 등의 반응성 가스 처리를 안정하고 또한 양호하게 행할 수 있으며, 고품질의 반도체 기판이나 반도체 소자 등의 반도체 장치를 제조할 수 있다.
이하, 본 발명의 제1 형태에 관한 반도체 제조 방법의 일 실시 형태를 도1 내지 도3을 참조하면서 설명한다.
이들 도면에 있어서, 도면 부호 1은 프로세스 챔버, 2는 반송용 챔버, 3은 반입 로드 로크실, 4는 반출 로드 로크실, 5는 프로세스용 수분계를 나타내고 있다.
도1은 본 발명의 반도체 제조 방법을 실시하기 위한 낱장식 에피택셜 결정 성장 장치를 도시한 것이다. 상기 에피택셜 결정 성장 장치는 도1에 도시한 바와 같이, 내부에 실리콘 기판(W)이 배치되는 중공의 기밀 용기인 3개의 석영으로 만들어진 프로세스 챔버(반응실)(1)와, 이들 프로세스 챔버(1) 내에 실리콘 기판(W)을 반입할 때 내부의 밀폐 공간에서 분위기의 치환을 행하는 반송용 챔버(2)와, 상기 반송용 챔버(2)에 프로세스 전의 실리콘 기판(W)을 반입하는 반입 로드 로크실(3) 및 반송용 챔버(2)로부터 프로세스 후의 실리콘 기판(W)을 취출하기 위한 반출 로드 로크실(4)을 구비하고 있다.
상기 각 프로세스 챔버(1)에는 상기 프로세스 챔버(1)에 도입된 반응성 가스를 샘플링하여 가스 중에 포함되는 수분을 계측하는 프로세스용 수분계(5)와 프로세스 챔버(1) 내의 압력을 계측하는 압력계(7)가 설치되어 있다.
또, 반송용 챔버(2) 내에도 내부의 분위기 중의 수분을 계측하는 반송계 수분계(6)가 설치되어 있다. 상기 반송계 수분계(6)는 예를 들어 정밀도 및 응답 속도가 높은 후술하는 수분계 본체(10)와 동일한 구조를 갖는 레이저 수분계가 바람직하지만, 알루미나ㆍ콘덴서 등에 수분을 흡착시켜 그 전기 용량의 변화를 계측하는 정전 용량 방식의 수분계나 질량 분석법을 이용한 수분계 등이라도 상관없다.
상기 프로세스 챔버(1)는 반응성 가스 등의 가스 공급원(도시 생략)에 접속되어 상기 가스 공급원으로부터의 가스(SiCl2H2, SiCl3H, HCl, H2 , N2, B2H6, PH3, SiH4 등)를 도입 가능하게 되어 있는 동시에, 가스 배기계를 거쳐서 배기 가스 처리 설비(도시 생략)에 접속되어 프로세스 챔버(1) 내에서 반응에 이용된 후의 반응성 가스 등을 배기 가스 처리 설비로 배기 가능하게 되어 있다.
상기 프로세스용 수분계(5)는 도2에 도시한 바와 같이, 프로세스 챔버(1)의 가스 배기계 및 밸브(도시 생략)를 거쳐서 일단부가 접속된 샘플 라인인 샘플링 배관(9)과, 상기 샘플링 배관(9)의 타단부에 접속되고 프로세스 챔버(1)로부터의 반응성 가스에 포함되는 수분을 계측하는 수분계 본체(10)와, 상기 수분계 본체(10)의 후방 단부에 접속관(11)을 거쳐서 접속된 로터리 펌프(12)를 구비하고 있다.
상기 수분계 본체(10)는 하우징(10a) 내에 관형 셀 본체(19)가 설치되고, 상기 관형 셀 본체(19)에는 일단부측에 샘플링 배관(가스 배기계)(9)이 접속되어 있는 동시에 타단부측에 접속관(11)이 접속되어 있다. 관형 셀 본체(19)는 양단부에 투광성 창재(19a)가 장착되고, 한 쪽 투광성 창재(19a)의 외측에는 적외 레이저광(L)(파장이 1.3 내지 1.55 μm)을 발생하는 파장 가변 반도체 레이저(LD)가 대향하여 설치되고, 다른 쪽 투광성 창재(19a)의 외측에는 관형 셀 본체(19) 내를 투과한 적외 레이저광(L)을 수광하여 그 수광 강도를 전기 신호로 변환하는 광 검출기(PD)가 대향하여 설치되어 있다.
또, 상기 샘플링 배관(9) 및 상기 접속관(11)에는 전류 공급원(도시 생략)에 접속된 리본 히터(20)가 권취되고, 또 그 위에 실리콘 고무의 단열재(21)가 권취되어 있다. 또한, 리본 히터(20)는 흘려보내는 전류가 조정되어 샘플링 배관(9) 및 접속관(11)을 100 ℃ 이상으로 가열하고, 이들 배관 내의 부생성 반응물의 부착을 억제하는 것이다.
또, 수분계 본체(10)의 관형 셀 본체(19) 및 투광성 창재(19a)에도 이들을 가열하는 전열선을 주체로 한 셀용 히터(22)가 부착되어, 100 ℃ 이상으로 가열된다. 그리고, 수분계 본체(10)는 리본 히터(20) 및 셀용 히터(22)에 의해서 100 ℃ 이상으로 가열된 가스의 온도에 따라서, 그 측정 감도의 조정ㆍ교정이 미리 행해져 있다.
다음에, 본 실시 형태로서 도3에 도시한 바와 같이, 표면에 Si02막(31)이 패턴 형성된 실리콘 기판(W)에 대하여, 표면에 실리콘이 노출된 영역에만 상기 에피택셜 결정 성장 장치를 이용하여 실리콘막(반도체층)(32)을 선택적으로 에피택셜 성장을 행하는 경우에 대하여 설명한다.
우선, 실리콘 기판(W)을 반입 로드 로크실(3)로부터 반송용 챔버(2) 내로 반 입하고, 반송용 챔버(2) 내의 분위기를 N2 등의 불활성 가스로 치환하는 동시에, 반송계 수분계(6)로 분위기 중의 수분을 계측하여 충분히 수분이 저감된 상태를 확인한 후에, 프로세스 챔버(1) 내에 실리콘 기판(W)을 반송한다.
프로세스 챔버(1)의 내부는 H2 또는 N2 등의 불활성 가스인 퍼지 가스로 퍼지되어 있고, 실리콘 기판(W)이 반입된 상태에서 소정 온도까지 기판(W)을 베이킹(가열)한다. 이 베이킹 중, 로터리 펌프(12)를 구동하는 동시에 샘플링 배관(9)의 밸브 등을 개방하여 유입량을 조정하면서, 프로세스 챔버(1) 내의 분위기 가스를 수분계 본체(10)에 항상 도입한다.
샘플링된 가스는 수분계 본체(10) 내의 관형 셀 본체(19) 내에 유입되고, 반도체 레이저(LD)로부터의 적외 레이저광(L)이 조사된다. 관형 셀 본체(19) 내의 가스를 투과한 적외 레이저광(L)은 광 검출기(PD)로 수광되고, 그 수광량으로부터 얻어진 흡수 스펙트럼 강도에 의해 가스 중의 수분 농도가 계측되며, 가스에 포함되는 수분의 정량 분석이 행해진다. 또, 관형 셀 본체(19)에 유입된 가스는 접속관(11), 로터리 펌프(12)를 거쳐서 배기계에 배출된다. 또한, 프로세스 챔버(1) 내의 압력은 압력계(7)에 의해 항상 계측되고 있다.
이 때, 실측된 베이킹 중의 프로세스 챔버(1) 내의 수분 농도에 기초하여 베이킹 조건을 조정한다. 즉, 베이킹 조건으로서 기판(W)의 가열 온도, 가열 시간 또는 퍼지 가스의 유량 중 적어도 하나를 조정한다. 예를 들어, 수분 농도가 적정 범위보다 높아진 경우, 수분 농도에 기초하여 가열 온도를 높이거나 가열 시간을 길게 하고, 또는 퍼지 가스의 유량을 크게 하는 등의 조정을 행하여, 베이킹 중에 수분 농도를 적정 범위 내로 억제하도록 조정된다. 또, 베이킹 중의 가열 온도를 높이거나 또는 가열 시간을 연장시킴으로써, 선택 성장의 선택성이 향상된다.
이와 같이 베이킹 조건이 조정되어 수분 농도가 적정 범위 내로 된 상태에서, 다음에 SiCl2H2, HCl, H2, SiH4 등의 반응성 가스를 도입하여 실리콘 기판(W)의 표면 상에 선택 에피택셜 성장을 행한다. 또, 이 때도 베이킹시와 마찬가지로 프로세스 챔버(1) 내의 수분 농도 및 압력을 항상 계측한다.
이 때, 실측된 베이킹 중 및 프로세스 중의 프로세스 챔버(1) 내의 수분 농도에 기초하여 프로세스 조건을 조정한다. 즉, 프로세스 조건으로서 기판(W)의 가열 온도, 반응성 가스의 유량, 반응성 가스의 혼합비 또는 프로세스 챔버(1) 내의 압력 중 적어도 하나를 조정한다. 예를 들어, 수분 농도가 적정 범위보다 높아진 경우, 수분 농도에 기초하여 프로세스 중의 수소 유량을 소스 가스(SiCl2H2, HCl 등)에 비해 증가시키거나 HCl(염화수소)의 유량을 높이고, 또는 프로세스 챔버(1) 내의 압력을 높이는 등의 조정을 행함으로써 선택 성장의 선택성을 높일 수 있다. 특히, HCl은 SiO2 상에 폴리실리콘이 성장해 버리는 것을 억제하는(선택성을 높이는) 작용이 있다.
또, 가스의 유량은 선택 성장 가능한 선택 영역과 비선택 영역의 경계 부근의 조건으로 설정해 두는 것이 바람직하다.
또, 미리 수분 농도에 대응한 조건 설정을 성장 장치의 제어부 등에 기억시 키고, 상기 제어부 등에 의해서 실측 수분 농도에 기초하여 베이킹 조건 및 프로세스 조건을 자동 조정하도록 해도 상관없다.
상기 에피택셜 성장 종료 후에 프로세스 챔버(1)의 내부를 불활성 가스로 치환하고, 또 반송용 챔버(2)를 거쳐서 반출 로드 로크실(4)로부터 선택 성장이 끝난 실리콘 기판(W)을 반출한다.
본 실시 형태에서는 실리콘 기판(W)을 설치한 상태에서 프로세스 챔버(1) 내의 수분 농도를 계측하고, 상기 수분 농도에 기초하여 선택 에피택셜 성장의 가스 처리 조건을 조정하므로, 실제의 수분 농도의 계측치로부터 베이킹시에 수분 농도를 적정 범위 내로 조정하고, 또한 선택 성장 중 프로세스 조건이 조정되어 수분 농도가 선택 성장의 선택성에 미치는 영향을 고려한 고정밀도이며 또한 안정된 선택 성장을 행할 수 있다.
또, 본 발명의 제1 형태는 다음과 같은 실시 형태도 포함하는 것이다.
상기 실시 형태에서는 반도체 제조 방법으로서 선택 에피택셜 성장을 행하는 기상 성장에 적용했지만, 반응실 내에서 기판에 반응성 가스를 반응시키는 처리를 행하는 것이며, 수분 농도에 따라서 처리 특성이 영향을 받는 것이면 다른 반도체 제조 방법에 이용해도 상관없다. 예를 들어, M0S 디바이스용 기판으로서, 저항율이 매우 낮은 실리콘 기판 상에 단결정 실리콘 박막을 기상 성장시키는 에피택셜ㆍ웨이퍼의 제조 방법, 다른 박막을 기판 상에 형성하는 CVD법 또는 반응성 가스를 이용하여 기판 표면을 엣칭하는 드라이 엣칭법 등에 채용해도 상관없다.
다음에, 본 발명의 제2 형태에 관한 반도체 제조 방법 및 본 발명의 제3 형 태에 관한 반도체 제조 장치의 일 실시 형태를, 도4 내지 도6을 참조하면서 설명한다.
또, 본 실시 형태의 설명에 있어서, 상기 본 발명의 제1 형태에 관한 반도체 제조 방법의 실시 형태에서 설명한 부재와 동일한 기능을 갖는 부재에는 동일한 참조 부호가 부여되어 있다.
이들 도면에 있어서, 도면 부호 1은 프로세스 챔버, 2는 반송용 챔버, 3은 반입 로드 로크실, 4는 반출 로드 로크실, 5는 프로세스용 수분계, 6은 반송계 수분계를 나타내고 있다.
도4는 본 발명의 제3 형태의 반도체 제조 장치를 예를 들어 낱장식 에피택셜 결정 성장 장치에 적용한 경우를 도시한 것이다. 상기 에피택셜 결정 성장 장치는 도4에 도시한 바와 같이, 내부에 실리콘 기판(W)이 배치되는 중공의 기밀 용기인 3개의 석영으로 만들어진 프로세스 챔버(반응실)(1)와, 이들 프로세스 챔버(1) 내에 실리콘 기판(W)을 반입할 때 내부의 밀폐 공간에서 분위기의 치환을 행하는 반송용 챔버(기판 반송계)(2)와, 상기 반송용 챔버(2)에 프로세스 전의 실리콘 기판(W)을 반입하는 반입 로드 로크실(3) 및 반송용 챔버(2)로부터 프로세스 후의 실리콘 기판(W)을 취출하기 위한 반출 로드 로크실(4)을 구비한 멀티챔버 방식의 성장 장치이다.
상기 각 프로세스 챔버(1)는 상기 프로세스 챔버(1)에 도입된 반응성 가스(부식성 가스)를 포함하는 프로세스 가스를 샘플링하여 가스 중에 포함되는 수분을 계측하는 프로세스용 수분계(제2 수분계)(5)에 프로세스용 샘플링 배관(9)으로 각 각 접속되어 있다.
또, 반송용 챔버(2), 반입 로드 로크실(3) 및 반출 로드 로크실(4)의 각 내부에도 내부의 분위기 중의 수분을 계측하는 반송계 수분계(제1 수분계)(6)가 반송계 샘플링 배관(6a)으로 접속되어 있다. 상기 반송계 수분계(6)는 정밀도 및 응답 속도가 높은 후술하는 레이저 수분계 본체(10)를 이용한 프로세스용 수분계(5)와 동일한 수분계이다.
반송계 샘플링 배관(6a)은 레이저 수분계 본체(10)로부터 반송용 챔버(2), 반입 로드 로크실(3) 및 반출 로드 로크실(4)에 대응하여 3개의 분기관(6b)으로 분기되어 구성되고, 각 분기관(6b)에는 이들을 개폐 가능한 밸브(6c)가 설치되어 있다.
상기 프로세스 챔버(1)에는 도2에 도시한 바와 같이, 반응성 가스 등의 가스 공급원(도시 생략)으로부터의 가스(SiCl2H2, SiCl3H, HCl, H2, N2, B2H6, PH3 등)를 도입하기 위한 프로세스 가스 도입관(23)과, 프로세스 챔버(1) 내에서 반응에 이용된 후에 반응성 가스 등을 배기 가스 처리 설비(도시 생략)로 배기하는 프로세스 가스 배기관(8)이 접속되어 있다.
상기 프로세스용 수분계(5)는 밸브(9a)를 구비한 일단부측이 프로세스 가스 배기관(8)의 기초 단부측을 거쳐서 각 프로세스 챔버(1)에 접속된 샘플 라인인 프로세스용 샘플링 배관(9)과, 상기 프로세스용 샘플링 배관(9)의 타단부에 가변 밸브(9b)를 거쳐서 접속되어 프로세스 챔버(1)로부터의 반응성 가스에 포함되는 수분 을 계측하는 레이저 수분계 본체(10)와, 상기 레이저 수분계 본체(10)의 후방 단부에 가변 밸브(11a)를 거쳐서 접속관(11)에 의해 접속된 로터리 펌프(12)를 구비하고 있다.
상기 프로세스용 샘플링 배관(9)의 기초 단부측에는 샘플 라인 N2 퍼지용의 배관 퍼지 라인(13)이 밸브(13a)를 거쳐서 접속되고, 또한 프로세스 가스 도입관(23)은 밸브(14a)를 거쳐서 분기관(14)에 의해 배관 퍼지 라인(13)에 접속되어 있다. 또, 배관 퍼지 라인(13)은 분기관(14)과의 접속 부분보다 상류에 밸브(13b)를 구비하고 있다.
또, 프로세스용 샘플링 배관(9)은 레이저 수분계 본체(10)로부터 3개의 프로세스 챔버(1)에 대응하여 3개의 분기관(9c)으로 분기되어 구성되고, 각 분기관(9c)에는 이들을 개폐 가능한 밸브(절환 기구)(9d)가 설치되어 있다.
상기 레이저 수분계 본체(10)에는 도5 및 도6에 도시한 바와 같이, 그 하우징(10a)의 내부를 N2 퍼지하기 위한 하우징 퍼지 라인(15)이 접속되어 있는 동시에, 이 N2를 배기하기 위해서 프로세스 가스 배기관(8)에 타단부가 접속된 N2 배기 라인(16)이 접속되어 있다.
또, 상기 로터리 펌프(12)는 프로세스 가스 배기관(8)에 밸브(17a)를 거쳐서 샘플링 배기관(17)으로 접속되어 있다. 또, 로터리 펌프(12)에는 가스 밸러스트용의 N2 퍼지 라인(18)이 접속되어 있다.
상기 레이저 수분계 본체(10)는 도6에 도시한 바와 같이, 하우징(10a) 내에 관형 셀 본체(19)가 설치되고, 상기 관형 셀 본체(19)에는 일단부측에 프로세스용 샘플링 배관(9)이 접속되어 있는 동시에 타단부측에 접속관(11)이 접속되어 있다. 관형 셀 본체(19)는 양단부에 투광성 창재(19a)가 장착되고, 한 쪽 투광성 창재(19a)의 외측에는 적외 레이저광(L)(파장이 1.3 내지 1.55 μm)을 발생하는 파장 가변 반도체 레이저(LD)가 대향하여 설치되고, 다른 쪽 투광성 창재(19a)의 외측에는 관형 셀 본체(19) 내를 투과한 적외 레이저광(L)을 수광하여 그 수광 강도를 전기 신호로 변환하는 광 검출기(PD)가 대향하여 설치되어 있다.
상기 프로세스용 샘플링 배관(9) 및 상기 접속관(11)에는 리본 히터(20)가 권취되고, 또한 그 위에 실리콘 고무의 단열재(21)가 권취되어 있다. 또, 리본 히터(20)는 도시하지 않은 전류 공급원에 접속되어 있다. 그리고, 리본 히터(20)에 흘려보내는 전류를 조정하여 프로세스용 샘플링 배관(9) 및 접속관(11)을 100 ℃ 이상으로 가열한다.
또, 레이저 수분계 본체(10)의 관형 셀 본체(19) 및 투광성 창재(19a)에도 이들을 가열하는 전열선을 주체로 한 셀용 히터(22)가 부착되어, 100 ℃ 이상으로 가열된다. 또한, 반송계 샘플링 배관(6a)도 프로세스용 샘플링 배관(9)과 동일한 수단으로 가열되고 있다. 이에 의해, 프로세스 챔버(1)에서 가열된 반응성 가스의 배관 내부에 있어서의 부반응 생성물의 부착이 억제되고, 부반응 생성물이 배관을 폐색해 버리는 것을 방지할 수 있다. 따라서, 현장에서 항상 수분을 계측하는 것이 가능해진다.
그리고, 레이저 수분계 본체(10)는 리본 히터(20) 및 셀용 히터(22)에 의해 서 100 ℃ 이상으로 가열된 반응성 가스의 온도에 따라서, 그 측정 감도의 조정ㆍ교정이 미리 행해져 있다. 또, 측정 감도의 조정ㆍ교정은 예를 들어 광 검출기(PD)로부터의 신호를 광 검출기(PD)에 접속된 제어부(도시 생략)에 있어서 연산 처리함으로써 행해진다.
또, 상기 각 배관으로서 배관 재료에 스테인레스 배관을 이용하고, 그 내면을 전해 연마 처리, 바람직하게는 CRP 처리(크롬 산화막을 표면에 갖는 부동태화막)를 실시한 것이 이용된다.
다음에, 본 발명의 제2 형태에 관한 반도체 제조 방법 및 본 발명의 제3 형태에 관한 반도체 제조 장치의 일 실시 형태에 있어서의 에피택셜 결정 성장 방법에 대하여 설명한다.
우선, 에피택셜 성장을 행하는 실리콘 기판(W)을 외부로부터 반입 로드 로크실(3)로 이송하는 동시에, 이 때 반송계 수분계(6)에 의해 반입 로드 로크실(3) 내의 수분을 계측한다. 즉, 반입 로드 로크실(3)에 접속된 분기관(6b)의 밸브(6c)만 개방하고 다른 밸브(6c)를 폐쇄하며, 이 상태에서 반입 로드 로크실(3) 내의 분위기를 반송계 샘플링 배관(6a)을 거쳐서 레이저 수분계 본체(10)에 도입시켜 수분 농도를 계측한다. 또, 반송계 샘플링 배관(6a)으로부터 레이저 수분계 본체(10)로의 가스의 도입은 후술하는 프로세스용 수분계(5)와 마찬가지로 행해진다.
반송계 수분계(6)의 계측에 의해, 반입 로드 로크실(3) 내의 수분 농도가 소정의 값 미만인 것을 확인한 후, 실리콘 기판(W)을 반입 로드 로크실(3)로부터 반 송용 챔버(2) 내로 반입하고, 또한 반송용 챔버(2) 내의 분위기를 N2 등의 불활성 가스로 치환한다.
이 때, 반송계 수분계(6)에 의해 반송용 챔버(2) 내의 수분을 계측한다. 즉, 반송용 챔버(2)에 접속된 분기관(6b)의 밸브(6c)만 개방하고 다른 밸브(6c)를 폐쇄하며, 이 상태에서 반송용 챔버(2) 내의 분위기를 반송계 샘플링 배관(6a)을 거쳐서 레이저 수분계 본체(10)에 도입시켜 수분 농도를 계측한다. 그리고, 반송계 수분계(6)의 계측에 의해, 반송용 챔버(2) 내의 수분 농도가 소정의 기정치(제1 기정치) 미만까지 충분히 수분이 저감된 상태를 확인한 후에, 프로세스 챔버(1) 내에 실리콘 기판(W)을 반송한다. 또, 소정의 기정치는 반송용 챔버(2)와 프로세스 챔버(1)의 용적비에도 기인하는데, 5 ppm 미만인 것이 바람직하다. 즉, 약간 수분 농도가 높더라도 퍼지 가스로 희석되어 영향은 작다.
각 프로세스 챔버(1)의 내부는 프로세스 전에는 H2 또는 N2 등의 불활성 가스에 의해 퍼지 상태로 되어 있지만, 반송용 챔버(2)로부터 반입한 실리콘 기판(W)을 배치하여 소정 온도까지 가열된다. 이 때, 프로세스용 수분계(5)에 의해 각 프로세스 챔버(1) 내의 수분을 계측한다. 즉, 계측하는 프로세스 챔버(1)에 접속된 분기관(9c)의 밸브(9d)만 개방하고 다른 밸브(9d)를 폐쇄하며, 이 상태에서 프로세스 챔버(1) 내의 가스를 프로세스용 샘플링 배관(9)을 거쳐서 레이저 수분계 본체(10)에 도입시켜 수분 농도를 계측한다. 이 때, 밸브(9a, 17a)를 개방하는 동시에 로터리 펌프(12)를 구동하고, 또한 가변 밸브(9b, 11a)로 유입량을 조정하면서 프로 세스 챔버(1) 내의 가스의 일부를 프로세스용 샘플링 배관(9)을 거쳐서 레이저 수분계 본체(10)에 항상 도입한다.
샘플링된 가스는 레이저 수분계 본체(10) 내의 관형 셀 본체(19) 내에 유입되고, 반도체 레이저(LD)로부터의 적외 레이저광(L)이 조사된다. 관형 셀 본체(19) 내의 가스를 투과한 적외 레이저광(L)은 광 검출기(PD)로 수광되고, 그 수광량으로부터 얻어진 흡수 스펙트럼 강도에 의해 가스에 포함되는 수분의 정량 분석이 행해진다.
그리고, 샘플링된 가스 중의 수분 농도가 적어도 1 ppm 미만(제2 기정치)인 것을 확인한 후에, 프로세스 가스 도입관(23)에 의해 소정의 반응성 가스 등을 도입하여 실리콘 기판(W)의 표면 상에 에피택셜 성장을 행한다. 만약, 반송용 챔버(2)에 산소가 존재하고, 프로세스 챔버(1) 내의 가스가 수소인 경우에는 소정의 온도에서 반응에 의한 물이 발생하므로, 그 경우 프로세스를 중단하고 반송계의 누설 등을 체크하여 장치의 보수를 행한다.
또, 관형 셀 본체(19)에 유입된 반응성 가스 등은 접속관(11), 로터리 펌프(12) 및 샘플링 배기관(17)을 거쳐서 프로세스 가스 배기관(8)에 배출된다.
그리고, 에피택셜 성장 중에 있어서도 상기와 마찬가지로 프로세스 챔버(1)에서 반응에 이용되어 가열된 배기 가스의 일부를 프로세스용 샘플링 배관(9)을 거쳐서 레이저 수분계 본체(10)에 항상 도입하여, 배기 가스의 수분 농도를 계측한다.
에피택셜 성장 종료 후, 프로세스 챔버(1)로부터 반송용 챔버(2)로 기판(W) 이 복귀되고, 또한 상기 기판(W)은 반출 로드 로크실(4)로 반출되어 외부로 취출된다. 또, 반출 로드 로크실(4) 내의 수분 농도도 밸브(6c)의 개폐를 절환함으로써 반송계 수분계(6)로 계측할 수 있으며, 반출 로드 로크실(4)로부터의 누설 등을 검지하는 것도 가능하다.
본 실시 형태에서는 반송용 챔버(2)의 밀폐 공간 내의 수분 농도를 계측하는 반송계 수분계(6)와, 프로세스 챔버(1) 내의 수분 농도를 계측하는 프로세스용 수분계(5)에 의해, 반입 로드 로크실(3)과 반송용 챔버(2) 등의 기판 반송계 내의 수분 농도 및 프로세스 챔버(1) 내의 수분 농도를 모두 계측할 수 있고, 기판 반송계의 밀폐 공간에 있어서의 수분 농도가 프로세스 챔버(1) 내의 수분 농도에 미치는 영향을 조사할 수 있다. 또, 반송용 챔버(2) 및 반입 로드 로크실(3)의 양 쪽에 대하여, 반송계 수분계(6)에 의해 개별로 내부의 수분 농도를 계측할 수 있으므로, 반입 로드 로크실(3) 내의 수분 농도가 반송용 챔버(2) 내의 수분 농도에 미치는 영향을 조사하는 것도 가능해진다.
또, 프로세스 챔버(1) 내의 수분 농도를 저감하기 위해서 반입 로드 로크실(3) 및 반송용 챔버(2) 내의 수분 농도를 계측, 소정의 농도로 제한한 상태에서 프로세스 챔버(1)에 기판(W)을 이송하므로, 기판 반송계 내의 가스가 프로세스 챔버(1) 내로 유입되어 수분 농도를 증가시키는 것을 적극적으로 저감하여 양호한 에피택셜 성장을 행하는 것이 가능해진다. 또, 만약 기판 반송계 내에서 산소를 끌어들이고 있던 경우라도, 프로세스 챔버(1) 내의 수분 농도가 증가하는 현상을 볼 수 있으므로, 양호한 에피택셜 성장을 행하는 것이 가능하다.
또, 샘플링된 가스 중의 수분 농도가 적어도 1 ppm 미만인 것을 확인한 후에, 반응성 가스를 도입하여 실리콘 기판(W)의 표면 상에 에피택셜 성장을 행하므로, 중금속의 스폿형 오염을 방지할 수 있다.
또, 각 프로세스 챔버(1)의 수분 농도를 개별로 계측 가능한 프로세스용 수분계(5)를 구비하고 있으므로, 프로세스 챔버(1)마다 수분 농도를 계측하여 일부의 프로세스 챔버(1)에서 수분 농도가 상승한 경우에, 불량이나 고장 등의 판별을 용이하게 행할 수 있다.
그리고, 프로세스용 수분계(5)에 접속하는 대상을 임의의 프로세스 챔버(1)로 절환 가능한 밸브(9d)를 구비하고 있으므로, 각 밸브(9d)의 개폐로 계측하고 싶은 프로세스 챔버(1)와 레이저 수분계 본체(10)를 접속함으로써, 복수이며 또한 임의의 프로세스 챔버(1) 내의 수분 농도를 하나의 프로세스용 수분계(5)로 계측하는 것이 가능해지고, 부재 갯수 및 비용의 저감을 도모할 수 있다.
또, 본 발명의 제2 및 제3 형태는 다음과 같은 실시 형태도 포함하는 것이다.
상기 실시 형태에서는 프로세스용 수분계(5)와 반송계 수분계(6)를 별도로 설치했지만, 하나의 수분계로부터 샘플링 배관을 프로세스 챔버 및 반송용 챔버 등의 기판 반송계로 분기시키고, 각 분기한 배관에 밸브 등의 절환 기구를 설치함으로써, 동일한 수분계를 프로세스용 수분계 및 반송계 수분계로서 겸용하고, 접속하는 대상을 기판 반송계의 밀폐 공간 또는 프로세스 챔버로 밸브 등에 의해 임의로 절환 가능하게 해도 좋다. 이 경우, 하나의 수분계로 기판 반송계의 밀폐 공간 및 프로세스 챔버의 수분 농도를 임의로 계측할 수 있고, 또한 부재 갯수 및 비용의 저감을 도모할 수 있다.
상기 실시 형태에서는 실리콘 웨이퍼(W)를 반송용 챔버(2)로부터 프로세스 챔버(1)로 반입하는 경우에 본 발명을 적용했지만, 에피택셜 성장 종료 후에 실리콘 웨이퍼(W)를 프로세스 챔버(1)로부터 반송용 챔버(2)로 반출할 때 본 발명을 적용해도 상관없다. 예를 들어, 프로세스 챔버(1) 내에서 실리콘 웨이퍼(W) 상에 모노실란 등의 가스로 에피택셜 성장을 행하고, 해당 성장 종료 후에 실리콘 웨이퍼(W)를 프로세스 챔버(1)로부터 반송용 챔버(2)로 반출하고, 그 후에 프로세스 챔버(1) 내에 HCl을 흘려보내어 내부를 세척(엣칭)하는 경우, 웨이퍼(W)를 상기 반출하기 전에 반송용 챔버(2) 내의 수분 농도를 계측하여, 소정의 기정치(예를 들어 5 ppm) 미만으로 되어 있는 것을 확인하고 나서 웨이퍼(W)를 프로세스 챔버(1)로부터 반송용 챔버(2)로 반출한다. 이와 같이, 상기 반출시에 있어서도 반송용 챔버(2) 내의 수분 농도를 계측하여 확인하므로, 반출시에 반송용 챔버(2) 내의 가스가 프로세스 챔버(1) 내로 유입되어 수분 농도를 증가시키는 것을 적극적으로 저감하여 양호한 HCl 세척을 행하는 것이 가능해진다.
상기 실시 형태의 반송계 수분계(6)는 상술한 바와 같이 고정밀도인 레이저 수분계 본체(10)를 이용한 프로세스용 수분계(5)와 동일한 수분계가 바람직하지만, 흡습성의 박막을 코팅한 수정 진동자의 발신 주파수 변동량을 계측하는 흡착식 수분계, 알루미나ㆍ콘덴서 등에 수분을 흡착시켜 그 전기 용량의 변화를 계측하는 정전 용량 방식의 수분계나 질량 분석법을 이용한 수분계 등이라도 상관없다.
상기 실시 형태에서는 반도체 제조 장치로서 에피택셜 성장을 행하는 기상 성장 장치에 적용했지만, 반응실 내의 기판 상에서 반응성 가스를 반응시키는 장치이면, 다른 반도체 제조 장치에 이용해도 상관없다. 예를 들어, 다른 박막을 기판 상에 형성하는 CVD 장치나 부식성 가스를 이용하여 기판 표면을 엣칭하는 드라이 엣칭 장치 등에 채용해도 상관없다.
또, 상기 실시 형태에서는 낱장식 에피택셜 성장 장치에 적용했지만, 이것으로 한정되는 것은 아니며, 다른 방식(각종 배치식 등)에 적용해도 상관없다.
그리고, 프로세스 전에 각 배관 및 프로세스 챔버의 내부를 H2 퍼지하고 나서 반응성 가스로서의 부식성 가스를 도입했지만, 충분한 H2 퍼지 후에 다시 HCl(염화수소)로 퍼지를 행하고, 그 후에 성장에 이용하는 반응성 가스를 도입해도 상관없다. 이 경우, 각 배관 및 프로세스 챔버의 내벽에 흡착되어 있는 물분자가 HCl 분자와 결합되어 이송되어지고, 나중에 공급되는 반응성 가스 중에 포함되는 수분을 저감할 수 있다.
<실시예>
비교를 위해, 종래의 방법(수분 농도 4 ppm)에 의해 실리콘 웨이퍼(W)에 에피택셜 성장한 결과, 및 상기 실시 형태에 의해 실제로 수분 농도 1 ppm으로 해당 성장을 행한 결과를 도7 및 도8에 각각 도시한다. 또, 이들 도면에서는 웨이퍼(W) 표면에 있어서 수명이 500 μs 미만인 영역(즉, 중금속 오염 영역에 상당)에 사선을 긋고 있다.
이 도7 및 도8로부터 알 수 있는 바와 같이, 종래의 방법에서는 웨이퍼 표면에 중금속 오염이 스폿형으로 발생하고 있는 데 반해, 본 발명의 실시예에서는 스폿형 오염이 발생하고 있지 않다.
본 발명에 따르면, 프로세스시의 조건을 정확하게 조정하여 선택 에피택셜 성장 등의 반응성 가스 처리를 고정밀도로 행할 수 있는 반도체 제조 방법을 제공할 수 있다.
또 본 발명에 따르면, 수분 농도의 증가를 억제하고, 중금속 오염 등을 방지할 수 있는 동시에 프로세스 챔버 내의 수분 농도와 외부 영역의 상관을 조사할 수 있는 반도체 제조 방법 및 반도체 제조 장치를 제공할 수 있다.

Claims (15)

  1. 기판(W)이 내부에 설치된 반응실(1) 내에 반응성 가스를 흘려보내어 기판(W)과 반응성 가스를 반응시키는 반응성 가스 처리를 행하는 반도체 제조 방법으로서,
    상기 기판(W)을 설치한 상태에서 상기 반응실(1) 내 또는 상기 반응실(1)의 가스 배기계 내의 수분 농도를 계측하고, 상기 수분 농도에 기초하여 반응성 가스 처리의 조건을 조정하고,
    상기 반응성 가스 처리의 조건은 상기 반응실(1) 내에 반응성 가스를 유입시키기 전에 행하는 상기 기판(W)의 가열 조건을 포함하는 것을 특징으로 하는 반도체 제조 방법.
  2. 삭제
  3. 제1항에 있어서, 상기 가열 조건은 상기 기판(W)의 가열 온도, 기판(W)의 가열 시간 또는 퍼지 가스의 유량인 것을 특징으로 하는 반도체 제조 방법.
  4. 기판(W)이 내부에 설치된 반응실(1) 내에 반응성 가스를 흘려보내어 기판(W)과 반응성 가스를 반응시키는 반응성 가스 처리를 행하는 반도체 제조 방법으로서,
    상기 기판(W)을 설치한 상태에서 상기 반응실(1) 내 또는 상기 반응실(1)의 가스 배기계 내의 수분 농도를 계측하고, 상기 수분 농도에 기초하여 반응성 가스 처리의 조건을 조정하고,
    상기 반응성 가스 처리의 조건은 상기 기판(W)의 가열 온도, 상기 반응성 가스의 유량, 상기 반응성 가스의 혼합비 또는 상기 반응실(1) 내의 압력인 것을 특징으로 하는 반도체 제조 방법.
  5. 제1항에 있어서, 표면에 산화실리콘(31)이 형성되어 있는 상기 기판(W)에 대하여 상기 반응성 가스 처리를 행하는 것을 특징으로 하는 반도체 제조 방법.
  6. 제5항에 있어서, 상기 기판(W)은 실리콘 기판이고,
    상기 반응성 가스 처리는 상기 기판(W)의 표면 중 실리콘이 노출된 영역에 선택적으로 반도체층을 성장시키는 처리인 것을 특징으로 하는 반도체 제조 방법.
  7. 기판(W)을 기판 반송계(2, 3)에 의해 상기 기판 반송계 내의 밀폐 공간으로부터 반응실(1) 내로 반입했을 때 또는 반응실(1) 내로부터 상기 밀폐 공간으로 반출했을 때, 상기 반응실(1) 내에 반응성 가스를 흘려보내어 반응실(1) 내에서 반응성 가스를 반응시키는 반응성 가스 처리를 행하는 반도체 제조 방법으로서,
    상기 밀폐 공간에 접속된 제1 수분계(6)에 의해 상기 밀폐 공간 내의 수분 농도를 계측한 후에, 상기 기판(W)을 상기 기판 반송계(2, 3)로 상기 반입 또는 상기 반출을 행하는 기판 반송 공정과,
    상기 기판 반송 공정 후에, 상기 반응실(1)에 접속된 제2 수분계(5)로 반응실(1) 내의 수분 농도를 계측하면서 상기 반응성 가스 처리를 행하는 가스 처리 공정을 구비하고 있는 것을 특징으로 하는 반도체 제조 방법.
  8. 제7항에 있어서, 상기 기판 반송 공정은 상기 밀폐 공간 내의 수분 농도가 제1 기정치보다 낮은 것을 확인한 후에 상기 기판(W)을 상기 밀폐 공간으로부터 상기 반응실(1) 내로 반입 또는 반응실(1) 내로부터 상기 밀폐 공간으로 반출하고,
    상기 가스 처리 공정은 상기 반응실(1) 내의 수분 농도가 제2 기정치보다 낮은 것을 확인한 후에 상기 반응성 가스 처리를 개시하는 것을 특징으로 하는 반도체 제조 방법.
  9. 제8항에 있어서, 상기 제2 기정치를 1 ppm 미만으로 하는 것을 특징으로 하는 반도체 제조 방법.
  10. 제7항에 있어서, 상기 제1 수분계(6) 또는 상기 제2 수분계(5)는 상기 밀폐 공간 또는 상기 반응실(1)에 접속된 관형 셀 본체(19) 내에 레이저광을 입사시켜 투과한 레이저광의 흡수 스펙트럼을 측정하는 레이저 수분계(10)인 것을 특징으로 하는 반도체 제조 방법.
  11. 기판(W)을 기판 반송계(2, 3)에 의해 상기 기판 반송계 내의 밀폐 공간으로부터 반응실(1) 내로 반입했을 때 또는 반응실(1) 내로부터 상기 밀폐 공간으로 반출했을 때, 상기 반응실(1) 내에 반응성 가스를 흘려보내어 반응실(1) 내에서 반응성 가스를 반응시키는 반응성 가스 처리를 행하는 반도체 제조 장치로서,
    상기 기판 반송계의 밀폐 공간 내의 수분 농도를 계측하는 제1 수분계(6)와,
    상기 반응실(1) 내의 수분 농도를 계측하는 제2 수분계(5)를 구비하고 있는 것을 특징으로 하는 반도체 제조 장치.
  12. 제11항에 있어서, 복수의 반응실(1)을 구비하고,
    상기 제1 수분계(6)는 상기 반응실(1)마다 수분 농도를 계측 가능하게 설치되어 있는 것을 특징으로 하는 반도체 제조 장치.
  13. 제12항에 있어서, 상기 제1 수분계(6)에 접속하는 대상을 임의의 상기 반응실(1)로 절환 가능한 절환 기구(9d)를 구비하고 있는 것을 특징으로 하는 반도체 제조 장치.
  14. 제11항에 있어서, 상기 제1 수분계(6) 및 상기 제2 수분계(5)는 동일한 수분계이고,
    상기 수분계에 접속되는 대상을 상기 밀폐 공간 또는 상기 반응실(1)로 절환 가능한 절환 기구(9d)를 구비하고 있는 것을 특징으로 하는 반도체 제조 장치.
  15. 제11항에 있어서, 상기 제1 수분계(6) 또는 상기 제2 수분계(5)는 상기 밀폐 공간 또는 상기 반응실(1)에 접속된 관형 셀 본체(19) 내에 레이저광을 입사시켜 투과한 레이저광의 흡수 스펙트럼을 측정하는 레이저 수분계(10)인 것을 특징으로 하는 반도체 제조 장치.
KR1020010009843A 2000-02-28 2001-02-27 반도체 제조 방법 및 반도체 제조 장치 KR100773636B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2000-52519 2000-02-28
JP2000052519A JP3592603B2 (ja) 2000-02-28 2000-02-28 半導体製造方法及び半導体製造装置
JP2000108563A JP3636962B2 (ja) 2000-04-10 2000-04-10 半導体製造方法
JP2000-108563 2000-04-10

Publications (2)

Publication Number Publication Date
KR20010085636A KR20010085636A (ko) 2001-09-07
KR100773636B1 true KR100773636B1 (ko) 2007-11-05

Family

ID=26586302

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010009843A KR100773636B1 (ko) 2000-02-28 2001-02-27 반도체 제조 방법 및 반도체 제조 장치

Country Status (5)

Country Link
US (3) US6776805B2 (ko)
KR (1) KR100773636B1 (ko)
CN (1) CN1183578C (ko)
DE (1) DE10109507B4 (ko)
TW (1) TW476996B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160115769A (ko) * 2015-03-27 2016-10-06 도쿄엘렉트론가부시키가이샤 성막 장치 및 성막 방법 및 기억 매체

Families Citing this family (342)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004296558A (ja) * 2003-03-26 2004-10-21 Osaka Prefecture 絶縁層埋め込み型単結晶炭化シリコン基板の製造方法及びその製造装置
US8113757B2 (en) * 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber
US20080188011A1 (en) * 2007-01-26 2008-08-07 Silicon Genesis Corporation Apparatus and method of temperature conrol during cleaving processes of thick film materials
US7436081B2 (en) * 2007-01-31 2008-10-14 Caterpillar Inc. System for controlling a hybrid energy system
DE102007019122B3 (de) * 2007-04-23 2008-06-26 Texas Instruments Deutschland Gmbh Verfahren zur Temperaturregelung während eines Epitaxieschrittes von Halbleiterwafern
US8986253B2 (en) 2008-01-25 2015-03-24 Tandem Diabetes Care, Inc. Two chamber pumps and related methods
US8408421B2 (en) 2008-09-16 2013-04-02 Tandem Diabetes Care, Inc. Flow regulating stopcocks and related methods
US8650937B2 (en) 2008-09-19 2014-02-18 Tandem Diabetes Care, Inc. Solute concentration measurement device and related methods
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010190824A (ja) * 2009-02-20 2010-09-02 Shimadzu Corp 半導体製造プロセス用吸光分析装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
EP2724739B1 (en) 2009-07-30 2015-07-01 Tandem Diabetes Care, Inc. Portable infusion pump system
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9196551B2 (en) 2011-08-26 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Automatically adjusting baking process for low-k dielectric material
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9180242B2 (en) 2012-05-17 2015-11-10 Tandem Diabetes Care, Inc. Methods and devices for multiple fluid transfer
US9555186B2 (en) 2012-06-05 2017-01-31 Tandem Diabetes Care, Inc. Infusion pump system with disposable cartridge having pressure venting and pressure feedback
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10724137B2 (en) * 2013-02-05 2020-07-28 Kokusai Eletric Corporation Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, recording medium, and cleaning completion determining method
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9173998B2 (en) 2013-03-14 2015-11-03 Tandem Diabetes Care, Inc. System and method for detecting occlusions in an infusion pump
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) * 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP6586328B2 (ja) * 2015-09-04 2019-10-02 東京エレクトロン株式会社 被処理体を処理する方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
TWI607367B (zh) 2017-01-25 2017-12-01 友達光電股份有限公司 面板及其製造方法
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
CN109254108B (zh) * 2017-07-12 2023-02-17 株式会社堀场制作所 分析装置和分析方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113774478A (zh) * 2021-09-16 2021-12-10 季华实验室 外延设备的反应工况调节方法、装置、系统及电子设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0485927A (ja) * 1990-07-30 1992-03-18 Nippon Telegr & Teleph Corp <Ntt> ゲート電極用薄膜の形成方法とその形成装置
JPH10144581A (ja) * 1996-11-14 1998-05-29 Kokusai Electric Co Ltd 半導体製造装置

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3732435A (en) * 1972-03-27 1973-05-08 Strandberg Eng Labor Inc Moisture measuring and control apparatus
CH613546A5 (ko) * 1977-05-04 1979-09-28 Boschung Fa M
US4272986A (en) * 1979-04-16 1981-06-16 Harris Corporation Method and means for measuring moisture content of hermetic semiconductor devices
US4475080A (en) * 1982-05-10 1984-10-02 Walker Charles W E Microwave moisture measurement of moving particulate layer after thickness leveling
JPS60145999A (ja) 1984-01-11 1985-08-01 Nec Corp 化合物半導体気相成長方法
US4661196A (en) * 1984-10-22 1987-04-28 Texas Instruments Incorporated Plasma etch movable substrate
GB8715357D0 (en) 1987-06-30 1987-08-05 Sandoz Inst For Medical Resear Organic compounds
JPH01216551A (ja) * 1988-02-24 1989-08-30 Nec Kyushu Ltd 半導体基板の収納保管装置
JP2602880B2 (ja) * 1988-03-05 1997-04-23 忠弘 大見 シリンダーキャビネット配管装置
DE68927726T2 (de) * 1988-07-20 1997-07-17 Hashimoto Chemical Ind Co Einrichtung zum Trockenätzen mit einem Generator zum Erzeugen von wasserfreiem Flusssäuregas
JPH03226649A (ja) * 1990-01-31 1991-10-07 Sanyo Electric Co Ltd 感湿素子
JP3017301B2 (ja) * 1991-02-18 2000-03-06 大阪酸素工業株式会社 不動態膜の形成方法
JP3059262B2 (ja) 1991-10-08 2000-07-04 日本酸素株式会社 ガス中の微量水分分析装置
US5241851A (en) 1991-11-04 1993-09-07 The Boc Group, Inc. Method of performing an instantaneous moisture concentration measurement and for determining the drydown characteristics of an environment
JPH0661199A (ja) 1992-04-27 1994-03-04 Nec Corp 気相エッチング方法および装置
TW262566B (ko) * 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
JPH0864559A (ja) * 1994-06-14 1996-03-08 Fsi Internatl Inc 基板面から不要な物質を除去する方法
US5963336A (en) * 1995-10-10 1999-10-05 American Air Liquide Inc. Chamber effluent monitoring system and semiconductor processing system comprising absorption spectroscopy measurement system, and methods of use
JPH09129709A (ja) 1995-10-27 1997-05-16 Nec Corp プロセス装置
EP0809284B8 (en) * 1995-12-28 2007-06-13 Taiyo Nippon Sanso Corporation Method and system for transporting substrate wafers
US5749521A (en) * 1996-05-22 1998-05-12 Lore Parker Moisture sensing electronic irrigation control
SG63825A1 (en) 1997-03-11 1999-03-30 Applied Materials Inc In situ monitoring of contaminants in semiconductor processing chambers
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US5844125A (en) * 1997-10-01 1998-12-01 Millipore Corporation Method and apparatus for measuring moisture content in a gas
JPH11183366A (ja) 1997-12-25 1999-07-09 Nippon Sanso Kk 分光分析用測定セル
JPH11354516A (ja) * 1998-06-08 1999-12-24 Sony Corp シリコン酸化膜形成装置及びシリコン酸化膜形成方法
JP2000097890A (ja) * 1998-09-21 2000-04-07 Sony Corp ガス中の水分量測定装置およびクライオポンプ再生終了時の検知方法
JP4319723B2 (ja) 1999-01-25 2009-08-26 株式会社アルバック エピタキシャル成長方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0485927A (ja) * 1990-07-30 1992-03-18 Nippon Telegr & Teleph Corp <Ntt> ゲート電極用薄膜の形成方法とその形成装置
JPH10144581A (ja) * 1996-11-14 1998-05-29 Kokusai Electric Co Ltd 半導体製造装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160115769A (ko) * 2015-03-27 2016-10-06 도쿄엘렉트론가부시키가이샤 성막 장치 및 성막 방법 및 기억 매체
KR102017937B1 (ko) * 2015-03-27 2019-09-03 도쿄엘렉트론가부시키가이샤 성막 장치 및 성막 방법 및 기억 매체

Also Published As

Publication number Publication date
US20040092043A1 (en) 2004-05-13
US20010019900A1 (en) 2001-09-06
US7033843B2 (en) 2006-04-25
US6794204B2 (en) 2004-09-21
KR20010085636A (ko) 2001-09-07
US20030022469A1 (en) 2003-01-30
DE10109507A1 (de) 2001-09-13
TW476996B (en) 2002-02-21
CN1183578C (zh) 2005-01-05
CN1312585A (zh) 2001-09-12
DE10109507B4 (de) 2011-11-17
US6776805B2 (en) 2004-08-17

Similar Documents

Publication Publication Date Title
KR100773636B1 (ko) 반도체 제조 방법 및 반도체 제조 장치
KR100431040B1 (ko) Cvd 장치 및 그 퍼지 방법
US5897710A (en) Substrate processing apparatus and substrate processing method
US8124168B2 (en) Substrate processing method and substrate processing apparatus
US7572052B2 (en) Method for monitoring and calibrating temperature in semiconductor processing chambers
JP6457104B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
EP0421315A2 (en) Method for selectively removing an insulating film
US5241987A (en) Process gas supplying apparatus
JP3592603B2 (ja) 半導体製造方法及び半導体製造装置
JP3636962B2 (ja) 半導体製造方法
JP3495965B2 (ja) 水分モニタリング装置およびこれを備えた半導体製造装置
JPH0737812A (ja) 減圧cvd型気相成長装置
JP2003115516A (ja) 水分測定用ウェーハ、水分計の較正方法および熱処理炉の状態評価方法
JP3495966B2 (ja) 半導体製造装置のメンテナンス時期判断方法
US20240120197A1 (en) Growth monitor system and methods for film deposition
CN117980546A (zh) 用于膜沉积的生长监测系统及方法
KR100901918B1 (ko) Cvd 장비의 온도보정 장치 및 방법
JP2002334840A (ja) 反応性ガス処理用ウェーハの製造方法、これを用いたウェーハの反応性ガス処理方法及び反応性ガス処理装置
Cui et al. A thermal processing system for microelectronic materials
JP2004063968A (ja) 半導体装置の製造装置及び半導体装置の製造方法
JP2004111610A (ja) 基板処理装置および半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121019

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131018

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20151023

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161021

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20171020

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20181023

Year of fee payment: 12