KR102017937B1 - 성막 장치 및 성막 방법 및 기억 매체 - Google Patents

성막 장치 및 성막 방법 및 기억 매체 Download PDF

Info

Publication number
KR102017937B1
KR102017937B1 KR1020160034538A KR20160034538A KR102017937B1 KR 102017937 B1 KR102017937 B1 KR 102017937B1 KR 1020160034538 A KR1020160034538 A KR 1020160034538A KR 20160034538 A KR20160034538 A KR 20160034538A KR 102017937 B1 KR102017937 B1 KR 102017937B1
Authority
KR
South Korea
Prior art keywords
gas
reaction vessel
supplying
moisture concentration
exhaust
Prior art date
Application number
KR1020160034538A
Other languages
English (en)
Other versions
KR20160115769A (ko
Inventor
히로아키 이케가와
히로미 시마
유스케 다치노
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160115769A publication Critical patent/KR20160115769A/ko
Application granted granted Critical
Publication of KR102017937B1 publication Critical patent/KR102017937B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60097Applying energy, e.g. for the soldering or alloying process
    • H01L2021/60172Applying energy, e.g. for the soldering or alloying process using static pressure
    • H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid

Abstract

본 발명은 종형의 반응 용기 내에서 기판 유지구에 선반 형상으로 유지된 기판에 대하여 처리 가스를 사용해서 처리를 행함에 있어서, 양호한 성막 처리를 안정적으로 행하는 것을 제공한다. 반응 용기(1) 내에 원료 가스를 공급하는 스텝과, 반응 용기(1) 내의 분위기를 치환한 후, 반응 용기(1) 내에 O3 가스를 공급하는 스텝과, 반응 용기(1) 내를 진공 배기하는 가스 배기 스텝과, 반응 용기(1) 내를 퍼지하는 스텝을 이 순서로 실행한다. 그리고 가스 배기 스텝의 종료 시에, 반응 용기(1)의 배기로(24)를 흐르는 가스 중의 수분 농도를 검출하고, 이 검출값과 설정값을 비교해서 수분 농도의 검출값이 설정값을 초과하였을 때는, 가스 배기 스텝의 시간을 연장함으로써, 반응 용기(1) 내의 분위기 치환 작용을 증대시킨다. 이 때문에 반응 용기(1) 내의 수분 농도가 낮은 상태에서, 다음 회의 원료 가스를 공급하는 스텝이 실시되므로, 양호한 성막 처리를 안정적으로 행할 수 있다.

Description

성막 장치 및 성막 방법 및 기억 매체{FILM FORMING APPARATUS, FILM FORMING METHOD, AND STORAGE MEDIUM}
본 발명은, 금속을 포함하는 유기 재료로 이루어지는 원료 가스와 상기 유기 재료를 산화하는 산화 가스를 기판에 교대로 복수회 공급하여, 상기 금속의 산화물로 이루어지는 박막을 기판 상에 성막하는 기술에 관한 것이다.
종형 열처리 장치의 반응 용기 내에 있어서, 웨이퍼 보트에 선반 형상으로 유지된 반도체 웨이퍼(이하, 「웨이퍼」라고 함)에 대하여, 소위 ALD(Atomic Layer Deposition)법을 사용해서 금속 산화막을 형성하는 것이 알려져 있다. 예를 들어 특허문헌 1에는, Zr 화합물로 이루어지는 원료 가스와, 산화 가스인 오존(O3) 가스를 사용해서, 이들을 교대로 공급하여 산화지르코늄(ZrO2)막을 형성하는 ALD 프로세스가 제안되어 있다.
이 프로세스에서는, 처리 용기 내에 원료 가스를 공급하는 스텝과, 처리 용기 내에 산화 가스를 공급하는 스텝과의 사이에, 처리 용기 내를 퍼지 가스로 퍼지하는 스텝을 행해서 1회의 성막 조작으로 하고, 이것을 복수회 반복함으로써, 소정 막 두께의 ZrO2막을 성막하고 있다. 이렇게 원료 가스와 산화 가스의 전환 시에는 처리 용기 내는 퍼지 가스에 의해 치환되는데, 이 치환이 불충분한 경우에는, ZrO2막 내의 불순물량의 증가나, 커버리지 성능의 악화를 초래할 우려가 있다.
이 때문에 치환 조건의 최적화를 도모할 필요가 있는데, 이 작업은 프로세스 종별마다 행하기 때문에 작업이 번잡해진다. 또한 적정한 치환 조건을 설정했다고 해도, 처리 용기 내에서 반복해서 성막 처리를 행하는 과정에서, 얻어지는 ZrO2막의 막질이 의도하지 않게 열화되는 현상이 발생하는 경우가 있다.
특허문헌 2에는, MOCVD 장치의 퍼지 방법에 있어서, 반응실로부터 배출되는 가스 중의 수분 농도를 측정함으로써, 퍼지 처리의 종점을 파악하는 방법이 기재되어 있다. 그러나, 이 방법은 퍼지 처리에 사용되는 알킬 금속의 낭비를 억제함과 함께, 단시간에 확실하게 퍼지 처리를 행하는 것을 목적으로 한 것이며, 이 특허문헌 2의 기술을 사용해도, 본 발명의 과제를 해결할 수는 없다.
일본 특허 공개 제2013-161988호 공보(단락 0063 내지 0066, 도 4, 도 7 등) 일본 특허 공개 제2001-332501호 공보(단락 0012, 0017, 0021, 도 1 등)
본 발명은, 금속을 포함하는 유기 재료로 이루어지는 원료 가스와 상기 유기 재료를 산화하는 산화 가스를 기판에 교대로 복수회 공급하여, 상기 금속의 산화물로 이루어지는 박막을 기판 상에 성막함에 있어서, 막 내의 불순물이 적고, 양호한 성막 처리를 안정적으로 행할 수 있는 기술을 제공한다.
이를 위해 본 발명의 성막 장치는, 진공 분위기인 반응 용기 내에서, 금속을 포함하는 유기 재료로 이루어지는 원료 가스와 상기 유기 재료를 산화하는 산화 가스를 기판에 교대로 복수회 공급하여, 상기 금속의 산화물로 이루어지는 박막을 기판 상에 성막하는 성막 장치로서, 상기 반응 용기 내를 배기로를 통해서 배기하는 진공 배기 기구와, 상기 배기로를 흐르는 가스 중의 수분 농도를 검출하는 수분 검출부와, 상기 반응 용기 내의 분위기를 치환하기 위한 치환용의 가스를 상기 반응 용기 내에 공급하는 치환용의 가스 공급부와, 상기 반응 용기 내에 상기 원료 가스를 공급하는 스텝과, 이어서 상기 반응 용기 내의 분위기를 상기 치환용의 가스에 의해 치환한 후, 상기 반응 용기 내에 상기 산화 가스를 공급하는 스텝과, 계속해서 상기 치환용의 가스를 상기 반응 용기 내에 공급하는 스텝을 포함하는 분위기 치환 스텝을 실행하도록 제어 신호를 출력함과 함께, 상기 산화 가스를 공급하는 스텝의 개시 이후, 상기 원료 가스를 공급하는 스텝을 개시하기 전까지 상기 수분 검출부에 의해 검출한 수분 농도와 설정값을 비교하여, 상기 수분 농도가 상기 설정값을 초과하였을 때는, 상기 분위기 치환 스텝의 치환 작용을 증대시키기 위한 제어 신호를 출력하는 제어부를 포함한다.
또한 본 발명의 성막 방법은, 진공 분위기인 반응 용기 내에서, 금속을 포함하는 유기 재료로 이루어지는 원료 가스와 상기 유기 재료를 산화하는 산화 가스를 기판에 교대로 복수회 공급하여, 상기 금속의 산화물로 이루어지는 박막을 기판 상에 성막하는 성막 방법으로서, 상기 반응 용기 내에 상기 원료 가스를 공급하는 공정과, 계속해서 상기 반응 용기 내의 분위기를 치환용의 가스에 의해 치환한 후, 상기 반응 용기 내에 상기 산화 가스를 공급하는 공정과, 계속해서 상기 치환용의 가스를 상기 반응 용기 내에 공급하는 스텝을 포함하는 분위기 치환 공정과, 상기 산화 가스를 공급하는 공정의 개시 이후, 상기 원료 가스를 공급하는 공정을 개시하기 전까지, 상기 반응 용기 내를 진공 배기하기 위한 배기로 내의 수분 농도를 검출하는 공정을 포함하고, 상기 공정에서 검출한 수분 농도와 설정값을 비교하여, 상기 수분 농도가 상기 설정값을 초과하였을 때는, 상기 분위기 치환 공정의 치환 작용을 증대시킨다.
또한 본 발명의 기억 매체는, 진공 분위기인 반응 용기와, 진공 배기 기구와, 수분 검출부를 포함하는 성막 장치에 사용되는 컴퓨터 프로그램을 기억한 기억 매체로서, 상기 컴퓨터 프로그램은, 컴퓨터와 결합하여 상기 성막 방법을 실행하도록 스텝 군이 짜여져 있다.
본 발명에서는, 진공 분위기의 반응 용기 내에 원료 가스를 공급하는 스텝과, 이어서 반응 용기 내의 분위기를 치환용의 가스에 의해 치환한 후, 반응 용기 내에 산화 가스를 공급하는 스텝과, 계속해서 치환용의 가스를 상기 반응 용기 내에 공급하는 스텝을 포함하는 분위기 치환 스텝을 실행하고 있다. 그리고 산화용의 가스를 공급하는 스텝의 개시 이후, 원료 가스를 공급하는 스텝을 개시하기 전까지, 반응 용기의 배기로를 흐르는 가스 중의 수분 농도를 검출한다. 이렇게 해서 이 검출값과 설정값을 비교하여, 수분 농도의 검출값이 설정값을 초과하였을 때는, 분위기 치환 스텝의 치환 작용을 증대시키고 있다. 이 때문에 배기로를 흐르는 가스 중의 수분 농도가 설정값보다도 낮은 상태, 즉 반응 용기 내의 수분 농도가 낮은 상태에서, 다음 회의 원료 가스를 공급하는 스텝이 실시된다. 그 결과 반응 용기 내의 수분이 원인이 되는 막 내의 불순물의 증가나 커버리지 성능의 저하를 억제할 수 있어, 양호한 성막 처리를 안정적으로 행할 수 있다.
도 1은 본 발명의 일 실시 형태에 따른 성막 장치를 도시하는 종단면도이다.
도 2는 성막 장치를 도시하는 횡단면도이다.
도 3은 성막 처리의 일반적인 예에 있어서의 수분 농도의 경시 변화를 도시하는 특성도이다.
도 4는 본 발명의 성막 방법의 제1 방법을 나타내는 흐름도이다.
도 5는 제1 방법의 성막 처리에 있어서의 수분 농도의 경시 변화를 도시하는 특성도이다.
도 6은 본 발명의 성막 방법의 제2 방법을 나타내는 흐름도이다.
도 7은 제2 방법의 성막 처리에 있어서의 수분 농도의 경시 변화를 도시하는 특성도이다.
도 8은 본 발명의 성막 방법의 제3 방법을 나타내는 흐름도이다.
본 발명의 성막 방법을 실시하는 성막 장치의 일례에 대해서, 도 1 및 도 2를 참조하여 설명한다. 도 1은 성막 장치의 종단면도, 도 2는 그 횡단면도이다. 도 1 및 도 2 중, 1은 예를 들어 석영에 의해 종형의 원통 형상으로 형성된 반응 용기이며, 이 반응 용기(1) 내의 상부측은, 석영제의 천장판(11)에 의해 밀봉되어 있다. 또한 반응 용기(1)의 하단측에는, 예를 들어 스테인리스에 의해 원통 형상으로 형성된 매니폴드(2)가 연결되어 있다. 매니폴드(2)의 하단은 기판 반입출구로서 개구되어, 도시하지 않은 보트 엘리베이터에 설치된 석영제의 덮개(21)에 의해 기밀하게 폐쇄되도록 구성되어 있다. 덮개(21)의 중앙부에는 회전축(22)이 관통해서 설치되고, 그 상단부에는 기판 유지구인 웨이퍼 보트(3)가 탑재되어 있다.
웨이퍼 보트(3)는, 예를 들어 3개의 지주(31)를 구비하고 있고, 웨이퍼(W)의 외측 테두리부를 지지하여, 복수매의 웨이퍼(W)를 선반 형상으로 유지할 수 있도록 되어 있다. 웨이퍼 보트(3)는, 당해 웨이퍼 보트(3)가 반응 용기(1) 내에 로드되어, 덮개(21)에 의해 반응 용기(1)의 기판 반입출구가 막히는 처리 위치와, 반응 용기(1)의 하방측의 반출 위치와의 사이에서 승강 가능하게 구성됨과 함께, 도시하지 않은 회전 기구에 의해 회전축(22)을 통해서 연직축을 중심으로 회전 가능하게 구성된다. 도 1 중, 23은 단열 유닛이다.
도 1 및 도 2에 도시한 바와 같이, 반응 용기(1)의 측벽의 일부는, 웨이퍼 보트(3)의 길이 방향을 따라서 외측으로 부풀어 오른 벽부로 둘러싸인 공간(12)으로서 구성된다. 이 공간(12)은, 예를 들어 웨이퍼 보트(3)에 지지되어 있는 모든 웨이퍼(W)를 커버할 수 있도록 상하 방향으로 길게 형성되어 있다. 또한 반응 용기(1)의 측벽의 둘레 방향의 일부, 이 예에서는 공간(12)에 대향하는 영역에는, 반응 용기(1) 내의 분위기를 진공 배기하기 위해서, 상하로 가늘고 긴 배기구(13)가 형성되어 있다. 웨이퍼 보트(3)에 있어서 웨이퍼(W)가 배열되어 있는 영역을 배열 영역으로 하면, 배기구(13)는 배열 영역에 면하도록 웨이퍼(W)의 배열 방향을 따라서 형성되어 있다. 이 때문에 모든 웨이퍼(W)의 측방에 배기구(13)가 형성되어 있게 된다.
배기구(13)에는, 이것을 덮도록 해서 예를 들어 석영으로 이루어지는 단면 역ㄷ자 형상으로 형성된 배기 커버 부재(14)가 설치되어 있다. 배기 커버 부재(14)는, 예를 들어 반응 용기(1)의 측벽을 따라 상하로 신장하도록 구성되어 있고, 예를 들어 배기 커버 부재(14)의 하부측에는 배기로(24)의 일단측이 접속되어 있다. 이 배기로(24)의 타단측은, 예를 들어 버터플라이 밸브로 이루어지는 압력 조정부(25), 개폐 밸브(26)를 통해서 진공 배기 기구를 이루는 진공 펌프(27)에 접속되어 있다. 또한 도 1에 도시한 바와 같이, 반응 용기(1)의 외주를 둘러싸도록 하여, 가열부인 통 형상체의 히터(15)가 설치되어 있다.
또한 배기로(24)는, 예를 들어 개폐 밸브(26)와 진공 펌프(27)의 사이에, 수분 검출부(4)를 구비하고 있다. 이 수분 검출부(4)는, 배기로(24)를 흐르는 가스 중의 수분 농도를 검출하는 것이다. 수분 검출부(4)로서는, 예를 들어 배기된 가스가 통류하는 광투과성의 셀과, 이 광투과성의 셀에 레이저광을 출력하는 발광부와, 레이저광을 수광하는 수광부를 구비하는 광학적인 검출 장치를 사용할 수 있다. 이 구성에서는, 발광부로부터 물의 분자에만 흡수되는 파장의 레이저광을 셀을 향해서 출력해서 수광부에서 수광한다. 그리고 얻어진 수광량으로부터 물의 분자에 흡수된 광의 감소분을 취득하고, 이것을 분자량으로 치환함으로써 수분이 검출된다.
매니폴드(2)의 측벽에는, 금속을 포함하는 유기 재료로 이루어지는 원료 가스를 공급하기 위한 원료 가스 공급로(51)가 삽입되고, 이 원료 가스 공급로(51)의 선단부에는, 원료 가스 노즐(52)이 설치되어 있다. 원료 가스로서는, 예를 들어 지르코늄(Zr)을 포함하는 유기 재료(이하, 「Zr 원료」라고 함), 예를 들어 테트라키스에틸메틸아미노지르코늄(TEMAZ)의 가스가 사용된다. 또한 매니폴드(2)의 측벽에는, 산화 가스인 오존(O3) 가스를 공급하기 위한 산화 가스 공급로(61)가 삽입되어 있고, 이 산화 가스 공급로(61)의 선단부에는, 산화 가스 노즐(62)이 설치되어 있다. 산화 가스란, 원료 가스를 산화해서 금속의 산화물을 생성하는 가스이다.
이들 원료 가스 노즐(52) 및 산화 가스 노즐(62)은, 예를 들어 단면이 원형인 석영관으로 이루어지고, 도 1에 도시한 바와 같이, 반응 용기(1)의 내부에서의 둘러싸인 공간(12) 내에 웨이퍼 보트(3)에 유지된 웨이퍼(W)의 배열 방향을 따라서 연장되도록 배치되어 있다. 원료 가스 노즐(52) 및 산화 가스 노즐(62)에는, 웨이퍼(W)를 향해서 원료 가스 및 산화 가스를 각각 토출하기 위한 복수의 가스 토출 구멍(521, 621)(도 2 참조)이 형성되어 있다. 이들 가스 토출 구멍(521, 621)은, 웨이퍼 보트(3)에 유지된 웨이퍼(W)에 있어서, 상하 방향으로 인접하는 웨이퍼(W)끼리의 사이의 간극을 향해서 가스를 토출하도록, 각각 노즐(52, 62)의 길이 방향을 따라서 소정의 간격을 두고 형성되어 있다.
원료 가스 공급로(51)는, 밸브(V1), 기화부(53) 및 유량 조정부(54)를 통해서 액체의 Zr 원료(TEMAZ)를 저류하는 저류 용기(55)에 접속되어 있다. 이 저류 용기(55)에는 압송관(56)이 설치되어 있고, 압송용의 기체, 예를 들어 헬륨(He) 가스 등을 공급함으로써, 저류 용기(55)의 하류측에 Zr 원료의 액체를 송액하도록 구성되어 있다. 유량 조정부(55)는, 예를 들어 액체 매스 플로우 컨트롤러로 이루어지고, 유량 조정된 액체의 Zr 원료가 기화부(53)에서 기화되고, 이렇게 해서 얻어진 Zr 원료의 기체(Zr 원료 가스)는, 소정의 타이밍에 원료 가스 노즐(52)로부터 반응 용기(1) 내에 공급된다. 또한 원료 가스 공급로(51)에는 예를 들어 밸브(V1)의 하류측에서 치환용의 가스인 불활성 가스, 예를 들어 질소(N2) 가스를 공급하기 위한 가스 공급로(8)가 접속되고, 이 가스 공급로(8)는, 밸브(V4), 유량 조정부(80)를 통해서 N2 가스의 공급원(81)에 접속되어 있다.
산화 가스 공급로(61)는, 밸브(V2) 및 유량 조정부(63)를 통해서 O3 가스의 공급원(64)에 접속되어 있다. 또한 매니폴드(2)의 측벽에는, 치환용의 가스(이하, 「치환 가스」라고 함)인 불활성 가스, 예를 들어 질소(N2) 가스를 공급하기 위한 치환 가스 공급로(71)가 돌입되어 설치되어 있다. 치환 가스 공급로(71)는, 밸브(V3) 및 유량 조정부(72)를 통해서 N2 가스의 공급원(73)에 접속되어 있고, 이 예에서는, 치환 가스 공급로(71) 및 N2 가스의 공급원(73)에 의해 치환용의 가스 공급부가 구성되어 있다. 밸브는 가스의 급단, 유량 조정부는 가스 공급량의 조정을 각각 행하는 것이며, 소정 유량의 O3 가스(산화 가스), N2 가스(치환 가스)는 각각 소정의 타이밍에 산화 가스 노즐(62), 치환 가스 공급로(71)로부터 반응 용기(1) 내에 공급된다.
이상에서 설명한 구성을 구비한 성막 장치는, 도 1에 도시한 바와 같이 제어부(100)와 접속되어 있다. 제어부(100)는, 예를 들어 도시하지 않은 CPU와 기억부를 구비한 컴퓨터로 이루어지고, 기억부에는 성막 장치의 작용, 이 예에서는 반응 용기(1) 내에서 웨이퍼(W)에 성막 처리를 행할 때의 제어에 관한 스텝(명령)군이 짜여진 프로그램이 기록되어 있다. 이 프로그램은, 예를 들어 하드 디스크, 콤팩트 디스크, 마그네트 옵티컬 디스크, 메모리 카드 등의 기억 매체에 저장되고, 거기로부터 컴퓨터에 인스톨된다.
프로그램은, 예를 들어 반응 용기(1) 내에 원료 가스를 공급하는 스텝과, 이어서 반응 용기(1) 내의 분위기를 치환 가스에 의해 치환한 후, 반응 용기(1) 내에 산화 가스를 공급하는 스텝과, 계속해서 치환 가스를 반응 용기(1) 내에 공급하는 스텝을 포함하는 분위기 치환 스텝을 실행하는 제어 신호를 출력하도록 구성되어 있다. 또한, 산화 가스를 공급하는 스텝의 개시 이후, 원료 가스를 공급하는 스텝을 개시하기 전까지, 수분 검출부(4)에 의해 검출한 수분 농도와 설정값을 비교하여, 수분 농도가 설정값을 초과하였을 때는, 후술하는 바와 같이 분위기 치환 스텝의 치환 작용을 증대시키기 위한 제어 신호를 출력하도록 구성되어 있다. 성막 처리에서는, 후술하는 바와 같이 반응 용기(1) 내에 원료 가스와 산화 가스를 교대로 복수회 공급하고 있고, 제어부(100)는 원료 가스 및 산화 가스를 공급하는 횟수를 각각 계측하여, 후술하는 일련의 성막 처리를 미리 설정된 n회의 횟수 분 실행하도록 구성되어 있다.
계속해서 본 발명의 성막 장치에서 실시되는 성막 방법에 대해서 설명한다. 우선 도 3을 참조하여 성막 처리의 일반적인 방법과, 이 성막 처리에 있어서 반응 용기(1)의 내부에서 발생하는 수분에 대해 설명한다. 도 3은 성막 처리 동안에, 반응 용기(1) 내를 진공 배기했을 때 수분 검출부(4)에서 검출된 배기로(24) 내를 흐르는 가스 중의 수분 농도의 경시 변화를 도시하는 특성도이다. 성막 처리에서는, 우선 미처리의 웨이퍼(W)가 탑재된 웨이퍼 보트(3)를 반응 용기(1) 내에 로드하고, 진공 펌프(27)에 의해 반응 용기(1) 내를 26.66Pa 정도의 진공 분위기로 설정하고, Zr을 포함하는 유기 재료로 이루어지는 원료 가스(이하, 「Zr 원료 가스」라고 함)를 공급하는 원료 가스 공급 스텝을 실행한다.
구체적으로는 히터(15)에 의해 웨이퍼(W)를 소정의 온도, 예를 들어 250℃로 가열하고, 웨이퍼 보트(3)를 회전한 상태에서, 밸브(V1)를 개방하고, 밸브(V2, V3)를 폐쇄하여, 원료 가스 노즐(52)을 통해서 소정 유량의 Zr 원료 가스를 반응 용기(1) 내에 공급한다. 반응 용기(1) 내는 진공 분위기로 설정되어 있으므로, 원료 가스 노즐(52)로부터 토출된 Zr 원료 가스는, 반응 용기(1) 내에서 배기구(13)를 향해서 흘러나가, 배기로(24)를 통해서 외부로 배출된다. 웨이퍼 보트(3)가 회전하고 있으므로, Zr 원료 가스가 웨이퍼 표면 전체에 도달하여, 웨이퍼 표면에 Zr 원료 가스(TEMAZ)의 분자가 흡착된다.
예를 들어 원료 가스 공급 스텝의 개시 시(시각 t0)부터, 수분 검출부(4)에서 배기로(24)를 흐르는 가스 중의 수분 농도가 검출된다. 반응 용기(1) 내의 분위기가 배기로(24)를 통해서 배기되어 나가므로, 배기된 가스 중의 수분 농도는 반응 용기(1) 내의 수분 농도에 대응하고 있다. 따라서 수분 검출부(4)에서 검출된 수분 농도의 변화는, 반응 용기(1) 내의 수분 농도의 변화로서 파악할 수 있다. 원료 가스 공급 스텝에서는 배기된 가스 중의 수분 농도는 거의 변화하지 않아, 반응 용기(1) 내의 수분 농도가 거의 변함없다고 할 수 있다.
계속해서 시각 t1에서 밸브(V1)를 폐쇄하여, Zr 원료 가스의 공급을 정지하고, 반응 용기(1) 내를 진공 배기하는 가스 배기 스텝을 실행한다. 이에 의해 반응 용기(1) 내에 잔존하는 Zr 원료 가스가 배출되고, 이에 수반해서 반응 용기(1) 내의 수분도 배출되기 때문에, 반응 용기(1) 내의 수분 농도는 서서히 저하된다. 그 후 시각 t2에서 밸브(V3)를 개방하여, 반응 용기(1) 내에 치환 가스인 N2 가스를 공급해서 N2 퍼지를 실시하여, 반응 용기(1) 내의 분위기를 N2 가스에 의해 치환한다. 이 N2 퍼지일 때는, 반응 용기(1) 내에 N2 가스를 공급하면서 진공 배기하고 있으므로, 반응 용기(1) 내의 수분은 N2 가스의 흐름을 타고 배출되어, 반응 용기(1) 내의 수분 농도는 더 저하한다.
계속해서 시각 t3에서 밸브(V3)를 폐쇄하여, N2 가스의 공급을 정지함과 함께, 밸브(V2)를 개방하여, 반응 용기(1) 내에 산화 가스인 O3 가스를 공급하는 스텝을 실행한다. 이에 의해 웨이퍼(W)의 표면에서는, Zr 원료 가스의 분자가 O3에 의해 산화되어, 산화지르코늄막(ZrO2막)의 박막이 형성된다. 그리고 반응 용기(1)로부터 배출되는 가스의 수분 농도는, O3 가스를 공급한 직후에 일단 급격하게 상승하고, 그 후는 점차 저하되어 간다.
이렇게 O3 가스의 공급 직후에 수분 농도가 높아지는 이유에 대해서는, 다음과 같이 추정된다. Zr 원료 가스는 Zr을 포함하는 유기 재료이며, 탄소(C) 및 수소(H)를 포함하는 것이다. 이 Zr 원료 가스가 반응 용기(1) 내에 공급되면 웨이퍼 표면에 흡착되지만, 반응 용기(1)의 내벽에도 흡착된다. 그리고 반응 용기(1)의 내벽에 흡착된 가스는, 진공 배기나 N2 퍼지를 행해도 반응 용기(1) 내벽으로부터 탈리하지 않고, 흡착된 상태로 남는다. 여기에 O3 가스가 공급되면, Zr 원료 가스 중의 H와 O3의 반응에 의해 물(H2O)이 생성된다. O3 가스의 공급 직후에는, 특히 반응 용기(1)의 내벽에 흡착된 Zr 원료 가스와의 반응에 의해 수분이 다량으로 발생하기 때문에, 반응 용기(1) 내의 수분 농도가 급격하게 상승한다. 그리고 반응 용기(1) 내의 진공 배기에 의해 반응 용기(1) 내의 수분이 제거됨에 따라, 수분 농도가 서서히 저하되어 간다.
성막 처리를 다시 설명하면, 시각 t4에서 밸브(V2)를 폐쇄하여, O3 가스의 공급을 정지해서 O3 가스 공급 스텝을 종료하고, 가스의 공급을 정지한 상태에서 반응 용기(1) 내를 진공 배기하는 가스 배기 스텝을 개시한다. 계속해서 시각 t5에서 밸브(V3)를 개방해서 치환용의 N2 가스를 반응 용기(1) 내에 공급하여 N2 퍼지 스텝을 실행한다. 이렇게 해서 반응 용기(1) 내를 N2 가스로 치환하고, 시각 t6에서 밸브(V3)를 폐쇄하여 N2 퍼지 스텝을 종료한다. 또한 이 예에서는, 가스 배기 스텝 및 N2 퍼지 스텝에 의해 분위기 치환 스텝이 실행된다.
이러한 일련의 공정을 미리 설정된 횟수 반복함으로써, 웨이퍼(W)의 표면에 ZrO2막의 박막이 1층씩 적층되어, 웨이퍼(W)의 표면에 원하는 두께의 ZrO2막이 형성된다. 이렇게 해서 성막 처리를 행한 후, 예를 들어 밸브(V3)를 개방하여, 반응 용기(1)에 N2 가스를 공급하여, 반응 용기(1) 내를 대기압으로 복귀시킨다. 계속해서 웨이퍼 보트(3)를 언로드하고, 당해 웨이퍼 보트(3)에 대하여 성막 처리가 종료된 웨이퍼(W)의 취출과, 미처리의 웨이퍼(W)의 수수를 행한다.
그런데 가스 배기 스텝의 실행에 의해, 반응 용기(1) 내에 잔존하는 O3 가스가 배출되고, 이 O3 가스의 배출에 수반하여 반응 용기(1) 내의 수분도 배출되기 때문에, 반응 용기(1) 내의 수분 농도는 서서히 저하된다. 또한 이미 설명한 바와 같이 N2 퍼지일 때는, 반응 용기(1) 내에 N2 가스를 공급하면서 진공 배기하고 있으므로, 반응 용기(1) 내의 수분은 N2 가스와 함께 배출되어 나가, 반응 용기(1) 내의 수분 농도는 더 저하된다.
이렇게 반응 용기(1) 내의 수분 농도는, 진공 배기나 N2 퍼지의 진행에 수반하여 서서히 저하되어 가는데, O3 가스 공급 스텝 후의 수분 농도는 진공 배기나 N2 퍼지를 행했다고 해도, O3 가스 공급 스텝 전의 수분 농도보다도 높아진다. 그 이유에 대해서는, 반응 용기(1) 내의 수분의 대부분은 일정 시간의 진공 배기나 N2 퍼지에 의해 제거할 수 있지만, 일부가 반응 용기(1)의 내벽에 부착된 상태 그대로이기 때문이라고 추정된다. 실제로 O3 가스의 공급시에 반응 용기(1) 내에 H2O를 시험적으로 공급하면, 수분 농도가 좀처럼 저하되지 않는다는 사실을 확인하였고, O3나 O2에 비하여 H2O는 배기되기 어려운 성질이라고 할 수 있다.
따라서, O3 가스의 공급마다 반응 용기(1) 내의 수분 농도가 서서히 높아지므로, 성막 횟수가 증가함에 따라서 반응 용기(1) 내의 수분 농도가 높아질 것으로 생각되고, 성막 처리의 계속에 의한 돌발적인 막질의 열화의 원인은 이 반응 용기(1) 내의 수분 농도의 증가에 있다고 추측된다. 그 이유에 대해서는, 반응 용기(1) 내의 수분 농도가 높으면, Zr 원료 가스와 O3 가스와의 반응에 의해 얻어지는 ZrO2막의 일부가 ALD가 아니라, CVD(Chemical vapor deposition)에 의해 형성되어, 막 중에 C, H, N이 불순물로서 도입되어버려, 막 밀도도 저하되어버리기 때문이라고 생각된다.
계속해서 본 발명의 제어 방법에 대해 설명한다. 이 제어 방법은, 반응 용기(1) 내의 수분 농도를 저하시키기 위한 것으로, 이하에 대표적인 예를 흐름도를 사용해서 설명한다. 우선 제1 방법에 대해서, 도 4 및 도 5를 참조하여 설명한다. 도 4는 제1 방법의 흐름도이며, 도 5는 수분 검출부(4)에서 검출된 배기로(24) 내를 흐르는 가스 중의 수분 농도의 경시 변화를 모식적으로 도시하는 특성도이다. 또한, 앞서 기재한 일반적인 성막 처리와 겹치는 부분에 대해서는 설명을 생략한다.
도 4에 도시한 바와 같이, 우선 n을 초기 위치인 1로 설정하고(스텝 S1), 밸브(V1)를 개방하여, 제1회째의 Zr 원료 가스를 공급하는 스텝을 개시한다(스텝 S2). 계속해서 시각 t1에서 밸브(V1)를 폐쇄하고 반응 용기(1) 내를 진공 배기하는 가스 배기 스텝을 실행하여(스텝 S3), 반응 용기(1) 내에 잔존하는 Zr 원료 가스를 배출한다. 그 후 시각 t2에서 밸브(V3)를 개방하여, 반응 용기(1) 내에 N2 가스를 공급하여, N2 퍼지를 실시한다(스텝 S4).
배기로(24)를 흐르는 가스 중의 수분 농도는, 수분 검출부(4)에서 예를 들어 Zr 원료 가스의 공급을 개시한 시각 t0부터 검출되고 있다. 그리고 제1회째의 원료 가스를 공급하는 스텝 S2가 종료된 후, 수분 검출부(4)에서 검출된 수분 농도의 검출값에 기초하여 설정값 Cs를 결정한다(스텝 S5). 제1회째의 원료 가스를 공급하는 스텝이 종료된 후라는 것은, 예를 들어 제1회째의 원료 가스의 공급을 정지한 타이밍(도 5에 도시하는 시각 t1)이다. 단, 제1회째의 원료 가스의 공급을 정지하고 나서 N2 퍼지 스텝이 종료하기 전, 즉 도 5에 도시하는 시각 t1 내지 t3의 사이의 타이밍이어도 된다.
또한 설정값은, 제1회째의 원료 가스를 공급하는 스텝이 종료된 후에 있어서의 수분 농도(편의상 제1회째의 수분 농도라 함) 그 자체이어도 되고, 당해 수분 농도에 미리 설정한 값을 가산한 값이어도 된다. 이 제1회째의 수분 농도는, 원료 가스 중의 수분 농도가 고려되어 있고, 원래 이 시스템에서는 당해 수분 농도보다도 낮아지는 것은 없기 때문에, 설정값으로서 채용하는 것은 적절하다. 단 수분 농도가 막질에 영향을 주지만, 막의 종류에 따라서는, 설정값이 제1회째의 수분 농도보다도 높아도, 막질이 악화된다고는 할 수 없는 경우가 있다. 또한 제1회째의 원료 가스를 공급하는 스텝 후에, 반응 용기(1) 내를 진공 배기(가스 배기), N2 퍼지함에 따라서, 수분 농도가 서서히 저하되기 때문에, 다소 설정값을 제1회째의 수분 농도보다도 높게 해도 막질에의 영향을 억제할 수 있다. 이 때문에, 분위기 치환 스텝의 연장을 피하는 관점에서, 제1회째의 수분 농도에 미리 설정한 값을 가산한 값을 설정값 Cs로 할 수 있다. 도 5에 도시하는 설정값 Cs는, 제1회째의 수분 농도에 미리 설정한 값을 가산해서 결정한 것이다. 이 미리 설정한 값이란, 예를 들어 미리 실험 등에 의해 취득된 값이다.
계속해서 시각 t3에서 밸브(V3)를 폐쇄하고, 밸브(V2)를 개방해서 반응 용기(1) 내에 O3 가스를 공급하는 스텝을 실행한다(스텝 S6). 다음으로 시각 t4에서 밸브(V2)를 폐쇄하여, O3 가스의 공급을 정지한 상태에서 반응 용기(1) 내를 진공 배기하는 가스 배기 스텝을 실행한다(스텝 S7). 그리고 이 가스 배기 스텝의 종료 시에, 수분 검출부(4)에서 배기로(24)를 흐르는 가스 중의 수분 농도를 검출해서(스텝 S8), 이 검출값과 설정값을 비교한다(스텝 S9).
그리고 검출값이 설정값 이하인 경우에는 스텝 S10으로 진행하여, N2 퍼지 스텝을 실행한다. 즉, 예를 들어 시각 t5에서 밸브(V3)를 개방하여, 반응 용기(1) 내에 N2 가스를 공급해서 퍼지를 개시하고, 예를 들어 시각 t6에서 밸브(V3)를 폐쇄하고 퍼지를 종료한다. 계속해서 스텝 S11에서, 처리 횟수를 1회 가산하고(n=n+1), 스텝 S12에서 n이 미리 설정된 설정 횟수인지 판정하고, 설정 횟수에 미치지 않는 경우에는 스텝 S2로 돌아가서 성막 처리를 속행한다. 설정 횟수인 경우에는 종료한다. 이렇게 해서 일련의 공정을 미리 설정된 횟수 반복하여, 웨이퍼(W)의 표면에 원하는 두께의 ZrO2막을 성막한다.
한편 스텝 S9에서, 수분 농도의 검출값이 설정값을 초과한 경우에는, 제어부(100)는 분위기 치환 스텝의 치환 작용을 증대시키기 위한 제어 신호로서, 가스 배기 스텝을 연장하기 위한 제어 신호를 출력한다. 흐름도에 기초하여 설명하면, 상기 제어 신호에 의해 스텝 S7로 돌아가서, 다시 가스 배기 스텝을 실시한다. 구체적으로는, 도 5에 도시한 바와 같이, 시각 t5에서 밸브(V2)를 열린 상태 그대로 두고, 반응 용기(1) 내의 진공 배기를 속행한다. 그리고 이 가스 배기 스텝의 종료 시(시각 t6)에, 다시 수분 검출부(4)에서 배기로(24)를 흐르는 가스 중의 수분 농도를 검출하여(스텝 S8), 이 검출값과 설정값을 비교한다(스텝 S9).
그리고, 검출값이 설정값 이하인 경우에는 스텝 S10으로 진행하여, N2 퍼지 스텝을 실행한다. 즉, 시각 t6에서 밸브(V3)를 개방하여, 반응 용기(1) 내에 N2 가스를 공급해서 퍼지를 개시하고, 시각 t7에서 밸브(V3)를 폐쇄하고 퍼지를 종료한다. 그리고 스텝 S11, S12로 진행한다. 한편 스텝 S9에서, 검출값이 설정값을 초과한 경우에는, 다시 스텝 S7로 돌아가서, 다시 가스 배기 스텝을 실행한다.
이 방법에 의하면, 반응 용기(1)로부터 배기되는 가스 중의 수분 농도를 검출함으로써 반응 용기(1) 내의 수분 농도를 파악하여, 상기 가스 중의 수분 농도가 설정값을 초과한 경우에는, 다시 가스 배기 스텝을 실행해서 진공 배기 시간을 길게 하도록 제어하고 있다. 도 3의 특성도에 의하면, 진공 배기의 진행에 수반하여 수분 농도가 서서히 저하되고 있으므로, 진공 배기 시간을 연장함으로써, 분위기 치환 스텝의 치환 작용이 증대하여, 반응 용기(1) 내의 수분 농도를 더 저하할 수 있음이 이해된다. 도 5는, 가스 배기 스텝을 1회 추가함으로써, 수분 농도가 설정값 이하로 되는 경우를 나타내고 있는데, 이렇게 가스 배기 스텝을 2회 실시함으로써, 그 후의 N2 퍼지 스텝의 실시에 맞춰서, 수분 농도를 설정값 이하로 저감할 수 있다.
또한 수분 검출부(4)는, 배기로(24) 내를 흐르는 가스 중의 수분 농도를 검출하도록 설치되어 있기 때문에, 성막 처리 시의 가스 중의 수분 농도를 실시간으로 검출할 수 있다. 따라서 검출값에 기초하여 빠르게 치환 작용을 제어할 수 있으므로, 수분 농도의 제어를 높은 정밀도로 행할 수 있다. 또한 이 방법에서는, 설정값과 비교되는 수분 농도는, 가스 배기 스텝의 종료 시에 검출한 것인데, 이 검출 타이밍은, 예를 들어 가스 배기 스텝 S7의 종료 시점뿐만 아니라, 종료 시점의 직전도 포함된다.
상술한 실시 형태에서는, 반응 용기(1) 내에 Zr 원료 가스를 공급하는 스텝과, 이어서 반응 용기(1) 내를 N2 가스에 의해 치환한 후, 반응 용기(1) 내에 O3 가스를 공급하는 스텝과, 계속해서 N2 가스를 반응 용기(1) 내에 공급하는 스텝을 포함하는 분위기 치환 스텝을 반복해서 실행하고 있다. 그리고 O3 가스를 공급하는 스텝의 개시 이후, Zr 원료 가스를 공급하는 스텝을 개시하기 전까지, 수분 검출부(4)에 의해 배기로(24)를 흐르는 가스 중의 수분 농도를 검출하고, 이 검출값이 설정값을 초과하였을 때는, 분위기 치환 스텝의 치환 작용을 증대시키고 있다. 반응 용기(1)의 분위기 치환에 의해 반응 용기(1) 내로부터 수분이 제거되기 때문에, 치환 작용을 증대시킴으로써 상기 수분의 제거 효과가 증대된다.
따라서, 검출된 가스 중의 수분 농도에 기초하여 치환 작용의 정도를 조정함으로써, 항상 배기로(24)를 흐르는 가스 중의 수분 농도를 설정값 이하로 제어할 수 있다. 이것은 반응 용기(1) 내의 수분 농도가 항상 낮은 상태가 되는 것을 의미하고 있으며, 반응 용기(1) 내에서는 항상 수분 농도가 낮은 상태에서 다음 회의 원료 가스를 공급하는 스텝이 실행된다. 그 결과, 반응 용기(1) 내에서는 CVD법에 의한 성막을 억제하고 ALD법에 의해 ZrO2막이 성막된다. 이에 의해 반응 용기 내의 수분이 원인이 되는 막 내의 불순물의 증가, 막 밀도나 커버리지 성능의 저하를 억제하여, 양호한 막질의 금속 산화물로 이루어지는 박막을 성막할 수 있어, 양호한 성막 처리를 안정적으로 행할 수 있다.
(제2 방법)
계속해서 본 발명의 제2 방법에 대해서 설명한다. 이 방법이 제1 방법과 상이한 점은, N2 퍼지 스텝의 종료 시에 검출한 수분 농도와 설정값을 비교하여, 수분 농도가 설정값을 초과하였을 때는, N2 퍼지 스텝을 연장하기 위한 제어 신호를 출력해서 치환 작용을 증대시키는 것이다.
구체적으로 도 6 및 도 7을 참조하여 설명한다. 도 6의 흐름도에서의 스텝 S21 내지 S27은, 제1 방법의 도 4의 흐름도에서의 S1 내지 S7과 마찬가지이므로, 설명을 생략한다. 이 방법에서는, 가스 배기 스텝 S27을 실시한 후, N2 퍼지 스텝 S28을 실시한다. 즉, 도 7에 도시한 바와 같이 시각 t5에서 밸브(V3)를 개방하여, 반응 용기(1) 내에 N2 가스를 공급해서 퍼지를 개시한다.
그리고, 도 7에서의 시각 t6에서 밸브(V3)를 폐쇄하고 퍼지를 종료하는데, 예를 들어 이 시각 t6에서 배기로(24)를 흐르는 가스 중의 수분 농도를 수분 검출부(4)에 의해 검출하고(스텝 S29), 이 검출값과 설정값을 비교한다(스텝 S30). 검출값이 설정값 이하인 경우에는, 스텝 S31로 진행하고, 처리 횟수를 1회 가산해서(n=n+1), 스텝 S32에서 n이 미리 설정된 설정 횟수인지 여부를 판정한다. 설정 횟수에 미치지 않는 경우에는, 스텝 S22로 돌아가서 성막 처리를 속행하고, 설정 횟수인 경우에는 종료한다. 이렇게 해서 일련의 공정을 미리 설정된 횟수 반복하여, 웨이퍼(W)의 표면에 원하는 두께의 ZrO2막을 형성한다.
한편, 검출값이 설정값을 초과한 경우에는, 제어부(100)는 분위기 치환 스텝의 치환 작용을 증대시키기 위한 제어 신호로서, N2 퍼지 스텝을 연장하기 위한 제어 신호를 출력하고, 이에 의해 스텝 S28로 돌아가서 N2 퍼지 스텝을 반복한다(도 7 참조). 그리고 다시 N2 퍼지 스텝의 종료 시(시각 t7)에서, 수분 검출부(4)에 의해 수분 농도를 검출하고(스텝 S29), 이 검출값과 설정값을 비교한다(스텝 S30). 그리고 검출값이 설정값 이하인 경우에는 스텝 S31, S32로 진행한다. 한편 스텝 S30에서, 검출값이 설정값을 초과한 경우에는, 다시 스텝 S28로 돌아가서, 다시 N2 퍼지 스텝을 실행한다.
이 방법에 의하면, 반응 용기(1)로부터 배기되는 가스 중의 수분 농도를 검출함으로써 반응 용기(1) 내의 수분 농도를 파악하여, 상기 가스 중의 수분 농도가 설정값을 초과한 경우에는, N2 퍼지 스텝의 N2 가스의 공급 시간을 길게 하도록 제어하고 있다. 도 3의 특성도에 의하면, N2 퍼지의 진행에 수반하여 수분 농도가 서서히 저하되고 있으므로, 퍼지 시간을 연장함으로써, 분위기 치환 스텝의 치환 작용이 증대되어, 반응 용기(1) 내의 수분 농도를 더 저하할 수 있음이 이해된다. 도 7은, N2 퍼지 스텝을 1회 추가함으로써, 수분 농도가 설정값 이하로 되는 경우를 나타내고 있는데, 이렇게 퍼지 시간을 연장함으로써, 반응 용기(1)의 치환 작용이 증대되어, 수분 농도를 설정값 이하로 저감할 수 있다. 이 방법에서는, 설정값과 비교되는 수분 농도는, N2 퍼지 스텝의 종료 시에 검출한 것이며, 이 검출 타이밍은, 예를 들어 N2 퍼지 스텝의 종료 시점뿐만 아니라, 종료 시점의 직전도 포함하는 것이다.
이상에 있어서, O3 가스 공급 후의 N2 퍼지 스텝의 종료 시에 검출한 수분 농도와 설정값을 비교하여, 수분 농도가 설정값을 초과하였을 때는, N2 퍼지 스텝의 연장 및 가스 배기 스텝의 추가 실시 중 적어도 한쪽을 실시하기 위한 제어 신호를 출력해서 치환 작용을 증대시키도록 해도 된다.
구체적으로는, O3 가스 공급 후의 1회째의 N2 퍼지 스텝의 종료 시에 수분 검출부(4)에 의해 수분 농도를 검출하고, 이 검출값과 설정값을 비교한다. 검출값이 설정값 이하인 경우에는 N2 퍼지 스텝을 종료한다. 그리고 처리 횟수가 미리 설정된 설정 횟수에 미치지 않는 경우에는 n+1회째의 성막 처리를 속행하고, 설정 횟수인 경우에는 종료한다. 한편 검출값이 설정값을 초과한 경우에는, 제어부(100)는 분위기 치환 스텝의 치환 작용을 증대시키기 위한 제어 신호로서, N2 퍼지 스텝을 연장하는 것 및 가스 배기 스텝을 더 실시하는 것 중 적어도 한쪽을 실시하기 위한 제어 신호를 출력한다.
N2 퍼지 스텝을 연장하기 위한 제어 신호를 출력하는 경우에는, 예를 들어 1회째의 N2 퍼지 스텝을 종료한 후, 2회째의 N2 퍼지 스텝을 실행한다. 그리고 2회째의 N2 퍼지 스텝의 종료 시에서, 수분 검출부(4)에 의해 수분 농도를 검출하여, 이 검출값과 설정값을 비교한다. 검출값이 설정값 이하인 경우에는 N2 퍼지 스텝을 종료하고, 처리 횟수가 미리 설정된 설정 횟수에 미치지 않는 경우에는 n+1회째의 성막 처리를 속행하고, 설정 횟수인 경우에는 종료한다. 검출값이 설정값을 초과한 경우에는, 제어부(100)는 분위기 치환 스텝의 치환 작용을 증대시키기 위한 제어 신호로서, N2 퍼지 스텝을 연장하기 위한 제어 신호를 출력하여, 3회째의 N2 퍼지 스텝을 실행한다.
또한 가스 배기 스텝을 더 실시하기 위한 제어 신호를 출력하는 경우에는, 예를 들어 O3 가스 공급 후의 1회째의 N2 퍼지 스텝을 종료한 후, 다시 가스 배기 스텝(2회째의 가스 배기 스텝)을 실행한다. 그리고 예를 들어 2회째의 가스 배기 스텝의 종료 시에서, 수분 검출부(4)에 의해 수분 농도를 검출하고, 이 검출값과 설정값을 비교한다. 검출값이 설정값 이하인 경우에는 가스 배기 스텝을 종료하고, 처리 횟수가 미리 설정된 설정 횟수에 미치지 않는 경우에는 n+1회째의 성막 처리를 속행하고, 설정 횟수인 경우에는 종료한다. 검출값이 설정값을 초과한 경우에는, 제어부(100)는 분위기 치환 스텝의 치환 작용을 증대시키기 위한 제어 신호로서, 가스 배기 스텝을 더 실시하기 위한 제어 신호를 출력하여, 3회째의 가스 배기 스텝을 실행한다.
또한 N2 퍼지 스텝을 연장하는 것 및 가스 배기 스텝을 더 실시하기 위한 제어 신호를 출력하는 경우에는, 예를 들어 O3 가스 공급 후의 1회째의 N2 퍼지 스텝을 종료한 후, 다시 가스 배기 스텝(2회째의 가스 배기 스텝)을 실행하고, 계속해서 2회째의 N2 퍼지 스텝을 실행한다. 그리고, 예를 들어 2회째의 N2 퍼지 스텝의 종료 시에서, 수분 검출부(4)에 의해 수분 농도를 검출하고, 이 검출값과 설정값을 비교한다. 또한 예를 들어 1회째의 N2 퍼지 스텝을 종료한 후, 2회째의 N2 퍼지 스텝을 실행하고 나서, 2회째의 가스 배기 스텝을 실행하고, 이 2회째의 가스 배기 스텝의 종료 시에서, 수분 검출부(4)에 의해 수분 농도를 검출하고, 이 검출값과 설정값을 비교해도 된다.
이 방법에서는, N2 퍼지 스텝의 종료 시에 배기로(24)를 흐르는 가스 중의 수분 농도를 검출하고, 이 검출값이 설정값을 초과하였을 때는 N2 퍼지 스텝을 연장하는 것 및 가스 배기 스텝을 더 실시하는 것 중 적어도 한쪽을 실시하기 위한 제어 신호를 출력하고 있다. 이미 설명한 바와 같이, N2 퍼지 스텝의 연장 및 가스 배기 스텝의 실행은 반응 용기(1) 내의 수분 제거에 유효하기 때문에, 보다 확실하게 수분 농도의 검출값을 확실하게 설정값 이하로 저하할 수 있어, 보다 한층 양호한 성막 처리를 안정적으로 행할 수 있다.
(제3 방법)
계속해서 본 발명의 제3 방법에 대해서 설명한다. 이 방법이 제1 방법과 상이한 점은, 반응 용기(1) 내에 O3 가스를 공급하는 스텝이 행하여지고 있을 때 또는 당해 스텝의 종료 시에 검출한 수분 농도와 설정값을 비교한다. 그리고, 수분 농도가 설정값을 초과하였을 때는, 가스 배기 스텝 시의 배기 속도의 설정값을 증대하기 위한 제어 신호를 출력해서 치환 작용을 증대시키는 것이다.
구체적으로 도 8을 참조하여 설명한다. 도 8의 흐름도에서의 스텝 S41 내지 S45는, 도 4의 흐름도에서의 스텝 S1 내지 S5와 마찬가지이므로, 설명을 생략한다. 이 실시 형태에서는, 제1 방법과 마찬가지로, 반응 용기(1) 내에 Zr 원료 가스를 공급하는 스텝 S42→반응 용기(1) 내를 진공 배기하는 가스 배기 스텝 S43→반응 용기(1) 내를 N2 퍼지하는 스텝 S44를 실행한 후, 밸브(V3)를 폐쇄하고 N2 퍼지 스텝 S44를 종료한다. 그리고 밸브(V2)를 개방하고, 반응 용기(1) 내에 O3 가스를 공급하는 스텝을 실행한다(스텝 S46). 또한, 예를 들어 O3 가스를 공급하는 스텝의 종료 시에 수분 검출부(4)에서 배기되는 가스의 수분 농도를 검출한다.
그리고, 스텝 S47에서 검출값과 설정값을 비교한다. 이미 설명한 바와 같이 O3 가스 공급에 의해 반응 용기(1) 내의 수분 농도가 급격하게 상승하여, 서서히 저하되어 가지만, 가스 배기 스텝이나 N2 퍼지 스텝의 종료 시에 비교하면, O3 가스 공급 스텝 종료 시의 수분 농도는 약간 높아진다. 이 때문에 스텝 S45에서 결정되는 설정값은, 제1회째의 원료 가스를 공급하는 스텝이 종료한 후에 있어서의 수분 농도에 설정량을 가산한 값이다. 이 설정량은 미리 실험에 의해 취득된 값이다.
검출값이 설정값 이하인 경우에는 스텝 S49로 진행하여, 밸브(V2)를 폐쇄해서 반응 용기(1) 내를 진공 배기하는 가스 배기 스텝을 행하고, 이어서 밸브(V3)를 개방해서 반응 용기(1) 내를 N2 퍼지하는 스텝을 실행한다(스텝 S50). 계속해서 스텝 S51에서 처리 횟수를 1회 가산하고(n=n+1), 스텝 S52에서 n이 미리 설정된 설정 횟수인지 판정한다. 그리고 설정 횟수에 미치지 않는 경우에는 스텝 S42로 돌아가서 성막 처리를 속행하고, 설정 횟수인 경우에는 종료한다.
한편 검출값이 설정값을 초과하였을 때는, 제어부(100)는 분위기 치환 스텝의 치환 작용을 증대시키기 위한 제어 신호로서, 가스 배기 스텝의 배기 속도의 설정값을 증대하는 신호를 출력한다(스텝 S48). 이에 의해, 예를 들어 유량 조정부(25)에서 배기 속도가 조정된다. 그리고, 스텝 S49에서는 증대된 배기 속도로, 반응 용기(1) 내를 진공 배기하는 가스 배기 스텝을 실행한다. 이 이후의 스텝 S50 내지 S52는 상술한 바와 같다.
이 실시 형태에서는, O3 가스의 공급 스텝의 종료 시에 배기로(24)를 흐르는 가스 중의 수분 농도를 검출하고, 이 검출값이 설정값을 초과하였을 때는, 가스 배기 스텝의 배기 속도를 증대하기 위한 제어 신호를 출력하고 있다. 이에 의해 반응 용기(1) 내의 분위기가 큰 배기 속도로 배기되므로, 반응 용기(1) 내의 수분이 빠르게 제거된다. 이 때문에 O3 가스 공급 후의 타이밍에서의 수분 농도가 설정값보다도 높아도, 가스 배기 스텝의 시간을 연장하지 않고, 확실하게 반응 용기(1) 내의 수분을 제거할 수 있다. 이에 의해 스루풋의 저하를 억제해서 막질 및 커버리지성이 양호한 ZrO2막을 성막할 수 있어, 양호한 성막 처리를 안정적으로 행할 수 있다.
또한 이 방법에서는, 검출값이 설정값을 초과하였을 때는, 제어부(100)는 분위기 치환 스텝의 치환 작용을 증대시키기 위한 제어 신호로서, 가스 배기 스텝의 배기 속도의 설정값을 증대하는 신호 대신에 N2 퍼지 스텝에서의 N2 가스의 유량을 증가하기 위한 제어 신호를 출력해도 된다. 예를 들어 N2 퍼지 스텝은 밸브(V3, V4)를 개방하여, N2 가스를 치환 가스 공급로(71) 및 원료 가스 공급로(51)를 통해서 반응 용기(1) 내에 공급해서 행하여지며, 예를 들어 유량 조정부(72, 80)에서 N2 가스의 유량이 조정된다. 이 경우에는 가스 배기 스텝을 통상의 배기 속도로 실시한 후, N2 가스의 유량을 증가시켜, 반응 용기(1) 내의 N2 퍼지 스텝을 실행한다.
이 예에서는, N2 퍼지 스텝을 실시할 때의 반응 용기(1) 내에의 N2 가스의 유량을 증가시키고 있으므로, 반응 용기(1) 내에서의 N2 가스의 유속이 커지고, 이 N2 가스가 흐르는 힘에 의해, 반응 용기(1) 내의 수분이 빠르게 제거된다. 이 때문에 O3 가스 공급 후의 타이밍에서의 수분 농도가 설정값보다도 높아도, N2 퍼지 스텝의 시간을 연장하지 않고, 확실하게 반응 용기(1) 내의 수분을 제거할 수 있다. 이에 의해 스루풋의 저하를 억제해서 막질 및 커버리지성이 양호한 ZrO2막을 성막할 수 있어, 양호한 성막 처리를 안정적으로 행할 수 있다.
또한 이 예에서는, 검출값이 설정값을 초과하였을 때는, 제어부(100)는 분위기 치환 스텝의 치환 작용을 증대시키기 위한 제어 신호로서, 가스 배기 스텝에서의 배기 속도를 증가시키는 것 및 N2 퍼지 스텝에서의 N2 가스의 유량을 증가시키는 것의 양쪽을 실시하기 위한 제어 신호를 출력해도 된다. 이에 의해 예를 들어 유량 조정부(25)에서 배기 속도가 조정됨과 함께, 예를 들어 유량 조정부(72)에서 N2 가스의 유량이 조정된다. 이 예에서는, 배기 속도를 증대해서 가스 배기 스텝을 실행함과 함께, N2 가스의 유량을 증가시킨 N2 퍼지 스텝을 실행하고 있으므로, 보다 한층 빠르게 반응 용기(1) 내의 수분이 제거된다. 이 때문에 O3 가스 공급 후의 타이밍에서의 수분 농도가 설정값보다도 높아도, 확실하게 반응 용기(1) 내의 수분을 제거할 수 있어, 스루풋의 저하를 억제하여, 양호한 성막 처리를 안정적으로 행할 수 있다.
또한 제3 방법에서는, 반응 용기(1) 내에 O3 가스를 공급하는 스텝이 행하여지고 있을 때의 수분 농도와 설정값을 비교하도록 해도 된다. 수분 농도를 취득하는 타이밍은, O3 가스 공급 스텝의 개시 시부터 소정 시간 경과 후이어도 되고, 수분 농도가 피크에 달했을 때의 값이어도 된다. 또한 O3 공급 스텝의 개시 시부터 종료 시까지 취득한 검출값의 평균값이나 적분값이어도 된다. 따라서 수분 농도의 설정값은 각각의 케이스에 따라서 설정되며, 제1회째의 원료 가스를 공급하는 스텝이 종료한 후에 있어서의 수분 농도에 기초하여 설정해도 되고, 미리 설정된 값을 사용해도 된다.
또한 제3 방법에서는, 검출한 수분 농도가 설정값을 초과하였을 때는, 배기 속도의 설정값을 증대해서 가스 배기 스텝을 행하고, 가스 배기 스텝의 종료 시에 수분 농도를 검출해서 설정값과 비교한다. 그리고 설정값을 초과하였을 때는 가스 배기 스텝의 연장 및 N2 퍼지 스텝의 연장 중 적어도 한쪽을 행하도록 하여, 치환 작용을 촉진하도록 해도 된다.
마찬가지로 검출한 수분 농도가 설정값을 초과하였을 때는, N2 가스의 유량을 증가시켜 N2 퍼지 스텝을 행하고, N2 퍼지 스텝의 종료 시에 수분 농도를 검출해서 설정값과 비교한다. 그리고 설정값을 초과하였을 때는 가스 배기 스텝의 연장 및 N2 퍼지 스텝의 연장 중 적어도 한쪽을 행하도록 하여, 치환 작용을 촉진하도록 해도 된다.
이상에서, 상술한 제1 내지 제3 방법은, 본 발명의 제어 방법의 대표예이며, 이들 방법에 한정되지는 않는다. 예를 들어 제1 방법에서 가스의 공급을 정지한 상태에서 진공 배기하는 가스 배기 스텝을 연장하는 경우에는, 상술한 방법에서는 연장의 일례로서 동일한 스텝이 반복되는 예를 나타냈지만, 이렇게 통상 시의 스텝을 반복해도 되고, 통상 시의 스텝보다도 짧은 스텝 또는 긴 스텝을 행하는 경우이어도 된다. 즉, 동일한 시간만큼 반복하는 것에 한하지 않고, 미리 결정된 시간이 연장되어 있어도 된다. 또는 제1 방법 및 제2 방법에서, 가스 배기 스텝을 통상 시의 시간으로 하고, 통상 시보다도 배기 속도를 크게 해서 치환 작용을 증대시켜도 된다. 또한 제1 내지 제3 방법에서, 가스의 공급을 정지한 상태에서 진공 배기한다는 것은, 가스를 미량으로 공급하는 경우도 실질적으로 정지하고 있는 것과 다르지 않으므로, 이 경우도 포함된다.
또한 예를 들어 제2 방법에서 치환용의 가스를 반응 용기 내에 공급하는 스텝을 연장하는 경우에는, 상술한 방법에서는 연장의 일례로서 동일한 스텝이 반복되는 예를 나타냈지만, 이렇게 통상 시의 스텝을 반복해도 되고, 통상 시의 스텝보다도 짧은 스텝 또는 긴 스텝을 행하는 경우이어도 된다. 즉 동일한 시간만큼 반복하는 것에 한하지 않고, 미리 결정된 시간이 연장되어 있어도 된다. 또는 제2 방법에서 치환용의 가스를 반응 용기 내에 공급하는 스텝을 통상 시의 시간으로 하고, 통상 시보다도 치환용의 가스의 유량을 크게 해도 된다.
또한 수분 검출부에 의해 검출한 수분 농도와 비교되는 설정값은, 미리 실험을 행해서 취득한 값이어도 된다. 또한 설정값과 비교되는 수분 농도의 검출 타이밍은, 산화용의 가스를 공급하는 스텝의 개시 이후, 원료 가스를 공급하는 스텝을 개시하기 전이면 되며, 예를 들어 가스 배기 스텝을 개시하고 나서 소정 시간 경과 후에 검출된 수분 농도와 설정값을 비교해도 된다. 또한 치환용의 가스를 반응 용기 내에 공급하는 스텝을 개시하고 나서 소정 시간 경과 후에 검출된 수분 농도와 설정값을 비교해도 된다.
또한 제1 방법에서는, 가스 배기 스텝을 개시하고 나서 소정 시간 경과 후(가스 배기 스텝의 종료 시도 포함함)에 검출된 수분 농도와 설정값을 비교하여, 검출값이 설정값을 초과하였을 때, 치환용의 가스를 상기 반응 용기 내에 공급하는 스텝을 연장 또는 치환용의 가스의 유량을 증대하여, 치환 작용을 증대하도록 해도 된다. 또한 제1 방법에서는, 가스 배기 스텝을 연장하여, 가스 배기 스텝 종료 시의 수분 농도를 검출해서 설정값과 비교한다. 그리고 검출값이 설정값을 초과하였을 때, N2 퍼지 스텝의 연장을 행해도 된다. 또한 가스 배기 스텝을 연장하고, 계속해서 N2 퍼지 스텝을 실행해서 N2 퍼지 스텝 종료 시의 수분 농도를 검출하여 설정값과 비교한다. 그리고 검출값이 설정값을 초과하였을 때, 가스 배기 스텝의 한층 더한 반복, 또는 N2 퍼지 스텝의 실행 중 적어도 한쪽을 행하도록 해도 된다. 또한 제1 내지 제3 방법에서, 예를 들어 N2 퍼지 스텝은 밸브(V3, V4)를 개방하여, N2 가스를 치환 가스 공급로(71) 및 원료 가스 공급로(51)를 통해서 반응 용기(1) 내에 공급하여 행하도록 해도 된다.
이상에서, 본 발명의 성막 장치에서는, ZrO2막 이외에, SiO2막, TiO2막, Ta2O5막, RuO막, Al2O3막 등의 금속의 산화물 박막을 성막할 수 있다. 또한 ZrO2막을 성막하기 위한 Zr을 포함하는 유기 재료로서는, 예를 들어 시클로펜타디에닐 트리스(디메틸아미노)지르코늄이나 메틸시클로펜타디에닐 트리스(디메틸아미노)지르코늄 등을 사용할 수 있다. 또한 상기 유기 재료를 산화하는 산화 가스로서는, 산소(O2) 가스, 과산화수소(H2O2) 가스, H2O 가스 등을 사용할 수 있다. 산화 가스로서 H2O 가스를 사용한 경우에는, 산화에 사용되지 않은 H2O는 분해되지 않고 배기로로부터 배기되어 나가는데, 반응 용기 내벽에 부착된 상태로 남으면 막질의 저하를 초래한다. 따라서 배기로를 흐르는 가스 중의 수분 농도를 검출하여, 설정값을 초과한 경우에는, 반응 용기 내의 치환 작용을 증대해서 반응 용기(1) 내의 수분 농도를 저하시킬 필요가 있어, 본 발명의 적용은 유효하다.
또한 본 발명은, 종형 열처리 장치의 반응 용기 내에서, 웨이퍼 보트에 다단으로 적재된 웨이퍼에 대하여 성막 처리를 행하는 장치에 한하지 않고, 소위 ALD법에 의해 반응 생성물을 적층하는 장치에 적용할 수 있다.
W : 웨이퍼 1 : 반응 용기
3 : 웨이퍼 보트 27 : 진공 펌프
52 : 원료 가스 노즐 62 : 산화 가스 노즐
71 : 치환 가스 공급로 100 : 제어부

Claims (10)

  1. 진공 분위기인 반응 용기 내에서, 금속을 포함하는 유기 재료로 이루어지는 원료 가스와 상기 유기 재료를 산화하는 오존 가스인 산화 가스를 기판에 교대로 복수회 공급하여, 상기 금속의 산화물로 이루어지는 박막을 기판 상에 성막하는 성막 장치로서,
    상기 반응 용기 내를 배기로를 통해서 배기하는 진공 배기 기구와,
    상기 배기로를 흐르는 가스 중의 수분 농도를 검출하는 수분 검출부와,
    상기 반응 용기 내의 분위기를 치환하기 위한 치환용의 가스를 상기 반응 용기 내에 공급하는 치환용의 가스 공급부와,
    상기 반응 용기 내에 상기 원료 가스를 공급하는 스텝과, 이어서 상기 반응 용기 내의 분위기를 상기 치환용의 가스에 의해 치환한 후, 상기 반응 용기 내에 상기 산화 가스를 공급하는 스텝과, 계속해서 상기 치환용의 가스를 상기 반응 용기 내에 공급하는 스텝을 포함하는 분위기 치환 스텝을 실행하도록 제어 신호를 출력함과 함께, 상기 산화 가스를 공급하는 스텝의 개시 이후, 상기 원료 가스를 공급하는 스텝을 개시하기 전까지 상기 수분 검출부에 의해 검출한 수분 농도와 설정값을 비교하여, 상기 수분 농도가 상기 설정값을 초과하였을 때는, 상기 분위기 치환 스텝의 치환 작용을 증대시키기 위한 제어 신호를 출력하는 제어부를 포함하는 성막 장치.
  2. 제1항에 있어서,
    상기 설정값은, 제1회째의 원료 가스를 공급하는 스텝이 종료한 후에 있어서의 수분 농도에 기초하여 설정된 값인, 성막 장치.
  3. 제1항 또는 제2항에 있어서,
    상기 분위기 치환 스텝은, 가스의 공급을 정지한 상태에서 진공 배기하는 가스 배기 스텝과, 상기 가스 배기 스텝의 후에 상기 치환용의 가스를 상기 반응 용기 내에 공급하는 스텝을 포함하는, 성막 장치.
  4. 제3항에 있어서,
    상기 설정값과 비교되는 수분 농도는, 상기 가스 배기 스텝의 종료 시에 검출한 수분 농도이며,
    상기 분위기 치환 스텝의 치환 작용을 증대시키기 위한 제어 신호는, 상기 가스 배기 스텝을 연장하기 위한 제어 신호인, 성막 장치.
  5. 제1항 또는 제2항에 있어서,
    상기 설정값과 비교되는 수분 농도는, 상기 치환용의 가스를 상기 반응 용기 내에 공급하는 스텝의 종료 시에 검출한 수분 농도이며,
    상기 분위기 치환 스텝의 치환 작용을 증대시키기 위한 제어 신호는, 상기 치환용의 가스를 상기 반응 용기 내에 공급하는 스텝을 연장하기 위한 제어 신호인, 성막 장치.
  6. 제3항에 있어서,
    상기 설정값과 비교되는 수분 농도는, 상기 치환용의 가스를 상기 반응 용기 내에 공급하는 스텝의 종료 시에 검출한 수분 농도이며,
    상기 분위기 치환 스텝의 치환 작용을 증대시키기 위한 제어 신호는, 상기 치환용의 가스를 상기 반응 용기 내에 공급하는 스텝을 연장하는 것 및 상기 가스 배기 스텝을 더 실시하는 것 중 적어도 한쪽을 실시하기 위한 제어 신호인, 성막 장치.
  7. 제1항 또는 제2항에 있어서,
    상기 설정값과 비교되는 수분 농도는, 반응 용기 내에 상기 산화 가스를 공급하는 스텝이 행하여지고 있을 때 또는 상기 스텝의 종료 시에 검출한 수분 농도이며,
    상기 분위기 치환 스텝의 치환 작용을 증대시키기 위한 제어 신호는, 상기 치환용의 가스를 상기 반응 용기 내에 공급하는 스텝에서의 치환용의 가스의 유량을 증가하기 위한 제어 신호인, 성막 장치.
  8. 제3항에 있어서,
    상기 설정값과 비교되는 수분 농도는, 반응 용기 내에 상기 산화 가스를 공급하는 스텝이 행하여지고 있을 때 또는 상기 스텝의 종료 시에 검출한 수분 농도이며,
    상기 분위기 치환 스텝의 치환 작용을 증대시키기 위한 제어 신호는, 상기 가스 배기 스텝에서의 배기 속도를 증가시키는 것 및 상기 치환용의 가스를 상기 반응 용기 내에 공급하는 스텝에서의 치환용의 가스의 유량을 증가시키는 것 중 적어도 한쪽을 실시하기 위한 제어 신호인, 성막 장치.
  9. 진공 분위기인 반응 용기 내에서, 금속을 포함하는 유기 재료로 이루어지는 원료 가스와 상기 유기 재료를 산화하는 오존 가스인 산화 가스를 기판에 교대로 복수회 공급하여, 상기 금속의 산화물로 이루어지는 박막을 기판 상에 성막하는 성막 방법으로서,
    상기 반응 용기 내에 상기 원료 가스를 공급하는 공정과,
    계속해서 상기 반응 용기 내의 분위기를 치환용의 가스에 의해 치환한 후, 상기 반응 용기 내에 상기 산화 가스를 공급하는 공정과,
    계속해서 상기 치환용의 가스를 상기 반응 용기 내에 공급하는 스텝을 포함하는 분위기 치환 공정과,
    상기 산화 가스를 공급하는 공정의 개시 이후, 상기 원료 가스를 공급하는 공정을 개시하기 전까지, 상기 반응 용기 내를 진공 배기하기 위한 배기로 내의 수분 농도를 검출하는 공정을 포함하고,
    상기 공정에서 검출한 수분 농도와 설정값을 비교하여, 상기 수분 농도가 상기 설정값을 초과하였을 때는, 상기 분위기 치환 공정의 치환 작용을 증대시키는 는 성막 방법.
  10. 진공 분위기인 반응 용기와, 진공 배기 기구와, 수분 검출부를 포함하는 성막 장치에 사용되는 컴퓨터 프로그램을 기억한 기억 매체로서,
    상기 컴퓨터 프로그램은, 컴퓨터와 결합하여 제9항에 기재된 성막 방법을 실행하도록 스텝 군이 짜여져 있는 기억 매체.
KR1020160034538A 2015-03-27 2016-03-23 성막 장치 및 성막 방법 및 기억 매체 KR102017937B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2015-067128 2015-03-27
JP2015067128A JP6458595B2 (ja) 2015-03-27 2015-03-27 成膜装置及び成膜方法並びに記憶媒体

Publications (2)

Publication Number Publication Date
KR20160115769A KR20160115769A (ko) 2016-10-06
KR102017937B1 true KR102017937B1 (ko) 2019-09-03

Family

ID=56974532

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160034538A KR102017937B1 (ko) 2015-03-27 2016-03-23 성막 장치 및 성막 방법 및 기억 매체

Country Status (3)

Country Link
US (1) US9640448B2 (ko)
JP (1) JP6458595B2 (ko)
KR (1) KR102017937B1 (ko)

Families Citing this family (290)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
CN108878331A (zh) * 2018-08-20 2018-11-23 度亘激光技术(苏州)有限公司 一种批量化半导体湿法氧化装置
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20230062848A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device manufacturing system and method for manufacturing semiconductor device
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100773636B1 (ko) * 2000-02-28 2007-11-05 미쯔비시 마테리알 실리콘 가부시끼가이샤 반도체 제조 방법 및 반도체 제조 장치

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5241851A (en) * 1991-11-04 1993-09-07 The Boc Group, Inc. Method of performing an instantaneous moisture concentration measurement and for determining the drydown characteristics of an environment
JP3636962B2 (ja) * 2000-04-10 2005-04-06 三菱住友シリコン株式会社 半導体製造方法
JP2001332501A (ja) 2000-05-22 2001-11-30 Nippon Sanso Corp Mocvd装置のパージ方法
KR100576828B1 (ko) * 2004-03-24 2006-05-10 삼성전자주식회사 실리콘싸이오할라이드를 이용한 실리콘산화 막 형성방법
US7981700B2 (en) * 2005-02-15 2011-07-19 Ricoh Company, Ltd. Semiconductor oxidation apparatus and method of producing semiconductor element
FR2915753B1 (fr) * 2007-05-02 2009-09-04 Commissariat Energie Atomique Procede et dispositif de preparation d'un revetement multicouche sur un substrat
JP5545061B2 (ja) * 2010-06-18 2014-07-09 東京エレクトロン株式会社 処理装置及び成膜方法
JP5276679B2 (ja) * 2011-02-01 2013-08-28 東京エレクトロン株式会社 成膜装置
JP5882075B2 (ja) 2012-02-06 2016-03-09 東京エレクトロン株式会社 キャパシタの製造方法、キャパシタ、およびそれに用いられる誘電体膜の形成方法
US10273880B2 (en) * 2012-04-26 2019-04-30 General Electric Company System and method of recirculating exhaust gas for use in a plurality of flow paths in a gas turbine engine
KR20220120714A (ko) * 2013-08-12 2022-08-30 어플라이드 머티어리얼스, 인코포레이티드 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
EP3080838B1 (en) * 2013-12-13 2022-02-02 Brooks Automation (Germany) GmbH Recirculation purging system
KR20210080633A (ko) * 2014-11-25 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 및 퍼지 챔버 환경 제어들을 이용하는 기판 프로세싱 시스템들, 장치, 및 방법들
US10675854B2 (en) * 2015-01-16 2020-06-09 Raytheon Technologies Corporation Additive processing apparatus and method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100773636B1 (ko) * 2000-02-28 2007-11-05 미쯔비시 마테리알 실리콘 가부시끼가이샤 반도체 제조 방법 및 반도체 제조 장치

Also Published As

Publication number Publication date
JP6458595B2 (ja) 2019-01-30
US9640448B2 (en) 2017-05-02
KR20160115769A (ko) 2016-10-06
JP2016186112A (ja) 2016-10-27
US20160284613A1 (en) 2016-09-29

Similar Documents

Publication Publication Date Title
KR102017937B1 (ko) 성막 장치 및 성막 방법 및 기억 매체
KR101521466B1 (ko) 가스 공급 장치, 열처리 장치, 가스 공급 방법 및 열처리 방법
JP6225837B2 (ja) 成膜装置、成膜方法、記憶媒体
JP5959307B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8846546B2 (en) Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus and recording medium
TWI584372B (zh) A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium
JP2014007289A (ja) ガス供給装置及び成膜装置
KR101555572B1 (ko) 성막 방법 및 성막 장치
JP2013229575A (ja) 半導体装置の製造方法、クリーニング方法および基板処理装置並びに記録媒体
JP2012184499A (ja) 半導体装置の製造方法、基板処理装置、及び基板処理方法
JP6415215B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
WO2019058553A1 (ja) 基板処理装置、石英反応管、クリーニング方法並びにプログラム
JP2009076542A (ja) 成膜方法および成膜装置
JP6941240B2 (ja) クリーニング方法、半導体装置の製造方法、プログラムおよび基板処理装置
CN109950130B (zh) 半导体器件的制造方法、衬底处理装置、及记录介质
US20170221698A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP5761067B2 (ja) ガス供給装置及び熱処理装置
JP6017361B2 (ja) 成膜方法および成膜装置
JP2009272367A (ja) 基板処理装置
WO2018179251A1 (ja) 半導体装置の製造方法
KR20180109739A (ko) 세정 부생성물의 부착 억제 방법 및 이를 이용한 반응실 내의 클리닝 방법, 그리고 실온 성막 장치
JP5848788B2 (ja) 基板処理装置、半導体製造方法、基板処理方法
JP6160500B2 (ja) 成膜方法、成膜装置及び記憶媒体
US20220081771A1 (en) Processing apparatus and processing method
CN113574640B (zh) 半导体装置的制造方法、基板处理装置和记录介质

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant