JP2012184499A - 半導体装置の製造方法、基板処理装置、及び基板処理方法 - Google Patents

半導体装置の製造方法、基板処理装置、及び基板処理方法 Download PDF

Info

Publication number
JP2012184499A
JP2012184499A JP2012017827A JP2012017827A JP2012184499A JP 2012184499 A JP2012184499 A JP 2012184499A JP 2012017827 A JP2012017827 A JP 2012017827A JP 2012017827 A JP2012017827 A JP 2012017827A JP 2012184499 A JP2012184499 A JP 2012184499A
Authority
JP
Japan
Prior art keywords
gas
supplying
containing gas
gas supply
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012017827A
Other languages
English (en)
Other versions
JP5963456B2 (ja
Inventor
Yukinao Kaga
友紀直 加我
Tatsuyuki Saito
達之 齋藤
Masanori Sakai
正憲 境
Takashi Yokogawa
貴史 横川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2012017827A priority Critical patent/JP5963456B2/ja
Priority to KR1020120010221A priority patent/KR20120095297A/ko
Priority to US13/398,523 priority patent/US9045825B2/en
Priority to TW101105096A priority patent/TWI497607B/zh
Publication of JP2012184499A publication Critical patent/JP2012184499A/ja
Priority to US14/697,274 priority patent/US9650715B2/en
Application granted granted Critical
Publication of JP5963456B2 publication Critical patent/JP5963456B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】形成する膜の仕事関数の値を、従来の技術を用いた場合よりも高くすることができる半導体装置の製造方法、基板処理装置、及び基板処理方法を提供する。
【解決手段】基板を収容した処理室に金属含有ガスを供給する工程と、処理室に窒素含有ガスを供給する工程と、処理室に酸素含有ガス又はハロゲン含有ガスを供給する工程と、を含むサイクルを複数回行うことで、基板に金属含有膜を形成する。
【選択図】図4

Description

本発明は、半導体装置の製造方法、基板処理装置、及び基板処理方法に関する。
半導体装置の製造方法における工程の1つとして基板に薄膜を形成する工程があり、基板処理装置による処理の1つとして基板に薄膜を形成する処理がある。
基板上に薄膜を形成する手法の1つとして、CVD(Chemical Vapor Deposition)法がある。CVD法とは、気相中若しくは基板表面における2種以上の原料の反応を利用して、原料分子に含まれる元素を構成要素とする膜を基板上に成膜する方法である。また、基板上に薄膜を形成する他の手法として、ある成膜条件(温度、時間等)の下で、成膜に用いる2種以上の原料となる原料を1種類ずつ交互に基板上に供給し、表面反応を利用して原子層レベルで制御される成膜を行う手法がある。
基板上に形成される金属膜としては、例えば、特許文献1のように窒化チタン(TiN)の膜が挙げられる。窒化チタン(TiN)の膜は、例えば四塩化チタン(TiCl4)とアンモニア(NH3)とを反応させることで形成することができる。
国際公開第2007/020874号
しかしながら、用いる材料によっては、形成する膜の仕事関数の値が所望の値よりも低くなってしまうことがあった。
本発明は、形成する膜の仕事関数の値を、従来の技術を用いた場合よりも高くすることができる半導体装置の製造方法、基板処理装置、及び基板処理方法を提供することを目的とする。
請求項1に係る本発明は、基板を収容した処理室に金属含有ガスを供給する工程と、前記処理室に窒素含有ガスを供給する工程と、前記処理室に酸素含有ガス又はハロゲン含有ガスを供給する工程と、を含むサイクルを複数回行うことで、基板に金属含有膜を形成する半導体装置の製造方法である。
請求項2に係る本発明は、前記処理室に残留したガスを前記処理室から除去する工程をさらに有し、前記除去する工程は、前記金属含有ガスを供給する工程の後であって前記窒素含有ガスを供給する工程の前、前記窒素含有ガスを供給する工程の後であって前記酸素含有ガス又はハロゲン含有ガスを供給する工程の前、及び酸素含有ガス又はハロゲン含有ガスを供給する工程の後であって前記金属含有ガスを供給する工程の前の少なくとも1つに行われる請求項1記載の半導体装置の製造方法である。
請求項3に係る本発明は、基板を収容した処理室に金属含有ガスを供給する工程と、前記処理室に窒素含有ガスを供給する工程と、を含むサイクルを複数回行うことで、基板に金属窒化膜を形成した後、前記処理室に酸素含有ガス又はハロゲン含有ガスを供給する工程を行い、前記金属窒化膜に酸素を添加する半導体装置の製造方法である。
請求項4に係る本発明は、基板を収容した処理室に金属含有ガスを供給する工程と、前記処理室に窒素含有ガスを供給する工程と、前記処理室に酸素含有ガス又はハロゲン含有ガスを供給する工程と、を含むサイクルを複数回行い、前記金属含有ガスを供給する工程、及び前記窒素含有ガスを供給する工程の少なくともいずれか一方は、前記酸素含有ガス又はハロゲン含有ガスを供給する工程を行いつつ行われる基板に金属含有膜を形成する半導体装置の製造方法である。
請求項5に係る本発明は、前記酸素含有ガス又はハロゲン含有ガスを供給する工程を行いつつ、前記金属含有ガスを供給する工程と前記窒素含有ガスを供給する工程とが交互に複数回行われる請求項4記載の半導体装置の製造方法である。
請求項6に係る本発明は、前記金属含有ガスを供給する工程と前記窒素含有ガスを供給する工程とが同時に行われるタイミングを有する請求項5記載の半導体装置の製造方法である。
請求項7に係る本発明は、前記金属含有ガスを供給する工程、前記窒素含有ガスを供給する工程、及び前記酸素含有ガス又はハロゲン含有ガスを供給する工程の少なくとも1つは、基板に形成される金属含有膜中に含まれる酸素又はハロゲンの組成比が予め定められた値となるように制御される請求項1〜6いずれか記載の半導体装置の製造方法である。
請求項8に係る本発明は、基板を収容する処理室と、前記処理室に金属含有ガスを供給する第1のガス供給系と、前記処理室に窒素含有ガスを供給する第2のガス供給系と、前記処理室に酸素含有ガス又はハロゲン含有ガスを供給する第3のガス供給系と、前記第1のガス供給系、前記第2のガス供給系、及び前記第3のガス供給系を制御する制御部と、を有し、前記制御部は、前記第1のガス供給系、前記第2のガス供給系、及び前記第3のガス供給系を、基板上に形成される金属含有膜に含まれる酸素又はハロゲンの組成比が予め定められた値となるように制御する基板処理装置である。
請求項9に係る本発明は、基板を収容した処理室に金属含有ガスを供給する工程と、前記処理室に窒素含有ガスを供給する工程と、前記処理室に酸素含有ガス又はハロゲン含有ガスを供給する工程と、を含むサイクルを複数回行うことで、基板に金属含有膜を形成する基板処理方法である。
本発明によれば、形成する膜の仕事関数の値を、従来の技術を用いた場合よりも高くすることができる半導体装置の製造方法、基板処理装置、及び基板処理方法を提供することができる。
本発明の第1の実施形態に係る基板処理装置の概略的な構成を示す斜視図である。 図1に示す基板処理装置が有する処理炉を示す図である。 図2に示す処理炉の、図2におけるA−A面断面を示し断面図である。 図1に示す基板処理装置が有する第1のガス供給系、第2のガス供給系、及び第3のガス供給系を模式的に示す図である。 図1に示す基板処理装置が有するコントローラと、このコントローラによって制御される各部材とを示すブロック図である。 本発明の第1の実施形態における制御の一例を示すフローチャートである。 本発明の第1の実施形態における成膜工程のシークエンスを示すタイミングチャートである。 本発明の第1の実施形態における成膜工程の第1の変形例を示すタイミングチャートである。 本発明の第1の実施形態における成膜工程の第2の変形例を示すタイミングチャートである。 本発明の第1の実施形態における成膜工程の第3の変形例を示すタイミングチャートである。 本発明の第1の実施形態における成膜工程の第4の変形例のシークエンスを示すタイミングチャートである。 本発明の第1の実施形態における成膜工程の第5の変形例を示すタイミングチャートである。 図1に示す基板処理装置が有する第1のガス供給系の第1の変形例を模式的に示す図である。 図1に示す基板処理装置が有する第1のガス供給系の第2の変形例を模式的に示す図である。 図1に示す基板処理装置が有する第1のガス供給系の第3の変形例を模式的に示す図である。 図1に示す基板処理装置が有する第1のガス供給系の第4の変形例を模式的に示す図である。 図1に示す基板処理装置が有する第1のガス供給系の第5の変形例を模式的に示す図である。 図1に示す基板処理装置が有する第3のガス供給系の変形例を模式的に示す図である。 本発明の第2の実施形態に係る基板処理装置が有する第1のガス供給系と第2のガス供給系とを模式的に示す図である。 本発明の第2の実施形態に係る基板処理装置が有する第1のガス供給系と第2のガス供給系との第1の変形例を模式的に示す図である。 本発明の第2の実施形態に係る基板処理装置が有する第1のガス供給系と第2のガス供給系との第2の変形例を模式的に示す図である。
以下、図面を参照しながら本発明の好ましい実施形態について説明する。
本実施形態に係る基板処理装置は、半導体装置(IC(Integrated Circuits))の製造に使用される半導体製造装置の一例として構成されているものであり、本実施例に係る基板処理装置で、半導体装置の製造方法が実現される。以下の説明では、基板処理装置の一例として、基板に対し成膜処理等を行う縦型の装置を使用した場合について述べるものの、本発明は、縦型装置の使用を前提としたものでなく、例えば、枚葉装置を使用しても良い。
図1には、本発明の第1の実施形態に係る基板処理装置101が示されている。
図1に示す通り、基板処理装置101では、基板の一例となるウエハ200を収納したカセット110が使用されており、ウエハ200はシリコン等の材料から構成されている。基板処理装置101は筐体111を備えており、筐体111の内部にはカセットステージ114が設置されている。カセット110はカセットステージ114上に工程内搬送装置(図示略)によって搬入されたり、カセットステージ114上から搬出されたりされる。
カセットステージ114は、工程内搬送装置によって、カセット110内のウエハ200が垂直姿勢を保持しかつカセット110のウエハ出し入れ口が上方向を向くように載置される。カセットステージ114は、カセット110を筐体111の後方に右回り縦方向に90°回転し、カセット110内のウエハ200が水平姿勢となり、カセット110のウエハ出し入れ口が筐体111の後方を向くように動作可能となるよう構成されている。
筐体111内の前後方向の略中央部にはカセット棚105が設置されており、カセット棚105は複数段複数列にて複数個のカセット110を保管するように構成されている。カセット棚105にはウエハ移載機構125の搬送対象となるカセット110が収納される移載棚123が設けられている。
カセットステージ114の上方には予備カセット棚107が設けられ、予備的にカセット110を保管するように構成されている。
カセットステージ114とカセット棚105との間には、カセット搬送装置118が設置されている。カセット搬送装置118は、カセット110を保持したまま昇降可能なカセットエレベータ118aと、搬送機構としてのカセット搬送機構118bとで構成されている。カセット搬送装置118はカセットエレベータ118aとカセット搬送機構118bとの連続動作により、カセットステージ114とカセット棚105と予備カセット棚107との間で、カセット110を搬送するように構成されている。
カセット棚105の後方には、ウエハ移載機構125が設置されている。ウエハ移載機構125は、ウエハ200を水平方向に回転ないし直動可能なウエハ移載装置125aと、ウエハ移載装置125aを昇降させるためのウエハ移載装置エレベータ125bとで構成されている。ウエハ移載装置125aにはウエハ200をピックアップするためのツイーザ125cが設けられている。ウエハ移載装置125はウエハ移載装置125aとウエハ移載装置エレベータ125bとの連続動作により、ツイーザ125cをウエハ200の載置部として、ウエハ200をボート217に対して装填(チャージング)したり、ボート217から脱装(ディスチャージング)したりするように構成されている。
筐体111の後部上方には、ウエハ200を熱処理する処理炉202が設けられており、処理炉202の下端部が炉口シャッタ147により開閉されるように構成されている。
処理炉202の下方には処理炉202に対しボート217を昇降させるボートエレベータ115が設けられている。ボートエレベータ115の昇降台にはアーム128が連結されており、アーム128にはシールキャップ219が水平に据え付けられている。シールキャップ219はボート217を垂直に支持するとともに、処理炉202の下端部を閉塞可能なように構成されている。
ボート217は複数の保持部材を備えており、複数枚(例えば50〜150枚程度)のウエハ200をその中心を揃えて垂直方向に整列させた状態で、それぞれ水平に保持するように構成されている。
カセット棚105の上方には、清浄化した雰囲気であるクリーンエアを供給するクリーンユニット134aが設置されている。クリーンユニット134aは供給ファン及び防塵フィルタで構成されており、クリーンエアを筐体111の内部に流通させるように構成されている。
筐体111の左側端部には、クリーンエアを供給するクリーンユニット134bが設置されている。クリーンユニット134bも供給ファン及び防塵フィルタで構成されており、クリーンエアをウエハ移載装置125aやボート217等の近傍を流通させるように構成されている。当該クリーンエアは、ウエハ移載装置125aやボート217等の近傍を流通した後に、筐体111の外部に排気されるようになっている。
以上のように構成された基板処理装置101においては、工程内搬送装置(図示略)によってカセット110がカセットステージ114上に搬入されると、カセット110は、ウエハ200がカセットステージ114の上で垂直姿勢を保持し、カセット110のウエハ出し入れ口が上方向を向くように載置される。その後、カセット110は、カセットステージ114によって、カセット110内のウエハ200が水平姿勢となり、カセット110のウエハ出し入れ口が筐体111の後方を向くように、筐体111の後方に右周り縦方向に90°回転させられる。
その後、カセット110は、カセット棚105ないし予備カセット棚107の指定された棚位置へカセット搬送装置118によって自動的に搬送され受け渡され、一時的に保管された後、カセット棚105ないし予備カセット棚107からカセット搬送装置118によって移載棚123に移載されるか、もしくは直接移載棚123に搬送される。
カセット110が移載棚123に移載されると、ウエハ200はカセット110からウエハ移載装置125aのツイーザ125cによってウエハ出し入れ口を通じてピックアップされ、ボート217に装填(チャージング)される。ボート217にウエハ200を受け渡したウエハ移載装置125aはカセット110に戻り、後続のウエハ200をボート217に装填する。
予め指定された枚数のウエハ200がボート217に装填されると、処理炉202の下端部を閉じていた炉口シャッタ147が開き、処理炉202の下端部が開放される。その後、ウエハ200群を保持したボート217がボートエレベータ115の上昇動作により処理炉202内に搬入(ローディング)され、処理炉202の下部がシールキャップ219により閉塞される。
ローディング後は、処理炉202にてウエハ200に対し任意の処理が実施される。その処理後は、上述の逆の手順で、ウエハ200及びカセット110が筐体111の外部に搬出される。
また、基板処理装置101は、コントローラ900を有している。コントローラ900は、基板処理装置101の全体の動作を制御する制御部(制御手段)の一例であって、その一部である後述するCPU932(図5参照)等が、例えば筐体111内に設けられている。
図2及び図3には、処理炉202が示されている。
図2及び図3に示す通り、処理炉202は、ウエハ200を収容する処理室の一例である処理室201を形成し、処理炉202にはウエハ200を加熱するための加熱装置(加熱手段)であるヒータ207が設けられている。ヒータ207は上方が閉塞された円筒形状の断熱部材と複数本のヒータ素線とを備えており、断熱部材に対しヒータ素線が設けられたユニット構成を有している。ヒータ207の内側には、ウエハ200を処理するための石英製の反応管203が設けられている。
反応管203の下方には、反応管203の下端開口を気密に閉塞可能な炉口蓋体としてのシールキャップ219が設けられている。シールキャップ219は反応管203の下端に垂直方向下側から当接されるようになっている。シールキャップ219は例えばステンレス等の金属からなり、円盤状に形成されている。シールキャップ219の上面には反応管203の下端と当接するシール部材としてのOリング220が設けられている。シールキャップ219の処理室201と反対側にはボートを回転させる回転機構267が設けられている。回転機構267の回転軸255はシールキャップを貫通して、後述するボート217に接続されており、ボート217を回転させることでウエハ200を回転させるように構成されている。シールキャップ219は反応管203の外部に設けられた昇降機構としてのボートエレベータ115によって垂直方向に昇降されるように構成されており、これによりボート217を処理室201内に対し搬入搬出することが可能となっている。
シールキャップ219にはボート217を支持するボート支持台218が設けられている。ボート217はボート支持台218に固定された底板210(図1参照)とその上方に配置された天板211(図1参照)とを有しており、底板210と天板211との間に複数本の支柱212(図1参照)が架設された構成を有している。ボート217には複数枚のウエハ200が保持されている。複数枚のウエハ200は、互いに一定の間隔をあけながら水平姿勢を保持した状態でボート217の支柱212に支持されている。
以上の処理炉202では、バッチ処理される複数枚のウエハ200がボート217に対し多段に積層された状態において、ボート217がボート支持台218で支持されながら処理室201に挿入され、ヒータ207が処理室201に挿入されたウエハ200を所定の温度に加熱するようになっている。
反応管203内には温度検出器としての温度センサ263が設置されており、温度センサ263により検出された温度情報に基づきヒータ207への通電具合を調整することで、処理室201内の温度が所望の温度分布となるように構成されている。温度センサ263は、L字型に構成されており、反応管203の内壁に沿って設けられている。
反応管203内の中央部にはボート217が設けられている。ボート217は、ボートエレベータ115により反応管203に対し昇降(出入り)することができるようになっている。ボート217を支持するボート支持台218の下端部には、処理の均一性を向上するためにボート217を回転させるボート回転機構267が設けられている。ボート回転機構267を駆動させることにより、ボート支持台218に支持されたボート217を回転させることができるようになっている。
また、図2及び図3に示すように、基板処理装置101は、第1のガス供給系300と、第2のガス供給系400と、第3のガス供給系500とを有する。
第1のガス供給系300は、処理室201に金属含有ガスを供給する第1のガス供給系の一例として用いられていて、第1のガス供給管310を有する。第1のガス供給管310の一方の端部は処理室201の内側に位置し、他方の端部は処理室201の外側に位置している。また、第1のガス供給系300は、第1のノズル314を有する。
第1のノズル314は、第1のガス供給管310の一方の端部に連結されていて、処理室201を構成している反応管203の内壁とウエハ200との間における円弧状の空間で、反応管203の内壁に沿った上下方向(ウエハ200の積載方向)に延在している。また、第1のノズル314の側面には金属含有ガスを供給する多数のガス供給孔314aが設けられている。ガス供給孔314aは、下部から上部にわたってそれぞれ同一又は、大きさに傾斜をつけた開口面積を有し、さらに同じ開口ピッチで設けられている。
第2のガス供給系400は、処理室201に窒素含有ガスを供給する第2のガス供給系として用いられていて、第2のガス供給管410を有する。第2のガス供給管410の一方の端部は処理室201の内側に位置し、他方の端部は処理室201の外側に位置している。また、第2のガス供給系400は、第2のノズル414を有する。
第2のノズル414は、第2のガス供給管410の一方の端部に連結されていて、処理室201を構成している反応管203の内壁とウエハ200との間における円弧状の空間で、反応管203の内壁に沿った上下方向(ウエハ200の積載方向)に延在している。また、第2のノズル414の側面には窒素含有ガスを供給する多数のガス供給孔414aが設けられている。ガス供給孔414aは、下部から上部にわたってそれぞれ同一又は、大きさに傾斜をつけた開口面積を有し、さらに同じ開口ピッチで設けられている。
第3のガス供給系500は、処理室201に酸素含有ガス又はハロゲン含有ガスを供給する第3のガス供給系として用いられていて、第3のガス供給管510を有する。第3のガス供給管510の一方の端部は処理室201内に位置し、他方の端部は処理室201の外側に位置している。また、第3のガス供給系500は、第3のノズル514を有する。
第3のノズル514は、第3のガス供給管510の一方の端部に連結されていて、処理室201を構成している反応管203の内壁とウエハ200との間における円弧状の空間で、反応管203の内壁に沿った上下方向(ウエハ200の積載方向)に延在している。また、第3のノズル514の側面には、酸素含有ガスを供給する多数のガス供給孔514aが設けられている。ガス供給孔514aは、下部から上部にわたってそれぞれ同一又は、大きさに傾斜をつけた開口面積を有し、さらに同じ開口ピッチで設けられている。
図4には、第1のガス供給系300、第2のガス供給系400、及び第3のガス供給系500が示されている。
図4に示すように、第1のガス供給系300は、先述の第1のガス供給管310と、ガス供給管310に接続されたバブラ700と、ガス供給管310のバブラ700よりも上流側に配置されたバルブ318とを有する。
バブラ700は、液体原料を収容する収容容器702を有し、液体原料をバブリングにより気化させて原料ガスを生成させる気化部の一例として用いられている。収容容器702は密閉容器であって、収容容器702内には、液体原料の一例であるTiCl4(四塩化チタン)が収容されている。
収容容器702には、キャリアガス供給管360が接続されている。キャリアガス供給管360の上流側には、図示を省略するキャリアガス供給源が接続されている。また、キャリアガス供給管の下流側の端部は、収容容器702に収容された液体原料内に浸されている。また、キャリアガス供給管360には、キャリアガス供給源から供給されるキャリアガスの供給流量を制御するマスフローコントローラ362と、キャリアガスの供給を停止させ、開始させるために用いられるバルブ364とが装着されている。
キャリアガス供給管360を用いて供給されるキャリアガスとしては、液体原料とは反応しないガスを用いることが好ましく、例えばN2ガスやArガス等の不活性ガスが好適に用いられる。
バルブ318は、バブラ700からの原料ガスの供給を停止させ、開始するために用いられている。
また、第1のガス供給系300は、キャリアガス供給管330、マスフローコントローラ332及びバルブ334を有する。キャリアガス供給管330は、キャリアガスを供給するために用いられていて、第1のガス供給管310に接続されている。マスフローコントローラ332及びバルブ334は、上流側から順にマスフローコントローラ332、バルブ334の順にキャリアガス供給管330に装着されている。キャリアガス供給管330から供給されるキャリアガスとしては、例えばN2ガスが用いられる。
また、第1のガス供給系300は、クリーニングガス供給管340、マスフローコントローラ342、バルブ344、及びバルブ346を有する。クリーニングガス供給管340は、クリーニング用のガスを供給するために用いられていて、バブラ700が接続された位置よりも下流側で第1のガス供給管310に接続されている。マスフローコントローラ342、バルブ344、及びバルブ346は、上流側から順にマスフローコントローラ342、バルブ344、バルブ346の順でクリーニングガス供給管340に装着されている。
また、第1のガス供給系300は、バルブ350を有する。バルブ350は、第1のガス供給管310の、キャリアガス供給管330が接続された位置よりも下流側であって、クリーニングガス供給管340が接続されている位置よりも上流側に装着されている。
以上のように構成された第1のガス供給系300は、金属含有ガスの一例であるTiCl4ガスを処理室201に供給する。TiCl4ガスを処理室201に供給するように第1のガス供給系300を構成することに替えて、処理室201に、テトラキスジメチルアミノチタン(TDMAT、Ti[N(CH324)や、テトラキスジエチルアミノチタン(TDEAT、Ti[N(CH2CH324)等)が供給されるように第1のガス供給系300を構成しても良い。
第2のガス供給系400は、先述の第2のガス供給管410と、マスフローコントローラ416と、バルブ418とを有する。第2のガス供給管410の上流側の端部には、図示を省略するNH3(アンモニア)ガスの供給源が接続されている。マスフローコントローラ416と、バルブ418とは、第2のガス供給管410に対して、上流側から、マスフローコントローラ416、バルブ418との順に装着されている。マスフローコントローラ416は、流量制御装置(流量制御手段)の一例として用いられており、バルブ418は、開閉弁の一例として用いられている。
また、第2のガス供給系400は、キャリアガス供給管430、マスフローコントローラ432及びバルブ434を有している。キャリアガス供給管430は、キャリアガスを供給するために用いられていて、第2のガス供給管410に接続されている。マスフローコントローラ432及びバルブ434は、上流側から順にマスフローコントローラ432、バルブ434の順にキャリアガス供給管430に装着されている。キャリアガス供給管430から供給されるキャリアガスとしては、例えばN2ガスが用いられる。
また、第2のガス供給系400は、クリーニングガス供給管440、マスフローコントローラ442、バルブ444、及びバルブ446を有している。クリーニングガス供給管440は、クリーニング用のガスを供給するために用いられていて第2のガス供給管410に接続されている。マスフローコントローラ442、バルブ444、及びバルブ446は、上流側から順にマスフローコントローラ442、バルブ444、バルブ446の順でクリーニングガス供給管440に装着されている。
また、第2のガス供給系400は、バルブ450を有する。バルブ450は、第2のガス供給管410の、キャリアガス供給管430が接続された位置よりも下流側であって、クリーニングガス供給管440が接続されている位置よりも上流側に装着されている。
以上のように構成された第2のガス供給系400は、窒素含有ガスの一例であるNH3ガスを処理室201に供給する。NH3ガスを処理室201に供給するように第2のガス供給系400を構成することに替えて、N2(窒素)ガス、N2O(亜酸化窒素)ガス、CH62(モノメチルヒドラジン)ガス等を処理室201に供給するように第2のガス供給系400を構成しても良い。
第3のガス供給系500は、先述の第3のガス供給管510と、マスフローコントローラ516と、バルブ518とを有する。第3のガス供給管510は、上流側の端部にO2(酸素)ガスの供給源が接続されている。マスフローコントローラ516と、バルブ518とは、第2のガス供給管510に対して、上流側から、マスフローコントローラ516、バルブ518との順に装着されている。マスフローコントローラ516は、流量制御装置(流量制御手段)の一例として用いられており、バルブ518は、開閉弁の一例として用いられている。O2ガスを処理室201に供給するように第3のガス供給系500を構成することに替えて、N2O(亜酸化窒素)ガス等を処理室201に供給するように第3のガス供給系500を構成しても良い。
また、第3のガス供給系500は、キャリアガス供給管530、マスフローコントローラ532及びバルブ534を有している。キャリアガス供給管530は、キャリアガスを供給するために用いられていて、第3のガス供給管510に接続されている。マスフローコントローラ532及びバルブ534は、上流側から順にマスフローコントローラ532、バルブ534の順にキャリアガス供給管530に装着されている。キャリアガス供給管530から供給されるキャリアガスとしては、例えばN2ガスが用いられる。
また、第3のガス供給系500は、クリーニングガス供給管540、マスフローコントローラ542、バルブ544、及びバルブ546を有している。クリーニングガス供給管540は、クリーニング用のガスを供給するために用いられていて第3のガス供給管510に接続されている。マスフローコントローラ542、バルブ544、及びバルブ546は、上流側から順にマスフローコントローラ542、バルブ544、バルブ546の順でクリーニングガス供給管540に装着されている。
また、第3のガス供給系500は、バルブ550を有する。バルブ550は、第3のガス供給管510の、キャリアガス供給管530が接続された位置よりも下流側であって、クリーニングガス供給管540が接続されている位置よりも上流側に装着されている。
ガス供給の方法として、反応管203の内壁と、積載された複数枚のウエハ200の端部とで定義される円弧状の縦長の空間に対して、反応管203の下側或いは上側の一端側より直接、ガスを供給して下側から上側、或いは上側から下側へ流し、反応管203内に積載された各ウエハ200に反応させる方法がある。本構成とした場合、ガスの供給部に近い部分においては、ガスの量が比較的多くなり(ガスの濃度が比較的高くなり)、その部位に位置するウエハ200に形成される薄膜の膜厚が厚くなる。一方、ガスの供給部から離れた部位においては、ウエハ200に到達できるガスの量が少なくなるため(ガスの濃度が比較的低くなるため)、その部位に位置するウエハ200に形成される薄膜の膜厚が薄くなる。従って、反応管203内に積載されたウエハ200の上下間で、生成される薄膜の膜厚に差異ができ、縦型のバッチ式装置としては好ましくない。
一方、本実施形態におけるガス供給の方法は、上述の円弧状の空間内に配置したノズル314,414,514を経由してガスを搬送し、ノズル314,414,514にそれぞれ開口されたガス供給孔314a、414a、514aからウエハ200の近傍で初めて反応管203内にガスを噴出させており、反応管203内におけるガスの主たる流れをウエハ200の表面と平行な方法、すなわち水平方向としている。このような構成とすることで、各ウエハ200に均一にガスを供給することができ、各ウエハ200に形成される薄膜の膜厚を均一にできる効果がある。なお、反応後の残ガスは、排気口、すなわち、後述の排気管231の方向に向って流れるが、この残ガスの流れの方向は、排気口の位置によって適宜特定され、垂直方向に限ったものではない。
反応管203には、処理室201内の雰囲気を排気する排気管231が設けられている。図3に示すように、横断面視において、排気管231は、反応管203の第1のノズル314のガス供給孔314a、第2のノズル414のガス供給孔414a、第3のノズル514のガス供給孔514aが設けられる側と対向する側、すなわちウエハ200を挟んでガス供給孔314a、414a、514aとは反対側に設けられている。また、排気管231は、ガス供給孔314a、414a、514aが設けられている箇所よりも下方に設けられている。この構成により、ガス供給孔314a、414a、514aから処理室201内のウエハ200の近傍に供給されたガスは、水平方向、すなわちウエハ200の表面と平行な方向に向って流れた後、下方に向って流れ、排気管231より排気されることとなる。処理室201内におけるガスの主たる流れが水平方向へ向う流れとなるのは上述のとおりである。排気管231には処理室201内の圧力を検出する圧力検出器(圧力検出部)としての圧力センサ245及び圧力調整器(圧力調整部)としてのAPC(Auto Pressure Controller)バルブ243を介して真空排気装置としての真空ポンプ246が接続されており、処理室201内の圧力が所定の圧力(真空度)となるよう真空排気し得るように構成されている。尚、APCバルブ243は弁を開閉して処理室201内の真空排気・真空排気停止ができ、さらに弁開度を調節して圧力調整可能となっている開閉弁である。主に、排気管231、APCバルブ243、真空ポンプ246、圧力センサ245により排気系が構成される。
図5には、コントローラ900が示されている。
コントローラ900は、第1のガス供給系300、第2のガス供給系400、及び第3のガス供給系500を、ウエハ200上に形成される金属含有膜に含まれる酸素又はハロゲンの組成比が予め定められた値となるように制御する。
また、コントローラ900は、操作メニュー等を表示するディスプレイ910と、複数のキーを含んで構成され、各種の情報や操作指示が入力される操作入力部920とを有する。また、コントローラ900は、基板処理装置101全体の動作を司るCPU932と、制御プログラムを含む各種プログラム等が予め記憶されたROM934と、各種データを一時的に記憶するRAM936と、各種データを記憶して保持するHDD938と、ディスプレイ910への各種情報の表示を制御するとともにディスプレイ910からの操作情報を受け付けるディスプレイドライバ912と、操作入力部920に対する操作状態を検出する操作入力検出部922と、通信インタフェース(I/F)部940とを有している。
通信I/F部940は、後述する温度制御部950、後述する圧力制御部960、真空ポンプ246、ボート回転機構267、ボートエレベータ115、マスフローコントローラ332、342,362、416、432、442、516、532、542、後述するバルブ制御部970等の各部材と各種情報の送受信を行う。
CPU932、ROM934、RAM936、HDD938、ディスプレイドライバ912、操作入力検出部922、及び通信I/F部940は、システムバスBUS904を介して相互に接続されている。このため、CPU932は、ROM934、RAM936、HDD938へのアクセスを行うことができるとともに、ディスプレイドライバ912を介したディスプレイ910への各種情報の表示の制御、及びディスプレイ910からの操作情報の把握、通信I/F部940を介した各部材との各種情報の送受信の制御を行うことができる。また、CPU932は、操作入力検出部922を介して操作入力部920に対するユーザの操作状態を把握することができる。
温度制御部950は、ヒータ207と、ヒータ207に電力を供給する加熱用電源250と、温度センサ263と、コントローラ900との間で設定温度情報等の各種情報を送受信する通信I/F部952と、受信した設定温度情報と温度センサ263からの温度情報等に基づいて加熱用電源250からヒータ207への供給電力を制御するヒータ制御部292とを有する。ヒータ制御部292もコンピュータによって実現されている。温度制御部950の通信I/F部952とコントローラ900の通信I/F部940とはケーブルで接続されている。
圧力制御部960は、APCバルブ243と、圧力センサ245と、コントローラ900との間で設定圧力情報、APCバルブ243の開閉情報等の各種情報を送受信する通信I/F部962と、受信した設定圧力情報、APCバルブ243の開閉情報等と圧力センサ245からの圧力情報等に基づいてAPCバルブ243の開閉や開度を制御するAPCバルブ制御部964とを備えている。APCバルブ制御部964もコンピュータによって実現されている。圧力制御部960の通信I/F部962とコントローラ900の通信I/F部940とはケーブルで接続されている。
バルブ制御部970は、バルブ318、334、344、346、350、364、418、444、446、450、518、534、544、546、550と、エアバルブであるバルブ318、334、344、346、350、364、418、444、446、450、518、534、544、546、550へのエアの供給を制御する電磁バルブ群972とを備えている。電磁バルブ群972とコントローラ900の通信I/F部940とはケーブルで接続されている。
以上のように、コントローラ900には、マスフローコントローラ332、342、362、416、432、442、バルブ318、334、344、346、350、364、418、444、446、450、518、534、544、546、550、APCバルブ243、加熱用電源250、温度センサ263、圧力センサ245、真空ポンプ246、ボート回転機構267、ボートエレベータ115等の各部材が接続されている。そして、コントローラ900は、マスフローコントローラ332、342、362、416、432、442の流量制御、バルブ318、334、344、346、350、364、418、444、446、450、518、534、544、546、550の開閉動作制御、圧力センサ245からの圧力情報に基づく開度調整動作を介した圧力制御、温度センサ263からの温度情報に基づく加熱用電源250からヒータ207への電力供給量調整動作を介した温度制御、真空ポンプ246の起動・停止制御、ボート回転機構267の回転速度調節制御、ボートエレベータ115の昇降動作制御等を行うようになっている。
次に、上述の基板処理装置の処理炉202を用いて、半導体装置(デバイス)の製造工程の一工程として、大規模集積回路(Large Scale Integration;LSI)を製造する際などに、基板上に膜を成膜する方法の例について説明する。尚、以下の説明において、基板処理装置を構成する各部の動作はコントローラ900により制御される。
本実施形態では、金属膜としてチタン窒化膜に酸素を添加(ドーピング)したチタン酸窒化膜(TiON)を基板上に複数の処理ガスを交互に供給する方法を用いて形成する方法について説明する。
本実施形態では、Ti(チタン)含有原料として、TiCl4、窒化ガスとしてNH3を用いる例について説明する。この例では、第1のガス供給系300によりチタン含有ガス供給系(第1の元素含有ガス供給系)が構成され、第2のガス供給系400により窒素含有ガス供給系(第2の元素含有ガス供給系)が構成され、第3のガス供給系500により酸素含有ガス供給系(第3の元素含有ガス供給系)が構成される。
図6は、本実施形態における制御フローの一例である。
この制御フローにより、第1のガス供給系300、第2のガス供給系400、及び第3のガス供給系500が、ウエハ200上に形成される金属含有膜に含まれる酸素又はハロゲンの組成比が予め定められた値となるように制御される。
また、この制御フローにおいては、コントローラ900が、基板処理装置101を以下のように制御する。すなわち、ヒータ207を制御して処理室201内を例えば300℃〜550℃の範囲の温度であって、好適には450℃以下、より好ましくは450℃に保持する。
そして、ステップS102で、ウエハチャージがなされる。
すなわち、複数枚のウエハ200がボート217に装填される。
次のステップS104で、ボートロードがなされる。
すなわち、複数枚のウエハ200を支持したボート217は、ボートエレベータ115によって持ち上げられて処理室201内に搬入される。この状態で、シールキャップ219はOリング220を介して反応管203の下端をシールした状態となる。その後、ボート217をボート駆動機構267により回転させ、ウエハ200を回転させる。その後、ステップS106で、真空ポンプ246を作動させるとともにAPCバルブ243を開いて処理室201内を真空引きし、ウエハ200の温度が450℃に達して温度等が安定したら、処理室201内の温度を450℃に保持した状態で後述するステップを順次実行する。
ステップS202では、TiCl4が供給される。TiCl4は常温で液体である。このため、処理室201に供給するには、バブラ700を使用してキャリアガスの一例として用いられ、不活性ガスであるN2(窒素)を収容容器702に通し、気化している分をキャリアガスと共に処理室201へと供給する。キャリアガスとしては、N2に替えてHe(ヘリウム)、Ne(ネオン)、Ar(アルゴン)を用いても良い。
より具体的には、ステップS202においては、第1のガス供給管310にTiCl4を、キャリアガス供給管330にキャリアガス(N2)を流す。この際、バルブ364、第1のガス供給管310のバルブ318、キャリアガス供給管330のバルブ334、及び排気管231のAPCバルブ243を共に開ける。キャリアガスは、キャリアガス供給管330から流れ、マスフローコントローラ332により流量調整される。TiCl4は、第1のガス供給管310から流れ、マスフローコントローラ362で収容容器702内に供給するキャリアガスの流量を調整することによって流量調整され、流量調整されたキャリアガスを混合し、第1のノズル314のガス供給孔314aから処理室201内に供給されてウエハ200の表面上を水平方向に向かって流れ、排気管231から排気される。このとき、処理室201内におけるガスの主たる流れは水平方向、すなわち、ウエハ200の表面と平行な方向に向かう流れとなる。また、このとき、APCバルブ243を適正に調整して処理室201内の圧力を20〜50Paの範囲であって、例えば30Paに維持する。マスフローコントローラ362で制御するTiCl4の供給量は例えば1.0〜2.0g/minである。TiCl4にウエハ200を晒す時間は、例えば3〜10秒間である。このときヒータ207の温度は、ウエハの温度が300℃〜550℃の範囲であって、例えば450℃になるよう設定してある。
このステップS202においては、処理室201内に流しているガスは、TiCl4と不活性ガスであるN2のみでありNH3は存在しない。したがって、TiCl4は気相反応を起こすことはなく、ウエハ200の表面や下地膜と表面反応する。
このステップS202において、第2のガス供給管410の途中につながっているキャリアガス供給管430からバルブ434を開けて不活性ガスを流すと、NH3側にTiCl4が回り込むことを防ぐことができる。また、第3のガス供給管510の途中につながっているキャリアガス供給管530からバルブ534を開けて不活性ガスを流すと、O2側にTiCl4が回り込むことを防ぐことができる。
次のステップS204では、残留ガスが除去される。
すなわち、第1のガス供給管310のバルブ318を閉めて処理室201へのTiCl4の供給を停止し、排気管231のAPCバルブ243は開いたままとすることで、真空ポンプ246により処理室201内を20Pa以下となるまで排気し、残留TiCl4を処理室201内から排除する。なお、このとき、処理室201内に残留するガスを完全に排除しなくてもよく、処理室201内を完全にパージしなくてもよい。処理室201内に残留するガスが微量であれば、その後に行われるステップS206において悪影響が生じることはない。このとき処理室201内に供給するN2ガスの流量も大流量とする必要はなく、例えば反応管203(処理室201)の容積と同程度の量を供給することで、ステップS206において悪影響が生じない程度のパージを行うことができる。このように、処理室201内を完全にパージしないことで、パージ時間を短縮し、スループットを向上させることができる。また、N2ガスの消費も必要最小限に抑えることが可能となる。
次のステップS206では、NH3が供給される。
すなわち、第2のガス供給管410にNH3を、キャリアガス供給管430にキャリアガス(N2)を流す。この際、第2のガス供給管410のバルブ418、キャリアガス供給管430のバルブ434、450、及び排気管231のAPCバルブ243を共に開ける。キャリアガスは、キャリアガス供給管430から流れ、マスフローコントローラ432により流量調整される。NH3は、第2のガス供給管410から流れ、マスフローコントローラ416により流量調整され、流量調整されたキャリアガスを混合し、第2のノズル414のガス供給孔414aから処理室201内に供給され、ウエハ200の表面上を水平方向に向かって流れ、排気管231から排気される。このとき、処理室201内におけるガスの主たる流れは水平方向、すなわち、ウエハ200の表面と平行な方向に向かう流れとなる。また、NH3を流すときは、APCバルブ243を適正に調節して処理室201内圧力を50〜1000Paの範囲であって、例えば60Paに維持する。マスフローコントローラ416で制御するNH3の供給流量は、例えば1〜10slmである。NH3にウエハ200を晒す時間は、例えば10〜30秒間である。このときのヒータ207の温度は、300℃〜550℃の範囲の所定の温度であって、例えば450℃になるよう設定してある。
このステップS206において、第1のガス供給管310の途中につながっているキャリアガス供給管330から、バルブ334を開けて不活性ガスを流すと、TiCl4側にNH3が回り込むことを防ぐことができる。また、第3のガス供給管510の途中につながっているキャリアガス供給管530から、バルブ534を開けて不活性ガスを流すと、O2側にNH3が回り込むことを防ぐことができる。
このステップS206においては、NH3の供給により、ウエハ200上でTiCl4とNH3が反応して、ウエハ200上に窒化チタン(TiN)膜が成膜される。
次のステップS208では、残留ガスが除去される。
すなわち、第2のガス供給管410のバルブ418を閉めて、NH3の供給を止める。また、排気管231のAPCバルブ243は開いたままにし、真空ポンプ246により、処理室201を20Pa以下に排気し、残留NH3を処理室201から排除する。なお、このとき、処理室201内に残留するガスを完全に排除しなくてもよく、処理室201内を完全にパージしなくてもよい。処理室201内に残留するガスが微量であれば、その後に行われるステップS210において悪影響を生じることはない。このとき処理室201内に供給するN2ガスの流量も大流量とする必要はなく、例えば反応管203(処理室201)の容積と同程度の量を供給することで、ステップS210において悪影響が生じない程度のパージを行うことができる。このように、処理室201内を完全にパージしないことで、パージ時間を短縮し、スループットを向上させることができる。また、N2ガスの消費も必要最小限に抑えることが可能となる。
次のステップS210では、O2が供給される。
すなわち、第3のガス供給管510にO2を、キャリアガス供給管530にキャリアガス(N2)を流す。この際、第5のガス供給管510のバルブ518、550、キャリアガス供給管530のバルブ534、550、及び排気管231のAPCバルブ243を共に開ける。キャリアガスは、キャリアガス供給管530から流れ、マスフローコントローラ532により流量調整される。O2は、第3のガス供給管510から流れ、マスフローコントローラ516により流量調整され、流量調整されたキャリアガスを混合し、第3のノズル514のガス供給孔514aから処理室201内に供給され、ウエハ200の表面上を水平方向に向かって流れ、排気管231から排気される。このとき、処理室201内におけるガスの主たる流れは水平方向、すなわちウエハ200の表面と平行な方向に向かう流れとなる。O2を流すときは、APCバルブ243を適正に調節して処理室201内圧力を50〜1000Paの範囲であって、例えば60Paに維持する。
このステップS210において、第1のガス供給管310の途中につながっているキャリアガス供給管330からバルブ334を開けて不活性ガスを流すと、TiCl4側にO2が回り込むことを防ぐことができる。また、第2のガス供給管410の途中につながっているキャリアガス供給管430から、バルブ434を開けて不活性ガスを流すと、NH3側にO2が回り込むことを防ぐことができる。
このステップS210においては、O2の供給によって、窒化チタン(TiN)膜にO2が供給されて、基板にチタン酸窒化膜(TiON)が形成される。チタン酸窒化膜は、窒化チタン膜と比較して仕事関数が高い。このため、例えばRu(ルテニウム)等のTiよりも仕事関数が高いものの、高額である材料を用いずに比較的に仕事関数が高い膜を形成することができる。
次のステップS212では、残留ガスが除去される。
すなわち、第3のガス供給管510のバルブ518を閉めて、O2の供給を止める。また、排気管231のAPCバルブ243は開いたままにし、真空ポンプ246により、処理室201を20Pa以下に排気し、残留O2を処理室201から排除する。なお、このとき、処理室201内に残留するガスを完全に排除しなくてもよく、処理室201内を完全にパージしなくてもよい。処理室201内に残留するガスが微量であれば、その後に次のガス供給ステップを行う場合においても悪影響を生じることはない。このとき処理室201内に供給するN2ガスの流量も大流量とする必要はなく、例えば反応管203(処理室201)の容積と同程度の量を供給することで、次のガス供給ステップを行う場合においても悪影響が生じない程度のパージを行うことができる。このように、処理室201内を完全にパージしないことで、パージ時間を短縮し、スループットを向上させることができる。また、N2ガスの消費も必要最小限に抑えることが可能となる。
次のステップS220では、ステップS202からステップS212までの一連のステップが、予め定められた所定回数が実施されたか否かが判別され、予め定められた所定回数が実施されたと判別された場合、次のステップS302に進み、予め定められた所定回数が実施されていないと判別された場合、ステップS202に戻る。
以上で説明をしたステップS202からステップS212まで工程を有する成膜工程においてチタン酸窒化膜が形成される。
次のステップS302では、処理室201がパージされる。
すなわち、所定膜厚のチタン酸窒化膜を形成する成膜処理がなされると、N2ガス等の不活性ガスが処理室201内へ供給されつつ排気されることで処理室201内が不活性ガスでパージされる(パージ)。
次のステップS304では、大気圧復帰がなされる。
すなわち、処理室201内の雰囲気が不活性ガスに置換され、処理室201内の圧力が常圧に復帰される(大気圧復帰)。
次のステップS306では、ボートアンロードがなされる。
すなわち、ボートエレベータ115によりシールキャップ219が下降されて、反応管203の下端が開口されるとともに、処理済のウエハ200がボート217に支持された状態で反応管203の下端から反応管203の外部に搬出(ボートアンロード)される。
次のステップS308では、ウエハディスチャージがなされる。
すなわち、処理済のウエハ200はボート217より取り出される(ウエハディスチャージ)。以上により1回の成膜処理(バッチ処理)が終了する。なお、成膜処理が終了した後、反応管203内に付着した副生成物の量に応じて適宜クリーニングガスを供給してガスクリーニングを行ってもよい。
図7は、成膜工程におけるチタン酸窒化膜の成膜シーケンスを示すタイミングチャートである。このシークエンスは、上述のステップS202からステップS220に相当するものである。
図7に示すように、成膜工程では、金属含有ガスであるTiCl4ガスを供給する工程と、窒素含有ガスであるNH3ガスを供給する工程と、酸素含有ガスであるO2ガスを供給する工程とを1サイクルとして、このサイクルが複数回繰り返される。ここで、サイクル数としては、例えば、成膜速度が1Å/サイクルとすると、20Åの膜を形成する場合、20サイクル行う等、形成する膜の膜厚に応じて定められる。
図8は、本実施形態に係る成膜工程における成膜シーケンスの第1の変形例を示すタイミングチャートである。
先述の本実施形態に係る成膜工程におけるチタン酸窒化膜の成膜シーケンスでは、金属含有ガスであるTiCl4ガスを供給する工程と、窒素含有ガスであるNH3ガスを供給する工程と、酸素含有ガスであるO2ガスを供給する工程とを1サイクルとして、このサイクルが複数回繰り返された。これに対して、この第1の変形例においては、金属含有ガスであるTiCl4ガスを供給する工程と、窒素含有ガスであるNH3ガスを供給する工程とを1サイクルとして、このサイクルが複数回(この第1の変形例においては3回)行われ、その後に、酸素含有ガスであるO2ガスが供給する工程が行われる。
そして、この第1の変形例では、金属含有ガスであるTiCl4ガスを供給する工程、及び窒素含有ガスであるNH3ガスを供給する工程を1サイクルとして、このサイクルが複数回(この第1の変形例においては3回)行われ、その後に、酸素含有ガスであるO2ガスを供給するとの一連の工程を1サイクルとして、この一連の工程からなるサイクルが複数回、繰り返される。
成膜工程における成膜シーケンスの第1の変形例は、コントローラ900が、基板処理装置101の各部材を制御することで実現される。
図9は、本実施形態に係る成膜工程における成膜シーケンスの第2の変形例を示すタイミングチャートである。
先述の本実施形態に係る成膜工程におけるチタン酸窒化膜の成膜シーケンスでは、金属含有ガスであるTiCl4ガスを供給する工程と、窒素含有ガスであるNH3ガスを供給する工程と、酸素含有ガスであるO2ガスを供給する工程とを1サイクルとして、このサイクルが複数回繰り返された。これに対して、この第2の変形例においては、金属含有ガスであるTiCl4ガスを供給する工程と、窒素含有ガスであるNH3ガスを供給する工程とを1サイクルとして、このサイクルが複数回(この第1の変形例においては20回)行われ、その後に、酸素含有ガスであるO2ガスを供給する工程が行われ、一連の成膜工程が終了する。
成膜工程における成膜シーケンスの第2の変形例は、コントローラ900が、基板処理装置101の各部材を制御することで実現される。
図10は、本実施形態に係る成膜工程における成膜シーケンスの第3の変形例を示すタイミングチャートである。
先述の本実施形態に係る成膜工程におけるチタン酸窒化膜の成膜シーケンスでは、金属含有ガスであるTiCl4ガスを供給する工程と、窒素含有ガスであるNH3ガスを供給する工程と、酸素含有ガスであるO2ガスを供給する工程とを1サイクルとして、このサイクルが複数回繰り返された。これに対して、この第3の変形例においては、金属含有ガスであるTiCl4ガスを供給する工程と、窒素含有ガスであるNH3ガスを供給する工程とは、酸素含有ガスであるO2ガスを供給する工程を行いつつ行われている。
また、この第3の変形例においては、金属含有ガスであるTiCl4ガスを供給する工程と、窒素含有ガスであるNH3ガスを供給する工程とを1サイクルとして、このサイクルが複数回(この第3の変形例においては20回)行われる。
成膜工程における成膜シーケンスの第3の変形例は、コントローラ900が、基板処理装置101の各部材を制御することで実現される。
図11は、本実施形態に係る成膜工程におけるチタン酸窒化膜の成膜シーケンスを示すタイミングチャートである。
図11に示すように、第4の変形例では、金属含有ガスであるTiCl4ガスを供給する工程と、窒素含有ガスであるNH3ガスを供給する工程とが、酸素含有ガスであるO2ガスを供給する工程を行いつつ行われている。また、この第4の変形例では、金属含有ガスであるTiCl4ガスを供給する工程と、窒素含有ガスであるNH3ガスを供給する工程とが同時に行われるタイミングを有している。なお、同時とはTiCl4ガス、NH3ガス、O2ガスが処理室201内へ共に供給されている期間があればよく、供給開始及び供給停止のタイミングは同じでなくてもよい。
例えば、図11では、金属含有ガスであるTiCl4ガスの供給する工程と、窒素含有ガスであるNH3ガスを供給する工程と、酸素含有ガスであるO2ガスを供給する工程が略同時に開始され、金属含有ガスであるTiCl4ガスの供給する工程が終了した後に、窒素含有ガスであるNH3ガスを供給する工程が終了し、窒素含有ガスであるNH3ガスを供給する工程が終了した後に酸素含有ガスであるO2ガスを供給する工程が終了する。
図12は、成膜工程におけるチタン酸窒化膜の成膜シーケンスの第5の変形例を示すタイミングチャートである。第5の変形例では、金属含有ガスであるTiCl4ガスを供給する工程と、窒素含有ガスであるNH3ガスを供給する工程と、酸素含有ガスであるO2ガスを供給する工程とを1サイクルとして、このサイクルが複数回繰り返される。
また、この変形例においては、金属含有ガスであるTiCl4ガスを供給する工程と、窒素含有ガスであるNH3ガスを供給する工程とが略同時に開始され、金属含有ガスであるTiCl4ガスを供給する工程が終了した後に、窒素含有ガスであるNH3ガスを供給する工程が終了する。
図13には、第1のガス供給系300の第1の変形例が示されている。
先述の第1の実施形態に係る第1のガス供給系300は、第1のガス供給管310と、バブラ700と、ガス供給管310のバブラ700よりも上流側に配置されたバルブ318と、キャリアガス供給管360と、キャリアガス供給管360に装着されたマスフローコントローラ362と、キャリアガス供給管360に装着されたバルブ364とを有していた。これに対して、この第1の変形例に係る第1のガス供給系300は、第1の実施形態に係る第1のガス供給系300が有する各構成に加えて、マスフローコントローラ370と、バルブ372とを有している。
マスフローコントローラ370は、第1のガス供給管310のバルブ318よりも下流側に装着されている。また、バルブ372は、第1のガス供給管310のマスフローコントローラ370よりも下流側に装着されている。マスフローコントローラ370とバルブ372とは、コントローラ900によって制御される。また、供給するTiCl4ガスの流量を制御する際には、マスフローコントローラ370がマスフローコントローラ362に優先して制御される。
この第1のガス供給系300の第1の変形例は、以上で説明をした以外の構成は、先述の第1の実施形態における第1のガス供給系300と同一である。同一部分については説明を省略する。
図14には、第1のガス供給系300の第2の変形例が示されている。
この第2の変形例に係る第1のガス供給系300は、第1の実施形態に係る第1のガス供給系300が有する各構成に加えてバルブ372を有し、マスフローコントローラ362に替えて、マスフローコントローラ370を有している。
マスフローコントローラ370は、第1のガス供給管310のバルブ318よりも下流側に装着されている。また、バルブ372は、第1のガス供給管310のマスフローコントローラ370よりも下流側に装着されている。マスフローコントローラ370とバルブ372とは、コントローラ900によって制御される。また、供給するTiCl4ガスの流量を制御する際には、マスフローコントローラ370が制御される。
この第1のガス供給系300の第2の変形例は、以上で説明をした以外の構成は、先述の第1の実施形態における第1のガス供給系300と同一である。同一部分については説明を省略する。
図15には、第1のガス供給系300の第3の変形例が示されている。
この第3の変形例に係る第1のガス供給系300は、第1の実施形態に係る第1のガス供給系300が有する各構成に加えて、マスフローコントローラ370と、バルブ372と、ベント管376とを有している。
マスフローコントローラ370は、第1のガス供給管310のバルブ318よりも下流側に装着されている。また、バルブ372は、第1のガス供給管310のマスフローコントローラ370よりも下流側に装着されている。マスフローコントローラ370とバルブ372とは、コントローラ900によって制御される。また、供給するTiCl4ガスの流量を制御する際には、マスフローコントローラ370がマスフローコントローラ362に優先して制御される。
ベント管376は、第1のガス供給管310のバルブ318よりも下流側であり、マスフローコントローラ370よりも上流側位置から分岐するようにして、第1のガス供給管310に接続されている。
この第1のガス供給系300の第3の変形例は、以上で説明をした以外の構成は、先述の第1の実施形態における第1のガス供給系300と同一である。同一部分については説明を省略する。
図16には、第1のガス供給系300の第4の変形例が示されている。
この第4の変形例に係る第1のガス供給系300は、第1の実施形態に係る第1のガス供給系300が有する各構成に加えて、マスフローコントローラ370と、バルブ372と、加熱槽380とを有している。
マスフローコントローラ370は、第1のガス供給管310のバルブ318よりも下流側に装着されている。また、バルブ372は、第1のガス供給管310のマスフローコントローラ370よりも下流側に装着されている。マスフローコントローラ370とバルブ372とは、コントローラ900によって制御される。また、供給するTiCl4ガスの流量を制御する際には、マスフローコントローラ370がマスフローコントローラ362に優先して制御される。
加熱槽380は、バブラ700を加熱するための加熱装置として用いられていて、温度が一定に保たれる恒温槽であり、バブラ700の周囲を囲い、収容容器702を加熱することで、収容容器702内に収容された液体のTiCl4を加熱し、液体のTiCl4が気化しやすいようにしている。
この第1のガス供給系300の第4の変形例は、以上で説明をした以外の構成は、先述の第1の実施形態における第1のガス供給系300と同一である。同一部分については説明を省略する。
図17には、第1のガス供給系300の第5の変形例が示されている。
先述の第1の実施形態に係る第1のガス供給系300は、液体原料を収容する収容容器702を有し、液体原料をバブリングにより気化させて原料ガスを生成させる気化部の一例として用いられているバブラ700を有していた。これに対して、この第5の変形例は、液体原料や、各種固体金属原料を溶媒に溶かしたものを気化させる気化器720を有している。気化器720は、原料ガスを生成させる気化部の一例として用いられている。
気化器720には、バルブ722と、液体用のマスフローコントローラ724とを介して収容容器726が接続されている。収容容器726には、液体原料や、各種固体金属原料を溶媒に溶かしたものが収容されていて、収容容器726からバルブ722及び液体マスフローコントローラを介して、気化器720に液体原料や、各種固体金属原料を溶媒に溶かしたものが供給される。
収容容器726には、キャリアガス供給管730が接続されていて、キャリアガス供給管730には、マスフローコントローラ732とバルブ734とが装着されている。
この第5の変形例においては、気化器720と、バルブ722と、液体用のマスフローコントローラ724と、マスフローコントローラ732と、バルブ734とは、コントローラ900によって制御される。
この第1のガス供給系300の第5の変形例は、以上で説明をした以外の構成は、先述の第1の実施形態における第1のガス供給系300と同一である。同一部分については説明を省略する。
図18には、第3のガス供給系500の変形例が示されている。
先述の第1の実施形態に係る第3のガス供給系500は、第3のガス供給管510の上流側の端部にO2(酸素)ガスの供給源(不図示)が接続されていて、このO2ガスの供給源から供給されるO2ガスを処理室201に供給する酸素含有ガスとして用いていた。これに対して、この変形例においては、第3のガス供給管510の上流側の端部にバブラ570が装着されていて、バブラ570から供給される水蒸気を酸素含有ガスとして用いている。
バブラ570は、H2O(水)を収容する収容容器572を有し、水をバブリングにより気化させて水蒸気を発生させる。収容容器572は密閉容器であって、収容容器572内には、H2Oが収容されている。収容容器572には、キャリアガス供給管576が接続されていて、キャリアガス供給管576には、マスフローコントローラ578とバルブ580とが装着されている。
この変形例においては、第3のガス供給管510のバルブ518が装着された位置よりも上流側にマスフローコントローラ592が装着され、第3ガス供給管510のマスフローコントローラ592が装着された位置よりも上流側にバルブ594が装着されている。マスフローコントローラ578と、バルブ580と、マスフローコントローラ592と、バルブ594とは、コントローラ900によって制御される。
この第3のガス供給系500の変形例は、以上で説明をした以外の構成は、先述の第1の実施形態における第3のガス供給系500と同一である。同一部分については説明を省略する。
以上で説明をした各変形例は、組み合わせて用いることができる。
すなわち、第1の成膜工程のシークエンスの第1乃至第3の変形例のいずれかと、第2の成膜工程のシークエンスの変形例と、第1のガス供給系の第1乃至第5の変形例のいずれかと、第3のガス供給系の変形例とを組み合わせて用いることができる。
図19には、本発明の第2の実施形態に係る基板処理装置101が有する第1のガス供給系、及び第2のガス供給系が模式的に示される。
先述の第1の実施形態に係る基板処理装置101は、第1のガス供給系300と、第2のガス供給系400と、第3のガス供給系500とを有し、第1のガス供給系300が処理室201に金属含有ガスを供給する第1のガス供給系の一例として用いられ、第2のガス供給系400が処理室201に窒素含有ガスを供給する第2のガス供給系の一例として用いられていて、第3のガス供給系500が処理室201に酸素含有ガス又はハロゲン含有ガスを供給する第3のガス供給系に一例として用いられていた。これに対して、この第2の実施形態においては、第1のガス供給系300が、処理室201に金属含有ガスを供給する第1のガス供給系の一例として用いられているとともに、処理室201に酸素含有ガス又はハロゲン含有ガスを供給する第3のガス供給系としても用いられている。また、この第2の実施形態においては、第2のガス供給系400が、処理室201に窒素含有ガスを供給する第2のガス供給系の一例として用いられているとともに、処理室201に酸素含有ガス又はハロゲン含有ガスを供給する第3のガス供給系としても用いられている。
この第2の実施形態においては、第1のガス供給系300は、先述の第1の実施形態における第1のガス供給系300が有する構成に加えて、酸素供給管390と、マスフローコントローラ392と、バルブ394とを有する。酸素供給管390は、下流側の端部がキャリアガス供給管330を介して、第1のガス供給管310に接続されている。また、酸素供給管390の上流側の端部には、O2(酸素)ガスの供給源(不図示)が接続されている。
マスフローコントローラ392は、供給するO2(酸素)ガスの流量を調整するために用いられていて、酸素供給管390に装着されている。バルブ394は、O2(酸素)ガスの供給を停止させ、開始させるために用いられていて、酸素供給管390のマスフローコントローラ392が装着された位置よりも下流側に装着されている。
また、この第2の実施形態においては、第2のガス供給系400は、先述の第1の実施形態における第2のガス供給系400が有する構成に加えて、酸素供給管490と、マスフローコントローラ492と、バルブ494とを有する。酸素供給管490は、下流側の端部がキャリアガス供給管430を介して、第4のガス供給管410に接続されている。また、酸素供給管490の上流側の端部には、O2(酸素)ガスの供給源(不図示)が接続されている。
マスフローコントローラ492は、供給するO2(酸素)ガスの流量を調整するために用いられていて、酸素供給管490に装着されている。バルブ494は、O2(酸素)ガスの供給を停止させ、開始させるために用いられていて、酸素供給管490のマスフローコントローラ492が装着された位置よりも下流側に装着されている。マスフローコントローラ392と、バルブ394と、マスフローコントローラ492と、バルブ494とは、コントローラ900によって制御される。
また、この本発明の第2の実施形態に係る基板処理装置101においては、第1のガス供給系300として、先述の第1の実施形態における第1のガス供給系300の第1乃至第5の変形例のいずれかを用いることができる。
この第2の実施形態に係る基板処理装置101は、以上で説明をした以外構成は先述の第1の実施形態に係る基板処理装置101と同一である。同一部分についての説明は省略する。
図20には、本発明の第2の実施形態に係る基板処理装置101が有する第1のガス供給系300、及び第2のガス供給系400の第1の変形例が模式的に示されている。
この第1の変形例においては、先述の本発明の第2の実施形態における第1のガス供給系、及び第2のガス供給系が有する構成を有することに加えて、キャリアガス供給管330に、他の部分よりも内径の大きい大径部331が形成されている。大径部331は、キャリアガス供給管330の酸素供給管390が接続された位置よりも下流側に形成されていて、キャリアガスとO2ガスとが大径部331を通過することで、キャリアガスにO2ガスが均一に拡散された状態となる。
また、この第1の変形例においては、先述の本発明の第2の実施形態における第1のガス供給系、及び第2のガス供給系が有する構成を有することに加えて、キャリアガス供給管430に、他の部分よりも内径の大きい大径部431が形成されている。大径部431は、キャリアガス供給管430の酸素供給管490が接続された位置よりも下流側に形成されていて、キャリアガスとO2ガスとが大径部431を通過することで、キャリアガスにO2ガスが均一に拡散された状態となる。
この第1のガス供給系300、第2のガス供給系400の第1の変形例は、以上で説明をした以外の部分の構成が先述の第2の実施形態と同一である。同一部分についての説明は省略する。
図21には、本発明の第2の実施形態に係る基板処理装置101が有する第1のガス供給系300、及び第2のガス供給系400の第2の変形例が模式的に示されている。
先述の第2の実施形態に係る第1のガス供給系300と、第2のガス供給系400とにおいては、酸素供給管390と酸素供給管490との上流側の端部にO2(酸素)ガスの供給源(不図示)がそれぞれに接続されていて、これらのO2ガスの供給源から供給されるO2ガスを処理室201に供給する酸素含有ガスとして用いていた。これに対して、この第2の変形例においては、酸素供給管390と酸素供給管490との上流側の端部に1つのバブラ600が装着されていて、バブラ600から供給される水蒸気を酸素含有ガスとして用いている。
バブラ600は、H2O(水)を収容する収容容器602を有し、水をバブリングにより気化させて水蒸気を発生させる。収容容器602は密閉容器であって、収容容器602内には、H2Oが収容されている。収容容器602には、キャリアガス供給管620が接続されていて、キャリアガス供給管620には、マスフローコントローラ622とバルブ624とが装着されている。
また、この第2の変形例においては、酸素供給管390と酸素供給管490とが上流側で連結されていて、この連結された部分にバルブ606が装着されているとともに、この連結された部分がバブラ600に連結している。バルブ606と、バルブ624と、マスフローコントローラ622とは、コントローラ900によって制御される。
この第1のガス供給系300、第2のガス供給系400の第2の変形例は、以上で説明をした以外の部分の構成が先述の第2の実施形態と同一であるので、同一部分についての説明を省略する。
以上で説明をした第1の実施形態、第2の実施形態、及びこれらの変形例においては、酸素含有ガスとして、O2ガスを用いる例と水蒸気を用いる例とを説明したが、O2ガスと水蒸気とに替えて、又はO2ガスと水蒸気と併せて、酸素含有ガスとして、例えば、NO、N2O、O3等を用いることができる。
また、以上で説明をした第1の実施形態、第2の実施形態、及びこれらの変形例においては、処理室201に酸素含有ガスを供給したが、処理室201に酸素含有ガスを供給することに替えて、ハロゲン含有ガスを処理室201に供給してもよい。処理室201に供給するハロゲン含有ガスとしては、例えばフッ素もしくは塩素を含有するガスを用いることができる。
酸素含有ガスに替えて、ハロゲン含有ガスを処理室201に供給することにより、導電性薄膜における電気抵抗の増加を抑制しつつ、導電性薄膜の電気陰性度を高くすることが可能となり、その結果、導電性薄膜の仕事関数を高くすることが可能となる。
また、酸素含有ガス又はハロゲン含有ガスの添加方法、供給時間、濃度を変更することにより、成膜された膜に含まれる酸素等の割合を所望の値となるよう制御することが可能となる。
[本発明の好ましい態様]
以下に、本発明の好ましい態様について付記する。
〔付記1〕
基板を収容した処理室に金属含有ガスを供給する工程と、
前記処理室に窒素含有ガスを供給する工程と、
前記処理室に金属含有ガスと窒素含有ガスとを供給した後に、前記処理室に酸素含有ガス又はハロゲン含有ガスを供給する工程と、
を有し、基板に金属含有膜を形成する半導体装置の製造方法。
〔付記2〕
前記金属含有ガスを供給する工程と、前記窒素含有ガスを供給する工程と、前記酸素含有ガス又はハロゲン含有ガスを供給する工程とを1サイクルとして、このサイクルが複数回繰り返される付記1記載の半導体装置の製造方法。
〔付記3〕
前記処理室に残留したガスを前記処理室から除去する工程をさらに有し、
前記除去する工程は、前記金属含有ガスを供給する工程の後であって前記窒素含有ガスを供給する工程の前、前記窒素含有ガスを供給する工程の後であって前記酸素含有ガス又はハロゲン含有ガスを供給する工程の前、及び酸素含有ガス又はハロゲン含有ガスを供給する工程の後であって前記金属含有ガスを供給する工程の前の少なくとも1つに行われる付記2記載の半導体装置の製造方法。
〔付記4〕
前記金属含有ガスを供給する工程と前記窒素含有ガスを供給する工程とを1サイクルとして、このサイクルが複数回行われ、その後に、前記酸素含有ガス又はハロゲン含有ガスを供給する工程が行われる付記1記載の半導体装置の製造方法。
〔付記5〕
前記処理室に残留したガスを前記処理室から除去する工程をさらに有し、
前記除去する工程は、前記金属含有ガスを供給する工程の後であって前記窒素含有ガスを供給する工程の前、前記窒素含有ガスを供給する工程の後であって前記酸素含有ガス又はハロゲン含有ガスを供給する工程の前、及び酸素含有ガス又はハロゲン含有ガスを供給する工程の後の少なくとも1つに行われる付記4記載の半導体装置の製造方法。
〔付記6〕
基板を収容した処理室に金属含有ガスを供給する工程と、
前記処理室に窒素含有ガスを供給する工程と、
前記処理室に酸素含有ガス又はハロゲン含有ガスを供給する工程と、
を有し、
前記金属含有ガスを供給する工程、及び前記窒素含有ガスを供給する工程の少なくともいずれか一方は、前記酸素含有ガス又はハロゲン含有ガスを供給する工程を行いつつ行われる基板に金属含有膜を形成する半導体装置の製造方法。
〔付記7〕
前記酸素含有ガス又はハロゲン含有ガスを供給する工程を行いつつ、前記金属含有ガスを供給する工程と前記窒素含有ガスを供給する工程とが交互に複数回行われる付記6記載の半導体装置の製造方法。
〔付記8〕
前記金属含有ガスを供給する工程と前記窒素含有ガスを供給する工程とが同時に行われるタイミングを有する付記6記載の半導体装置の製造方法。
〔付記9〕
前記金属含有ガスを供給する工程、前記窒素含有ガスを供給する工程、及び前記酸素含有ガス又はハロゲン含有ガスを供給する工程の少なくとも1つは、基板に形成される金属含有膜中に含まれる酸素又はハロゲンの組成比が予め定められた値となるように制御される付記1乃至8いずれか記載の半導体装置の製造方法。
〔付記10〕
前記酸素含有ガス又はハロゲン含有ガスを供給する工程は、基板に形成される金属含有膜中に含まれる酸素又はハロゲンの組成比が予め定められた値となるように、酸素含有ガス又はハロゲン含有ガスの供給量が制御される付記9記載の半導体装置の製造方法。
〔付記11〕
基板を収容する処理室と、
前記処理室に金属含有ガスを供給する第1のガス供給系と、
前記処理室に窒素含有ガスを供給する第2のガス供給系と、
前記処理室に酸素含有ガス又はハロゲン含有ガスを供給する第3のガス供給系と、
前記第1のガス供給系、前記第2のガス供給系、及び前記第3のガス供給系を制御する制御部と、
を有し、
前記制御部は、前記第1のガス供給系、前記第2のガス供給系、及び前記第3のガス供給系を、基板上に形成される金属含有膜に含まれる酸素又はハロゲンの組成比が予め定められた値となるように制御する基板処理装置。
〔付記12〕
前記制御部は、
前記処理室への金属含有ガスの供給と、前記処理室への窒素含有ガスの供給と、前記処理室への酸素含有ガス又はハロゲン含有ガスの供給とを1サイクルとして、このサイクルを複数回繰り返させるように前記第1のガス供給系、前記第2のガス供給系、及び前記第3のガス供給系を制御し、
基板に形成される金属含有膜中に含まれる酸素又はハロゲンの組成比が予め定められた値となるように、前記第3のガス供給系による前記処理室への酸素含有ガス又はハロゲン含有ガスの供給量を制御する付記11記載の基板処理装置。
〔付記13〕
前記第3のガス供給系は、
酸素含有ガス又はハロゲン含有ガスの流量を制御する流量制御機構と、
前記流量制御機構と前記処理室との間に設けられた開閉弁と、
を有する付記12記載の基板処理装置。
〔付記14〕
基板を収納した処理室に、基板に薄膜を形成するための金属材料を供給する金属材料供給工程と、
前記処理室に、金属材料に対して還元及び窒化の少なくともいずれか一方の処理をするため第1の原料を供給する第1の供給工程と、
前記処理室に、金属材料に対して酸化及びハロゲン化の少なくともいずれか一方の処理をするための第2の原料を供給する第2の供給工程と、
を有し、
前記第2の供給工程は、基板に形成される薄膜に導入される酸素又はハロゲンの導入量が予め定められた値となるように第2の原料の流量が制御される薄膜形成方法。
〔付記15〕
前記第2の供給工程は、
バルブを用いて前記処理室への第2の原料の供給を可能な状態とする工程と、
前記バルブを用いて前記処理室への第2の原料の供給を停止させる工程と、
を有する付記14記載の薄膜形成方法。
〔付記16〕
前記第2の供給工程は、第2の原料の流量が、前記第2の供給工程で供給される他の材料の流量とは独立して制御される付記14又は15記載の薄膜形成方法。
〔付記17〕
前記第1の供給工程においては、第1の原料が不活性ガスに混合されて供給され、
前記第2の供給工程においては、第2の原料が不活性ガスに混合されて供給される付記14乃至16いずれか記載の薄膜形成方法。
〔付記18〕
前記第1の原料と不活性ガスとの混合を均一にする工程と、
前記第2の原料と不活性ガスとの混合を均一にする工程と、
をさらに有する付記17記載の薄膜形成方法。
〔付記19〕
前記第2の供給工程は、水収容部に収容された水に気泡を発生させる気泡発生工程、及び前記水収容部に収容された水を気化させる気化工程の少なくともいずれか一方をさらに有する付記14乃至18いずれか記載の薄膜形成方法。
〔付記20〕
前記金属材料供給工程は、金属材料収容部に収容された液体からなる金属材料をキャリアガスに混合する工程、前記金属材料収容部に収容された液体からなる金属材料を加熱する工程、及び前記金属材料収容部に収容された液体からなる金属材料を気化させる工程の少なくとも1つを有する付記14乃至19いずれか記載の薄膜形成装置。
〔付記21〕
基板を収容する処理室と、
前記処理室に、基板に薄膜を形成するための金属材料を供給する金属材料供給系と、
金属材料に対して還元又は窒化の少なくともいずれか一方の処理をするため第1の原料を前記処理室に供給する第1の供給系と、
金属材料に対して酸化及びハロゲン化の少なくともいずれか一方の処理をするための第2の原料を供給する第2の供給系と、
を有し、
前記第2の供給系は、第2の原料の流量を制御する流量制御機構を有し、
前記流量制御機構は、基板に形成される薄膜に導入される酸素又はハロゲンの導入量が予め定められた値となるように第2の原料の流量を制御する薄膜形成装置。
〔付記22〕
前記第2の供給系は、前記処理室への第2の原料の供給を可能な状態とし、前記処理室への第2の原料の供給を停止させるバルブをさらに有する付記21記載の薄膜形成装置。
〔付記23〕
前記流量制御機構は、第2の原料の流量を、前記第2の供給系で供給される他の材料の流量と独立して制御する付記21又は22記載の薄膜形成装置。
〔付記24〕
基板を収容する処理室と、
前記処理室に、基板に薄膜を形成するための金属材料を供給する金属材料供給系と、
金属材料に対して還元又は窒化の少なくともいずれか一方の処理をするため第1の原料を前記処理室に供給する第1の供給系と、
を有し、
前記金属材料供給系は、当該金属材料供給系に不活性ガスを供給する第1の不活性ガス供給管を有し、
前記第1の供給系は、当該第1の供給系に不活性ガスを供給する第2の不活性ガス供給管を有し、
前記第1の不活性ガス供給管及び前記第2の不活性ガス供給管の少なくともいずれか一方に、金属材料に対して酸化及びハロゲン化の少なくともいずれか一方の処理をするための第2の原料を供給する第2の供給系を有し、
前記第2の供給系は、第2の原料の流量を制御する流量制御機構を有し、
前記流量制御機構は、基板に形成される薄膜に導入される酸素又はハロゲンの導入量が予め定められた値となるように第2の原料の流量を制御する薄膜形成装置。
〔付記25〕
前記第1の不活性ガス供給管は、他の部分よりも内径が大きい第1の大径部を有し、
前記第2の不活性ガス供給管は、他の部分よりも内径が大きい第2の大径部を有する付記24記載の薄膜形成装置。
〔付記26〕
前記第2の供給系は、
水を収容する水収容部と、
前記水収容部に収容された水に気泡を発生させる気泡発生装置、及び前記水収容部に収容された水を気化させる気化装置の少なくともいずれか一方と、
をさらに有する付記21乃至25いずれか記載の薄膜形成装置。
〔付記27〕
前記金属材料供給系は、
液体からなる金属材料を収容する金属材料収容部と、
前記金属材料収容部に収容された金属材料をキャリアガスに混合するための混合装置、前記金属材料収容部に収容された金属材料を加熱するための加熱装置、及び前記金属材料収容部に収容された金属材料を気化させるための気化装置の少なくとも1つと、
を有する付記21乃至26いずれか記載の薄膜形成装置。
〔付記28〕
基板を収容した処理室に金属含有ガスを供給する工程と、
前記処理室に窒素含有ガスを供給する工程と、
前記処理室に酸素含有ガス又はハロゲン含有ガスを供給する工程と、
を含むサイクルを複数回行うことで、基板に金属含有膜を形成する半導体装置の製造方法。
〔付記29〕
基板を収容した処理室に金属含有ガスを供給する工程と、
前記処理室に窒素含有ガスを供給する工程と、
を含むサイクルを複数回行うことで、基板に金属窒化膜を形成した後、
前記処理室に酸素含有ガス又はハロゲン含有ガスを供給する工程を行い、前記金属窒化膜に酸素を添加する半導体装置の製造方法。
〔付記30〕
基板を収容した処理室に金属含有ガスを供給する工程と、
前記処理室に窒素含有ガスを供給する工程と、
前記処理室に酸素含有ガス又はハロゲン含有ガスを供給する工程と、
を含むサイクルを複数回行い、
前記金属含有ガスを供給する工程、及び前記窒素含有ガスを供給する工程の少なくともいずれか一方は、前記酸素含有ガス又はハロゲン含有ガスを供給する工程を行いつつ行われる基板に金属含有膜を形成する半導体装置の製造方法。
〔付記31〕
基板を収容する処理室と、
前記処理室に金属含有ガスを供給する第1のガス供給系と、
前記処理室に窒素含有ガスを供給する第2のガス供給系と、
前記処理室に酸素含有ガス又はハロゲン含有ガスを供給する第3のガス供給系と、
前記第1のガス供給系、前記第2のガス供給系、及び前記第3のガス供給系を制御する制御部と、
を有し、
前記制御部は、前記第1のガス供給系、前記第2のガス供給系、及び前記第3のガス供給系を、基板上に形成される金属含有膜に含まれる酸素又はハロゲンの組成比が予め定められた値となるように制御する基板処理装置。
〔付記32〕
基板を収容した処理室に金属含有ガスを供給する工程と、
前記処理室に窒素含有ガスを供給する工程と、
前記処理室に酸素含有ガス又はハロゲン含有ガスを供給する工程と、
を含むサイクルを複数回行うことで、基板に金属含有膜を形成する基板処理方法。
〔付記33〕
コンピュータを、
第1のガス供給系を制御して金属含有ガスを、基板を収容した処理室に所定量供給し、
第2のガス供給系を制御して窒素含有ガスを前記処理室に所定量供給し、
第3のガス供給系を制御して酸素含有ガス又はハロゲン含有ガスを前記処理室に所定量供給し、
前記処理室を排気する排気系を制御して、前記処理室を所定の排気量で排気するように制御する、制御手段として機能させるプログラム。
〔付記34〕
付記33のプログラムを記録したコンピュータ読み取り可能な記録媒体。
101 基板処理装置
200 ウエハ
201 処理室
202 処理炉
300 第1のガス供給系
310 第1のガス供給管
400 第2のガス供給系
410 第2のガス供給管
500 第3のガス供給系
510 第3のガス供給管
900 コントローラ

Claims (9)

  1. 基板を収容した処理室に金属含有ガスを供給する工程と、
    前記処理室に窒素含有ガスを供給する工程と、
    前記処理室に酸素含有ガス又はハロゲン含有ガスを供給する工程と、
    を含むサイクルを複数回行うことで、基板に金属含有膜を形成する半導体装置の製造方法。
  2. 前記処理室に残留したガスを前記処理室から除去する工程をさらに有し、
    前記除去する工程は、前記金属含有ガスを供給する工程の後であって前記窒素含有ガスを供給する工程の前、前記窒素含有ガスを供給する工程の後であって前記酸素含有ガス又はハロゲン含有ガスを供給する工程の前、及び酸素含有ガス又はハロゲン含有ガスを供給する工程の後であって前記金属含有ガスを供給する工程の前の少なくとも1つに行われる請求項1記載の半導体装置の製造方法。
  3. 基板を収容した処理室に金属含有ガスを供給する工程と、
    前記処理室に窒素含有ガスを供給する工程と、
    を含むサイクルを複数回行うことで、基板に金属窒化膜を形成した後、
    前記処理室に酸素含有ガス又はハロゲン含有ガスを供給する工程を行い、前記金属窒化膜に酸素を添加する半導体装置の製造方法。
  4. 基板を収容した処理室に金属含有ガスを供給する工程と、
    前記処理室に窒素含有ガスを供給する工程と、
    前記処理室に酸素含有ガス又はハロゲン含有ガスを供給する工程と、
    を含むサイクルを複数回行い、
    前記金属含有ガスを供給する工程、及び前記窒素含有ガスを供給する工程の少なくともいずれか一方は、前記酸素含有ガス又はハロゲン含有ガスを供給する工程を行いつつ行われる基板に金属含有膜を形成する半導体装置の製造方法。
  5. 前記酸素含有ガス又はハロゲン含有ガスを供給する工程を行いつつ、前記金属含有ガスを供給する工程と前記窒素含有ガスを供給する工程とが交互に複数回行われる請求項4記載の半導体装置の製造方法。
  6. 前記金属含有ガスを供給する工程と前記窒素含有ガスを供給する工程とが同時に行われるタイミングを有する請求項5記載の半導体装置の製造方法。
  7. 前記金属含有ガスを供給する工程、前記窒素含有ガスを供給する工程、及び前記酸素含有ガス又はハロゲン含有ガスを供給する工程の少なくとも1つは、基板に形成される金属含有膜中に含まれる酸素又はハロゲンの組成比が予め定められた値となるように制御される請求項1〜6いずれか記載の半導体装置の製造方法。
  8. 基板を収容する処理室と、
    前記処理室に金属含有ガスを供給する第1のガス供給系と、
    前記処理室に窒素含有ガスを供給する第2のガス供給系と、
    前記処理室に酸素含有ガス又はハロゲン含有ガスを供給する第3のガス供給系と、
    前記第1のガス供給系、前記第2のガス供給系、及び前記第3のガス供給系を制御する制御部と、を有し、
    前記制御部は、前記第1のガス供給系、前記第2のガス供給系、及び前記第3のガス供給系を、基板上に形成される金属含有膜に含まれる酸素又はハロゲンの組成比が予め定められた値となるように制御する基板処理装置。
  9. 基板を収容した処理室に金属含有ガスを供給する工程と、
    前記処理室に窒素含有ガスを供給する工程と、
    前記処理室に酸素含有ガス又はハロゲン含有ガスを供給する工程と、
    を含むサイクルを複数回行うことで、基板に金属含有膜を形成する基板処理方法。
JP2012017827A 2011-02-18 2012-01-31 半導体装置の製造方法、基板処理装置、及び基板処理方法 Active JP5963456B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2012017827A JP5963456B2 (ja) 2011-02-18 2012-01-31 半導体装置の製造方法、基板処理装置、及び基板処理方法
KR1020120010221A KR20120095297A (ko) 2011-02-18 2012-02-01 반도체 장치의 제조 방법 및 기판 처리 장치
US13/398,523 US9045825B2 (en) 2011-02-18 2012-02-16 Method of forming metal-containing film
TW101105096A TWI497607B (zh) 2011-02-18 2012-02-16 半導體裝置之製造方法及基板處理裝置
US14/697,274 US9650715B2 (en) 2011-02-18 2015-04-27 Method of forming metal-containing film

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2011033243 2011-02-18
JP2011033243 2011-02-18
JP2012017827A JP5963456B2 (ja) 2011-02-18 2012-01-31 半導体装置の製造方法、基板処理装置、及び基板処理方法

Publications (2)

Publication Number Publication Date
JP2012184499A true JP2012184499A (ja) 2012-09-27
JP5963456B2 JP5963456B2 (ja) 2016-08-03

Family

ID=46653082

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012017827A Active JP5963456B2 (ja) 2011-02-18 2012-01-31 半導体装置の製造方法、基板処理装置、及び基板処理方法

Country Status (4)

Country Link
US (2) US9045825B2 (ja)
JP (1) JP5963456B2 (ja)
KR (1) KR20120095297A (ja)
TW (1) TWI497607B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016038660A1 (ja) * 2014-09-08 2016-03-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP2016098423A (ja) * 2014-11-25 2016-05-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9748104B2 (en) 2013-07-24 2017-08-29 Tokyo Electron Limited Method of depositing film
US20180012844A1 (en) * 2016-07-06 2018-01-11 Tokyo Electron Limited Ruthenium wiring and manufacturing method thereof
KR20180005607A (ko) * 2016-07-06 2018-01-16 도쿄엘렉트론가부시키가이샤 루테늄 배선 및 그 제조 방법

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
JP5963456B2 (ja) * 2011-02-18 2016-08-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及び基板処理方法
JP6017396B2 (ja) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
JP6755164B2 (ja) * 2016-11-14 2020-09-16 東京エレクトロン株式会社 TiN系膜およびその形成方法
WO2020194433A1 (ja) * 2019-03-25 2020-10-01 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
JP7273079B2 (ja) * 2021-02-15 2023-05-12 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラムおよび基板処理方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040077182A1 (en) * 2002-10-22 2004-04-22 Lim Jung-Wook Method for forming introgen-containing oxide thin film using plasma enhanced atomic layer deposition
US20060189154A1 (en) * 2005-02-23 2006-08-24 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
JP2009140955A (ja) * 2007-12-03 2009-06-25 Elpida Memory Inc キャパシタ用電極及びその製造方法、キャパシタ
WO2009143456A1 (en) * 2008-05-23 2009-11-26 Sigma-Aldrich Co. HIGH-K DIELECTRIC FILMS AND METHODS OF PRODUCING USING CERIUM-BASED β-DIKETONATE PRECURSORS
JP2010050425A (ja) * 2007-12-26 2010-03-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5728456A (en) * 1996-02-01 1998-03-17 Optical Coating Laboratory, Inc. Methods and apparatus for providing an absorbing, broad band, low brightness, antireflection coating
KR100319888B1 (ko) * 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
US6824825B2 (en) * 1999-09-13 2004-11-30 Tokyo Electron Limited Method for depositing metallic nitride series thin film
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
EP1485513A2 (en) * 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US7579771B2 (en) * 2002-04-23 2009-08-25 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and method of manufacturing the same
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US6936508B2 (en) * 2003-09-12 2005-08-30 Texas Instruments Incorporated Metal gate MOS transistors and methods for making the same
US7351656B2 (en) * 2005-01-21 2008-04-01 Kabushiki Kaihsa Toshiba Semiconductor device having oxidized metal film and manufacture method of the same
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US20090130331A1 (en) 2005-08-16 2009-05-21 Hitachi Kokusai Electric Inc. Method of Forming Thin Film and Method of Manufacturing Semiconductor Device
US7759746B2 (en) * 2006-03-31 2010-07-20 Tokyo Electron Limited Semiconductor device with gate dielectric containing aluminum and mixed rare earth elements
USRE46610E1 (en) * 2007-12-28 2017-11-14 Universitetet I Oslo Formation of a lithium comprising structure on a substrate by ALD
US8288274B2 (en) * 2008-04-21 2012-10-16 Hynix Semiconductor Inc. Method of forming noble metal layer using ozone reaction gas
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8945675B2 (en) * 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
WO2010116560A1 (ja) * 2009-03-30 2010-10-14 キヤノンアネルバ株式会社 半導体装置の製造方法及びスパッタ装置
JP2011034995A (ja) * 2009-07-29 2011-02-17 Elpida Memory Inc 半導体装置の製造方法及び半導体装置
JP5963456B2 (ja) * 2011-02-18 2016-08-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及び基板処理方法
US9330899B2 (en) * 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
JP5956972B2 (ja) * 2012-12-21 2016-07-27 東京エレクトロン株式会社 成膜方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040077182A1 (en) * 2002-10-22 2004-04-22 Lim Jung-Wook Method for forming introgen-containing oxide thin film using plasma enhanced atomic layer deposition
US20060189154A1 (en) * 2005-02-23 2006-08-24 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
JP2009140955A (ja) * 2007-12-03 2009-06-25 Elpida Memory Inc キャパシタ用電極及びその製造方法、キャパシタ
JP2010050425A (ja) * 2007-12-26 2010-03-04 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
WO2009143456A1 (en) * 2008-05-23 2009-11-26 Sigma-Aldrich Co. HIGH-K DIELECTRIC FILMS AND METHODS OF PRODUCING USING CERIUM-BASED β-DIKETONATE PRECURSORS

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9748104B2 (en) 2013-07-24 2017-08-29 Tokyo Electron Limited Method of depositing film
WO2016038660A1 (ja) * 2014-09-08 2016-03-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JPWO2016038660A1 (ja) * 2014-09-08 2017-05-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9905413B2 (en) 2014-09-08 2018-02-27 Hitachi Kokusai Electric, Inc. Method of manufacturing semiconductor device
JP2016098423A (ja) * 2014-11-25 2016-05-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9761456B2 (en) 2014-11-25 2017-09-12 Hitachi Kokusai Electric, Inc. Method of manufacturing semiconductor device and substrate processing apparatus
US20180012844A1 (en) * 2016-07-06 2018-01-11 Tokyo Electron Limited Ruthenium wiring and manufacturing method thereof
KR20180005607A (ko) * 2016-07-06 2018-01-16 도쿄엘렉트론가부시키가이샤 루테늄 배선 및 그 제조 방법
US10522467B2 (en) * 2016-07-06 2019-12-31 Tokyo Electron Limited Ruthenium wiring and manufacturing method thereof
KR102096143B1 (ko) * 2016-07-06 2020-04-01 도쿄엘렉트론가부시키가이샤 루테늄 배선 및 그 제조 방법

Also Published As

Publication number Publication date
KR20120095297A (ko) 2012-08-28
US9045825B2 (en) 2015-06-02
US9650715B2 (en) 2017-05-16
US20120214300A1 (en) 2012-08-23
TW201248736A (en) 2012-12-01
US20150225852A1 (en) 2015-08-13
JP5963456B2 (ja) 2016-08-03
TWI497607B (zh) 2015-08-21

Similar Documents

Publication Publication Date Title
JP5963456B2 (ja) 半導体装置の製造方法、基板処理装置、及び基板処理方法
JP5692842B2 (ja) 半導体装置の製造方法及び基板処理装置
JP5774822B2 (ja) 半導体デバイスの製造方法及び基板処理装置
JP5087657B2 (ja) 半導体装置の製造方法及び基板処理装置
JP5036849B2 (ja) 半導体装置の製造方法、クリーニング方法および基板処理装置
JP5787488B2 (ja) 半導体装置の製造方法及び基板処理装置
JP5518499B2 (ja) 半導体デバイスの製造方法および基板処理装置
TWI483313B (zh) 半導體裝置之製造方法及基板處理裝置
JP5805461B2 (ja) 基板処理装置および半導体装置の製造方法
JP5882509B2 (ja) 基板処理装置および半導体装置の製造方法
JP5718031B2 (ja) 基板処理装置および半導体装置の製造方法
JP6186022B2 (ja) 基板処理装置および半導体装置の製造方法
JP2012104719A (ja) 半導体装置の製造方法および基板処理装置
JP2012172171A (ja) 基板処理装置及び薄膜成膜方法
JP5421812B2 (ja) 半導体基板の成膜装置及び方法
JP7387685B2 (ja) 半導体装置の製造方法、基板処理方法、プログラム、および基板処理装置
JP5385439B2 (ja) 半導体装置の製造方法及び基板処理装置
JP2011151294A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140919

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150416

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150515

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150713

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20151127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160115

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160624

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160628

R150 Certificate of patent or registration of utility model

Ref document number: 5963456

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250