TW476996B - Semiconductor manufacturing method and semiconductor manufacturing apparatus - Google Patents

Semiconductor manufacturing method and semiconductor manufacturing apparatus Download PDF

Info

Publication number
TW476996B
TW476996B TW090103004A TW90103004A TW476996B TW 476996 B TW476996 B TW 476996B TW 090103004 A TW090103004 A TW 090103004A TW 90103004 A TW90103004 A TW 90103004A TW 476996 B TW476996 B TW 476996B
Authority
TW
Taiwan
Prior art keywords
reaction chamber
substrate
moisture
measuring device
reactive gas
Prior art date
Application number
TW090103004A
Other languages
English (en)
Inventor
Hiroyuki Hasegawa
Tomonori Yamaoka
Yoshio Ishihara
Hiroshi Masusaki
Original Assignee
Mitsubishi Material Silicon
Nippon Oxygen Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2000052519A external-priority patent/JP3592603B2/ja
Priority claimed from JP2000108563A external-priority patent/JP3636962B2/ja
Application filed by Mitsubishi Material Silicon, Nippon Oxygen Co Ltd filed Critical Mitsubishi Material Silicon
Application granted granted Critical
Publication of TW476996B publication Critical patent/TW476996B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing
    • Y10S438/908Utilizing cluster apparatus

Description

經濟部智慧財產局員工消費合作社印製 476996 , A7 % -------- B7 五、發明說明(1 ) [發明之背景] [發明之技術領域] 本發明係關於將反應性氣體(腐蝕性氣體)運用於例如 配置在反應室内之矽晶基板上,以進行磊晶生長等之半導 體製造方法。 [相關技術之說明] 用以將LSI等半導體電路形成於矽晶基板上,其製造 工程有時利用到··於表面進行矽晶薄膜磊晶生長之步驟·, 於Si〇2膜(氧化矽)形成圖案之矽晶基板w表面在露出石夕晶 區域選擇地進行矽晶膜磊晶生長之步驟;以電阻力極低之 矽晶基板做為MOS元件用基板,並在該基板上以預定之 雜質濃度進行單結晶矽晶膜(磊晶層)之汽相生長的步驟。 這些製造工程乃於反應室内配置矽晶基板並流入反應 氣體,以在基板上進行磊晶生長。 此外,在其他運用反應性氣體處理之製造工程中,也 會用到隨著反應性氣體之反應,而在基板上形成薄膜之各 種CVD步驟或形成微型圖案之蝕刻步驟等。 這些半導體製造裝置係利用超高純度之氣化氫及氨氣 之類的腐餘性氣體做為反應性氣體,然而當中儘管只含有 少量水分’便容易使使用於設備(反應室内部、氣體供應系 統、排氣系統)上之金屬物件產生腐蝕現象,而由於自金屬 部位產生之金屬(重金屬)會造成有害之污染源,因此必須 對反應室中之腐蝕性氣體中之水分進行高感度定量分析。 過去’為了調查製程條件與重金屬污染間之相關關係 il!ll!lil!ll — . I I I ----* —1T: —ili —--I (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國©家標準(CNS)A4規格(210 X 297公釐) 1 312274 476996 A7 --— B7____ 五、發明說明(2 ). 或反應條件與反應性氣體處理特性間之相關關係,除了於 製程後直接以化學性分析(原子吸光分析,放射化分析 等)、物理性分析(SIMS,TXRF等)以及電性分析(DLTS、 SPV、壽命周㈣)對製程監測晶圓進行解析並反饋其結 果。 近年來,在針對反應性氣體(腐蝕性氣體)中之水分濃 度之測定辦法上,如特開平5_99845號公報及特開平 183 3 66號公報均提出一種雷射水分測量計,該測量計乃利 用雷射光投射於連接在反應室内的管狀功能電路胞後所透 出之田射光吸收光譜加以測定。由於該雷射水分測量計可 用以進行非接觸性測定,因此亦可對反應性氣體進行高精 密度測定。基於此點,使得反應室内之水分濃度測定得以 在製程中進行。 經 濟 部 智 慧 財 產 局 員 工 消 費 合 社 印 製 以上所述過去之半導體製造技術上,尚存有以下課題 待以解決。即在實際的製程上,由於每一次製程中,其反 應至内的水分濃度並非一定,即使利用製程監測晶圓之解 析反饋做為條件設定,依然會因水分濃度之變動,使得運 用反應性氣體處理之製程特性產生參差現象。舉例而言, 在進行上述選擇蠢晶生長時,會產生含在以〇2膜内之水分 (吸著水分)自製程前之基板烘烤中脫離,而導致反應室内 水分濃度提高之現象發生。在此情況下,由於選擇磊晶生 長時之水分濃度增加,將進而影響選擇生長之選擇性及選 擇生長膜之特性。 此外,反應室内之水分不單只有自反應性氣體配管導
476996 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(3 ), 入之水分,有時也會來自於其他外部區域之侵入’由於這 種情況也會增加水分濃度’因此只測定反應室内之水分濃 度,將有礙於水分濃度變動原因之調查。此外,反應室内 K分須到達何種程度方能充分控制重金屬污染之 影響,關於此點也尚未明確。例如,在調查提供反應用之 排氣氣體中所含水分與壽命周期之間的關係時,如第9圖 所不’發現水分濃度愈少壽命周期則愈長’但壽命周期之 平均值(實線)與最大值(虛線)之間卻產生極大的差異。這是 因為基板表面上出現點狀重金屬污染所致。 [發明之概述] 有鑑於前述課題,本發明之目的在提供一種半導體製 造方法,即正確調整反應時之條件,以進行高精密度之選 擇磊晶生長等反應性氣體處理。 此外’本發明之目的亦提供能夠控制水分濃度增加, 防止重金屬污染,同時亦能夠調查反應室内水分濃度與外 部區域間之相關關係之半導體製造方法及半導體製造裝 置。 為解決前述課題’本發明之第1形態乃採用下列内 容。即本發明第丨形態之半導體製造方法,係屬將反應性 氣體導入内設有基板之反應室,以進行讓基板與反應性氣 體產生反應之反應性氣體處理之半導體製造方法,其特徵 為在設置前述基板的狀態下,測量前述反應室或該反應室 氣體排氣系統内水分濃度,並根據該水分濃度調整反應性 氣體處理之條件。 — l!!!IIIIilla - — 111 — — ! Ϊ!ί!1ίι1— (請先閱讀背面之注意事頊再填寫本頁) 本紙張尺度適用中國g家標準(CNS)A4規格(210 X 297公釐) 3 312274 476996 A7 五、發明說明(4 ) 〜由於該半導體製造方法是在設置有基板的狀態下測 量刖述反應室或該反應室氣體排氣系統内水分濃度,並粑 據該水分濃度調整反應性氣體處理之條件,因此T可由實 際製程測得之水分濃度測量值作水分濃度之調整(修正至 適當範圍内)或成膜及姓刻條件之調整,同時可在考慮水八 濃度對反應性氣體處理所造成之影響的情況下進行高精密 且安定之處理。 此外,以前述反應性氣體處理條件而言,本發明第^ 形態之半導體製造方法中以包拮將反應性氣體放入反應室 前所進行之前述基板加熱條件為佳。 亦即在本半導體製造方法中,由於反應性氣體導入反 應室前所進行之基板加熱條件(烘烤條件)得以調整,因此 不但可使含在基板内之水分在反應性氣體導入反應室前完 全脫離,同時可使反應室内之水分濃度調整至適當範圍, 而達到安定之反應性氣體處理。 此外,以前述加熱條件而言,前述基板加熱溫度、基 板加熱時間以及排淨氣體流量中至少有一項被調整。 此外,本發明之第1形態之半導體製造方法,其前述 反應性氣體處理條件,最好是基板加熱溫度、前述反應性 氣體流量、前述反應性氣體混合比以及前述反應室内壓力 中之至少一項。 也就是做為一種本半導體製造方法之反應性氣體處理 條件,由於基板加熱溫度、反應性氣體流量、反應性氣體 混合以及前述反應室内壓力中至少有一項會被調整,而藉 G氏張尺度適用中國家標準(CNS)A4規格(210 X 297.公爱) (請先閱讀背面之注意事項再填寫本頁) 訂. -線 經濟部智慧財產局員工消費合作社印製 476996 A7
五、發明說明(5 ). 312274 由這些條件對進行選擇蠢晶生長時之選擇性所產生之影 響,可提高選擇生長之選擇性。 ^ 此外,本發明之第、形態之半導體製造方法,特 用於對表面至少有-部分是由氧化石夕晶所形成之前述 進行之前述反應性氣體處理。 也就是使用表面至少有一部分是由氧化石夕晶所形成之 基板時’氧化石夕晶中所含有之水分會在供烤時脫離, 致反應室内水分濃度增加,藉由水分濃度測試,即使對這 類的基板,依然可進行高精密且安定之處理。 此外,本發明之第!形態之半導體製造方法適用於. 前述基板為-種石夕晶基板’而前述反應性氣體處理為一種 在則述基板表面露出石夕晶的區域内進行選擇性半 長之處理。 也就疋在基板表面露出石夕晶的區域内進行選擇性半導 =層生長時’由於該選擇性會受水分濃度影響,藉由根據 實際測得之水分濃度調整條件後,即可進行高精密 選擇性之選擇生長。 也就是本發明之第1形態之半導體製造方法係在設置 基板之狀恶下,測量反應室或該反應室氣體排氣系統内水 /刀,亚根據該水分濃度調整反應性氣體處理之條件,同時 根據實際製程令測得之水分濃度測量值,可調整水分濃 及㈣等製程條件’以便在考慮水分濃度對反應 處理所造成之影響的情況下進行高精密且安定之處 理。特別是在石夕丄基板上進行選擇蟲晶生長時,由於選擇 乂紙張尺度適財_家標準⑵Q X 297公爱) « I .Ϊ ---- ---- A請先閱讀背面之注意事項再填寫本頁) 476996
生長之選擇性會受水分濃度影響,根據水分濃度實 整左右選擇性之參數(加熱溫度等之處理條件),即可: 高選擇性且安定之選擇生長。 仃 此外,根據本發明創作者就反應室内水分濃度增加 主要原因進行之研究結果顯示,·將基板搬運至反應室内 時,所測得之反應室外部區域之基板搬送系統密閉空間内 之水分濃度,如第10圖所示,即使該密閉空間内之水分濃 度下降,前述反應室内之水分濃度依舊增加(第10圖中
Tr-ch為搬運用室(前述密閉空間)内之資料,為反應 室(反應室)内之資料),其原因被認為是;由於前述反應室 被預先加熱至一定之溫度,而使得藉由經負載封鎖等外部 導入之氧氣與反應室内之氫氣相互反應而使前述密閉室内 產生水分’因此搬運系統被視為是反應性氣體以外之水分 供給源。 3 經濟部智慧財產局員工消費合作社印!^ 因此’本發明之第2形態基於以上見解並為解決前述 課題乃採用以下結構。即本發明第2形態之半導體製造方 法係屬於一種進行反應性氣體處理(腐蝕性氣體處理)之半 導體製造方法’其中,將基板藉由基板搬運系統自該基板 搬運系統密閉空間搬入反應室或自反應室搬出至前述密閉 空間時,將反應性氣體(腐蝕性氣體)放入該反應室内,使 反應性氣體在反應室内產生反應,其特徵為具備有兩種工 程:即利用連接在前述密閉空間内之第1水分測量器測得 前述密閉空間内之水分濃度後,藉由前述基板搬運系統進 行前述搬入及前述搬出之基板搬送工程;以及於該基板搬 本紙張尺度適用中國囤家標準(CNS)A4規格(21〇x 297.公釐) 6 312274 476996 經 濟 部 智 慧 財 產 局 員 工 消 費 合 社 印 製 A7 B7 五、發明說明(7 ) · 運工程後,利用連接在前述反應室内之第2水分測量器一 面測量反應室内之水分濃度,一面進行前述反應性氣體處 理(腐蝕性氣體處理)之氣體處理工程。 此外,本發明之第3形態之半導體製造裝置係屬於一 種進行反應性氣體處理(腐蝕性氣體處理)之半導體製造裝 置,其中,將基板藉由基板搬運系統自該基板搬運系統密 閉空間搬入反應室或自反應室搬出至前述密閉空間時,將 反應性氣體(腐蚀性氣體)放入該反應室内,使反應性氣體 在反應室内產生反應,其特徵為具備有測量前述基板搬運 系統之密閉空間内水分濃度之第1水分測量器,及測量前 述反應室内水分濃度之第2水分測量器。 於這些第2形態之半導體製造方法及第3形態之半導 體製造裝置中,可藉由測量基板搬運系統之密閉空間内之 水分濃度之第1水分測量器,及測量前述反應室内之水分 濃度之第2水分測量器,測量基板搬運系統之密閉空間内 之水分濃度及反應室内之水分濃度,不僅可調查前述密閉 空間内之水分濃度對反應室内之水分濃度之影響,同時在 降低反應室内之水分濃度上,可測量、限制前述密閉空間 内之水分濃度以進行良好氣體處理。 此外,本發明第2形態之半導體製造方法,宜於進行 前述基板搬送工程時,先行確認前述密閉空間内之水分濃 度較第1既定值為低後,才將基板自前述密閉空間搬入前 述反應室内或自反應室内搬出至前述密閉空間,而於進行 前述反應性氣體處理工程時,先行確認前述反應室内之水 π張尺度適用t晒家標準規格⑵“撕公爱)-7-sum ^--------^ ----------線 (請先閱讀背面之注意事項再填寫本頁) 476996 經濟部智慧財產局員工消費合作社印製 A7 〜__Β7___ 五、發明說明(8 ) · 分濃度較第2既定值為低後,才開始前述反應性氣體處理 工程。 本半導體製造方法係可藉由預先將於前述密閉空間内 移送基板時所需之水分濃度上限設定為第1既定值,而將 於反應室内進行無重金屬污染等反應性氣體處理時所需之 水分濃度上限設定為第2既定值,以實施安定良好之反應 性氣體處理。 此外,本發明第2形態之半導體製造方法,至少前述 第2既定值必須低於1 p p m。 也就是’根據本發明創作者調查反應室内水分濃度與 重金屬污染間關係結果發現,由ppm資料可確認該水分濃 度於基板表面之點狀重金屬污染,隨著將該資料降為副 ppm資料,點狀污染幾乎不會發生。因此,本發明乃根據 此項見解而創作,而在本半導體製造方法中,可藉著至少 將第2既定值降至lppm以下,以防止重金屬之點狀污染。 第2形態之半導體製造方法及第3形態之半導體製造 裝置中,前述之第1水分測量器,及前述第2水分測量器 中至少有一方是用以測定利用雷射光投射於連接在前述密 閉室或前述反應室内之管狀功能電路胞後所透出之雷射光 吸收光譜之雷射水分測量器。 由於第2形態之半導體製造方法及第3形態之半導體 製造裝置中之第1及第2水分測量器之其中一方為雷射水 分測量器,因此可以非接觸方式對测定對象之氣體進行高 精密度之水分定量分析。 〈請先閲讀背面之涑意事頊存璘寫本
476996 經 濟 部 智 慧 財 產 局 員 工 消 費 合 社 印 製 A7 五、發明說明(9 ). 此外,本發明第3形態之半導體製造裝置中,備置有 數個反應室,前述第i水分測量器以設計為可測量每一個 前述反應室内之水分濃度為宜。 於本半導體製造裝置中,由於可以利用第i水分測量 器於每一反應室一一測量數個反應室内之水分濃度,因 此,藉由在每一反應内室測量水分濃度,可各別進行水分 濃度適當且良好之反應性氣體處理。 此外,本發明第3形態之半導體製造裝置以具備有可 將連接前述第1水分測量器之對象切換至任何一個前述反 應室之切換機構為宜。 本半導體製造裝置因具備有可將連接前述第〗水分測 ϊ器之對象切換至任何一個前述反應室之切換機構,故可 藉由在切換機構將欲測量之反應室與第丨水分測量器相連 接’以利用一個第丨水分測量器測量數個或任何一個反應 至内之水分濃度,進而達到減低構材數與成本之目的。 此外’本發明第3形態之半導體製造裝置乃以前述第 1及前述第2水分測量器為同一水分測量器,且具備有連 接於該水分測量器之對象可切換至任何一個前述密閉空間 或前述反應室之切換機構為宜。 由於本半導體製造裝置以同一水分測量器兼用為第1 及第2水分測量器,同時藉由切換機構可任意將連接對象 切換至任何一個前述密閉空間或前述反應室,因此不僅可 利用一個水分測量器測量前述密閉空間或反應室之水分濃 度,還可達到減低構材數與成本之目的。 本、·氏張尺度適用中_家標準(CNS)A4規格⑽X挪公幻 9 312274 i . « ^-------- ! ^ * ! --------線 (請先閱讀背面之注意事項再填寫本頁) 476996 Α7 Β7 五、發明說明(10 ) · 依照第2形態之半導體製造方法及第3形態之半導體 製造裝置,由於基板搬運系統之密閉空間水分濃度及反應 室内水分濃度’可共同藉由測量基板搬運系統之密閉空間 水分濃度之第1水分測量器,以及測量反應室内水分濃度 之第2水分測量器予以測量,因此不但可調查前述密閉空 間中水分濃度對反應室内水分濃度之影響,同時也可分析 來自基板搬運系統之水分供給原因。此外,亦可進行為降 低反應室内水份濃度而測量限制前述密閉空間之水份濃度 之良好反應性氣體處理,進而使結晶生長,薄膜形成及蝕 刻等反應性氣體處理得以在安定良好狀況下進行,以製造 局品質半導體基板或半導體元件等半導體裝置。 [發明之圖面簡單說明] 第1圖係與本發明相關之半導體製造方法之一實施形 態中之蠢晶結晶生長裝置之概略性整體平面圖。 第2圖係與本發明相關之半導體製造方法之一實施形 態中之反應用水分測量器結構斷面圖。 第3圖係放大顯示蠢晶生長主要部位之矽晶基板斷面 經濟部智慧財產局員工消費合作社印製 圖。 第4圖係與本發明相關之半導體製造方法以及半導體 製造裝置之一實施形態中之蟲晶結晶生長裝置之概略性整 體平面圖。 第5圖係與本發明相關之半導體製造方法以及半導體 製造裝置之一實施形態中之製程用雷射水分測量器結構配 管圖。 ^纸張尺度適用中國®家標準(CNS)A4規格(210 X 297公釐1 ---- A7 _ B7 ' --------- 五、發明說明(11 ) · 第6圖係與本發明相關之半導體製造方法以及半導體 製造裝置之一實施形態中之製程用雷射水分測量器主體結 構斷面圖。 第7圖係依照半導體製造方法以及半導體製造裝置先 前實施例實際進行磊晶生長後,晶圓内面所形成之重金屬 污染狀態分佈圖。 第8圖係依照與本發明相關之半導體製造方法以及半 導體製造裝置之一實施例,實際進行磊晶生長後,晶圓内 面所形成之重金屬污染狀態分佈圖。 第9圖係顯示排氣氣體中之水分與壽命周期兩者關係 之圖表。 第10圖係顯示搬送用室與反應室之水分濃度與反應 室溫度兩者關係之圖表。 [元件符號之說明] 1. ^---------^ ! I ---I--- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 1 反應室 2 搬送用室 3 搬入負載封鎖室 4 搬出負載封鎖室 5 反應用水分測量器 6 搬送系統水分測量器 6a 搬送系統取樣配管 6b、 9c、14分歧管 6c、 9a、9b、9d、11a、13a、 13b、14a、17a 真空管 7 壓力測量器 8 反應性氣體排氣管 9 取樣配管 10 水分測量器主體 10a 筐體 11 連接管 12 旋轉式唧筒 13 配管純化線 15 筐體純化線 16 > 18 N2排氣線 本纸張尺度適用中國家標準(CNS)A4規格(210 X 297公釐) 11 312274 476996 A7 五、發明說明(l2 ) 透光性窗材 斷熱材 反應性氣體導入管 19 管狀功能電路胞 19a 20 帶狀加熱器 21 管狀功能性電路胞用加熱器 23 [發明之詳細内容] 以下參照第1圖至第3圖以說明與本發明第1形雜相 關之半導體製造方法之一實施形態。 這些圖當中之符號1為反應室,2為搬送用室,3為搬 入負載封鎖室,4為搬出負載封鎖室,而5為反應用(,製程 用)水分測量器。 第1圖係專為實施本發明之半導體製造方法之片葉型 蟲晶結晶生長裝置。如第1圖所示,該型磊晶結晶生長裝 置具備有,3個石英製反應室1’該反應室乃是一種内部裝 有基板W之中空密閉容器,將矽晶基板搬入這些反應室j 内時可利用内部密閉空間進行空氣更換之搬送用室2,將 製程前之矽晶基板W搬入該搬送用室2之搬入負載封鎖室 3,以及用以將製程後之矽晶基板W自搬送用室2中取出 之搬出負載封鎖室4。 經濟部智慧財產局員工消費合作社印製 前述各反應室中1設有;自導入至反應室1中之反應 性氣體取樣,以測量氣體中所含水分之反應用(製程用)水 分測量器5,及測量反應室1内壓力之壓力測量計7。 此外,搬送用室2内還設有可測量内部空氣水分之搬 送系統水分測量器6。該搬送系統水分測量器6,以精密度 及回應速度均高,如後述之水分測量器主體10 —般之雷射 水分測量器最為理想,其次也可以是利用氧化鋁電容器將 本紙張尺度適用中國0家標準(CNS)A4規格(210 X 297公釐) 12 312274 經濟部智慧財產局員工消費合作社印製 476996 . A7 . ___ B7 五、發明說明〇3 ). 水分吸收後,再測量該電器容量變化之靜電容量式水分測 量器,或是應用質量分析法之水分測量器。 前述反應室1不但可藉著與反應性氣體等氣體供給源 (省略圖)相連接以導入來自該氣體供給源之氣體 (SiCl2H2,SiCl3H,HC1,H2,N2,B2,H6,PH3,SiH4 等), 同時也可藉由氣體排氣系統與排氣處理設備(省略圖)相連 接,使反應室内1之已完成反應之反應性氣體得以自排氣 處理設備排出。 如第2圖所示,前述反應用水分測量器5具備有;隔 介著反應室1之氣體排氣系統及真空管(省略圖)一端被連 接之取樣線之取樣配管9,用以測量來自於連接在該取樣 配管另一端之反應室1中之反應性氣體内水份之水分測量 器主體10,該水分測量器10後端隔介連接管11連接於之 旋轉式唧筒12。 前述水分測量器主體10,其筐體l〇a設有管狀功能電 路胞,而該管狀功能電路胞19其中一端接有取樣配管(氣 體排氣系統)9,而另一端則連接有連接管丨丨。管狀功能電 路胞19兩端裝置有透光性窗材19a,其中一端之透光性窗 材1 9a外側設有與之相對之可產生紅外雷射光L(波長1 3 至1.55// m)之波長可變半導體雷射LD,而另一端之透光 性窗材19a外側設有與之相對之光檢出器pD,該光檢出器 PD接收由管狀功能電路胞19透出之紅外雷射光l,並將 該受光強度變換為電氣信號。 此外,前述取樣配管9及前述連接管^上環繞有連接 ί 1 n d i m n m u n n i I * 1 I. n m - 3ΛΙ _ g J j -,u ·· li —i Ji —1 - I (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 13 312274 476996 A7
五、發明說明(Μ ) 電流供給源(省略圖)之帶狀加熱器20,而其上又環繞有石夕 晶橡膠之斷熱材21。當流入之電流受到調整,並將取樣配 管9及連接管U加熱至1〇〇度時,帶狀加熱器2〇可抑制 附著於這些配管内之副生成反應物。 此外,水分測量器主體10之管狀功能電路胞19及透 光性窗材1 9a也裝設有以電熱線為主,可將這些裝置加熱 至1 00度之管狀功能電路胞用加熱器22,此外,水分測量 器主體10藉由帶狀加熱器20及管狀功能電路胞用加熱器 22 ’並配合被加熱至1〇〇度之氣體溫度,預先進行測定感 度之調整與校正。 其次,說明本實施形態之實施狀態,如第3圖所示, 相對於表面有Si〇2膜之矽晶基板w,乃利用上述磊晶結晶 生長裝置’於基板表面路出砍晶的區域内進行選擇性碎晶 膜(半導體層)磊晶生長。 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 首先’將矽晶基板W自負載封鎖室3搬入搬送用室2, 並將搬送用室2内之空氣置換為^^2等非活性氣體的同 時’利用搬送系統水分測量器6測量空氣中水分,並在確 認水分充分減少後才將矽晶基板W搬入反應室丨。 反應室内1,藉由I或N2等非活性氣體之純淨氣體 被純化,使矽晶基板W得以在基板w被搬入之狀態下被 烘烤(加熱)至所定溫度。烘烤中不但需驅動旋轉式唧筒並 打開取樣配管9之真空管,一面調整流入量,一面將反應 室1内氣體導入水分測量器主體10。 取樣氣體流入水分測量器主體1 0内之管狀功能電路 312274 476996 經 濟 部 智 慧 財 產 局 消 費 合 社 印 製 A7 --—_______B7 _ - -__ 五、發明說明(15 ) · 胞19内,而使來自半導體雷射LD之紅外雷射光L受到照 射。穿透管狀功能電路胞19内氣體之紅外雷射光L以光 檢出器PD予以受光,並藉由自該受光量獲得之吸收光譜 強度測量氣體中水分濃度,以進行氣體所含水分之定量分 析。此外,流入管狀功能電路胞19内之氣體隔介著連接管 1,旋轉式會筒12被排出至排氣系統。此外,反應室丨内 之壓力則藉壓力計7進行常態測量。 此時’依照實際測得之加熱時反應室1内之水分濃度 以調整條件。也就是,調整基板w之加熱溫度、加熱聘間 或純淨氣體流量等加熱條件中之至少一項。例如,水分濃 度較適當範圍高時,依水分濃度調高加熱溫度,或延長加 熱時間或增加純淨氣體流量,使得水分濃度能夠於加熱過 程中抑制到適當範圍内。此外’透過調高加熱中之加熱温 度,或延長加熱時間也可提昇選擇生長之選擇性。 如此,在加熱條件被調整而水分濃度亦被調至適當範 圍内後’再將 SiCl2H,,HC1,TT& 2 HL1 H2 ’ SiH4等反應性氣體導 入而於矽晶基板W表面上進行選擇磊晶生|。此外,此時 同加熱時一樣,須進行反應室1内水分濃度及壓力之常態 測量。 此時,根據實際測得之加熱中及製程中之反應… 水为農度調整製程條件。也就是調整基板〜加 應性氣體流量、反應性氣體混合比、及反應室内等製程停 件中之至少一項。水分濃度等裏程條 掸铋制兹由翁,批 圍時,依水分濃度 增加裝程中風氧對源氣體(SiCl2H2, HC1等)之對 ^張尺度適用中_家標準297^17 提高 312274 -I ^--------^ , I -------- (請先閱讀背面之注意事項再填寫本頁) 476996 A7 五、發明說明(16 ) * HC 1 (氯化氫)之流量,並提升反應室1内之壓力,藉著以 上幾項調整可提高選擇生長之選擇性。尤其是HC1具有抑 制多矽晶於Si02上生長(提昇選擇性)之作用。 此外,氣體流量最好能夠設定在選擇生長可能之選擇 區域及非選擇區域之境界付近之條件。 此外,也可以事先將對應水分濃度之條件設定儲存於 生長裝置之控制部,藉由該控制部依照實際測得之水分濃 度自動調整加熱條件及製程條件。 當完成上述磊晶生長後,於反應室1内置換非活性氣 體,再藉搬送用室2將完成選擇生長之矽晶基板w自搬出 負載封鎖室搬出。 由於本實施形態乃在設置有石夕晶基板之狀況下測量反 應室1内之水分濃度,並根據該水分濃度調整選擇蠢晶生 長之氣體處理條件,因此可於加熱時依照實際之水分濃度 測量值將水分濃度調到適當範圍,進而使得選擇生長中之 製程條件受到調整,而得以在考慮水分濃度對生長選擇性 所造成之影響的情況下進行高精密且安定之處理。 經濟部智慧財產局員工消費合作社印製 此外’本發明第一形態尚包含如下之實施形態。 做為一種半導體製造方法,上述實施形態雖適用於進 行選擇磊晶生長之汽相生長,但如果是屬於一種在反應室 内冬基板上進行反應性氣體反應處理,且該處理特性受水 分濃度影響之生長,則亦可運用於其他半導體製造方法。 例如,可使用於;在抵抗率極低,被做為M〇S元件使用 之基板上進行單結晶矽晶薄膜汽相生長之磊晶晶圓製造方 本紙張尺度適用中麵家標準(CNS)A4規格⑵Q χ 16 312274 476996 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(Π 法,及於基板上形成其他薄膜之CVD法或利用反應性氣體 蝕刻基板表面之乾蝕刻等製造方法。 其-人,參照第4圖至第6圓以說明與本發明第2形態 相關之半導體製造方法,及與本發明第3形態相關之半.導 體製造裝置之一實施形態。 此外’於本實施形態之說明上,凡是與已在前述本發 明第一形悲相關之半導體製造方法實施形態中說明之構件 擁有相同機能者附之以相同符號以說明之。 這些圖當中之符號1為反應室,2為搬送用室,3為撤 入負載封鎖室’ 4為搬出負載封鎖室,而5為反應用(製程 用)水分測量器,6為搬送系統水分測量器。 第4圖係顯示本發明第3形態半.導體製造裝置適用於 片葉型磊晶結晶生長裝置之情形。如第4圖所示,該型磊 晶結晶生長裝置為一種多室方式之生長裝置且具備有:3 個石英製反應室1,該反應室乃是一種内部裝有基板w之 中空岔閉容器;將矽晶基板搬入這些反應室1内時可利用 内部密閉空間進行空氣更換之搬送用室(基板搬送系統) 2 ’將製程前之矽晶基板w搬入該搬送用室2之搬入負載 封鎖室3 ;以及用以將製程後之矽晶基板冒自搬送用室2 中取出之搬出負載封鎖室4。 别述各反應室1各自利用製程用取樣配管9與自導入 至反應至1中之反應性氣體(腐蚀性氣體)取樣,以測量氣 體中所含水分之反應用(製程用)水分測量器(第2水分測量 器)5相連接。 m In n n - 1 n n n i n 1 · n If n n ϋ ί— ϋ·^口4 1 I d —8 I t_l 1 (請先閱讀背面之注意事項再填寫本頁) 本紙尺度適用中國酉家標準(CNS)A4規格(210 X 297公爱) 17-^2274 476996 Α7 Β7 經濟部智慧財產局員工消費合作社印製 五、發明說明(18 ) · 此外,搬送用室2’搬入負載封鎖室3,以及搬出負載 封鎖室4之内部中,用以測量内部空氣中所含水分之搬送 系統水分測量器6則以搬送系統取樣配管6a連接。搬送系 統水分測量器6係與反應用(製程用)水分測量器相同之水 分測量器,該測量計乃屬於一種應用後述之精度及應答速 度均高之雷射水分測量器主體10之水分測量器。 搬送系統取樣配管6a乃由雷射水分測量器主體丨〇分 出,以對應搬送用室2,搬入負載封鎖室3,以及搬出負載 封鎖室4之3個分歧管6b所構成,而各分歧管6b上又各 自設有可供開關之真空管6 c。 前述反應室1,如第2圖所示,連接有用以導入來自 反應性氣體等氣體供給源之氣體(SiCT2H2,SiCl3H,HTCl, H2,N2,B2H6,PH3等)之反應性氣體導入管23,以及用以 將反應室内1之已完成反應之反應性氣體自排氣處理設備 (省略圖)排出之反應性氣體排氣管8。 前述反應用(製程用)水分測量器5具備有:設有真空 管9a之一端乃隔介反應性氣體排氣管8尾端與各反應室1 相連接,屬於一種取樣線之製程用取樣配管;隔介可變真 空管9b與該製程用取樣配管9另一端相接,而自反應室1 中測量反應氣體所含水分之雷射水分測量器主體10 ;及利 用連接管11並隔介可變真空管11a與該雷射水分測量器主 體10後端相連接之旋轉式唧筒12。 前述製程用取樣配管9尾端隔介真空管i3a與取樣線 N2純化用配管純化線1 3相連接,此外,反應性氣體導入 本纸張尺度適用中國®家標準(CNS)A4規格(210 X 297公釐) " ϋ ^ 312274 (請先閱讀背面之注意事項再填頁) · · ,丨線 476996 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(19 ). 管23則利用分歧管14陽介真空管⑷與純化線η連接。 :外,配管純化線13在與分歧管“相接部位上游處設有 真空管13b。 前述製程用取樣配管9乃由雷射水分測量器主體^ 〇 分出之對應3個製程反應室】之,3個分歧*9c所構成,而 各分歧管9c上又各自設有可供開關之真空管9d(切換機 構)。 前述雷射水分測量器主體10中,如第5圖,第6圖所 示,除連接有用以N2純化釐體10a内部之筐體純化線15, 還連接有為了將該N2排出而將另—端接於反應性氣體排 氣管8之N2排氣線16。 此外,前述旋轉式唧筒12乃藉由真空管1、以取樣排 氣管17與反應性氣體排氣管8相連接。此外,旋轉式卿筒 12上還連接有氣體鎮流器用之N2純化線μ。前述雷射水 分測量器主體10,如第6圖所示,其筐體10a内設有、管狀 功月b電路胞19,該管狀功能電路胞19其中一側連接有製 程用取樣配管9,而另一端則連接有連接管丨丨。管狀功能 電路胞主體19兩端裝設有透光性窗材19a,其中一端之透 光性窗材19a外側設有與之相對之可產生紅外雷射光丄 (波長1·3至1·55 /z m)之波長可變半導體雷射LD,而另一 端之透光性窗材19a外側設有與之相對之光檢出器PD,該 光檢出器PD接收由管狀功能電路胞19透出之紅外雷射光 L,並將該受光強度變換為電氣信號。 前述製程用取樣配管9及前述連接管11上環繞有帶狀 I ---------^ > ! --------- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國囿家標準(CNS)A4規格(210 X 297公釐) 19 312274 476996 A7 ____B7 ____ 五、發明說明(). 加熱器20,而其上又環繞有矽晶橡膠之斯熱材2 1。此外, 帶狀加熱器20亦被連接在無圖示之電流供應源上。以調整 流入之電流,並將製程用取樣配管9及連接管11加熱至 100 度 〇 此外,水分測量器主體10之管狀功能電路胞19及透 光性窗材19a也裝設有以電熱線為主,可將這些裝置加熱 至1〇〇度之管狀功能電路胞用加熱器22,藉此以加熱至1〇〇 度。此外,搬送系統取樣配管6a也利用與製程用取樣配管 9相同之方示加熱,藉此不僅可抑制附著於被加熱反應性 氣體配管内部之副生成反應物’同時也可防止副生成反應 物阻塞配管。藉此加熱法可達測量常態水憤之目的。 此外,雷射水分測量器主體1 〇藉由帶狀加熱器20及 管狀功能電路胞用加熱器22,配合被加熱至1〇〇度之氣體 溫度,預先進行測定感度之調整與校正。此外,例如,可 藉著在連接於光檢出器p D之控制部(省略圖)中演算處理 來自光檢出器PD之信號以進行測定感度之調整與校正。 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 此外,上述各配管之配管材料多使用不鏽鋼配.管,且 其内面多採用已做好電解研磨處理或CPR處理(表面附有 鉻酸化膜之非動態化膜)之材質。 其次,說明與本發明第2形態相關之半導體製造方法 及與本發明第3形態相關之半導體製造裝置之一實施形態 中之蠢晶結晶生長方法。 ' 將石夕晶基板搬入這些反應室!内時可利用内部密閉空 間進行空氣更換之搬送用室2,將製程前之矽晶基板;: 312274 本紙張尺度適用中國©家標準(CNS)A4規格(210 X 297公髮)' —rr-_____ 經濟部智慧財產局員工消費合作社印製 476996 A7 - -'~~ -^-___ 五、發明說明(21 ). 入該搬送用室2之搬入負載封鎮室3,以及用以將製程後 之矽晶基板W自搬送用室2中取出之搬出負載封鎖室4。 首先將欲進行矽晶生長之矽晶基板W自外部移送至 搬入負載封鎖室3,在此同時乃藉搬送系統水分測量器6 測量搬入負載封鎖室3中之水分·。亦即除連接在搬入負載 封鎖室3上之分歧管6b之真氣管6c外,其餘之真氣管均 予以關閉,在此狀態下再藉由搬運系統取樣配管6a將搬入 負載封鎖室3内之空氣導入雷射水分測量器主體1〇以測量 水分濃度。此外,由搬運系統取樣配管6a至雷射水分測量 器主體10内之氣體導入,乃利用與後述反應用(製程用) 水分測量器相同方式進行。 在利用搬送系統水分測量器6確認搬入負載封鎖室3 中之水分濃度未達所定值後,將矽晶基板W自搬入負載封 鎖至3搬入至搬送用室2内,再將搬送用室2内之空氣置 換為N2等非活性氣體π 利用搬送系統水分測量器6測量搬送用室3中之水分 濃度。亦即除連接在搬送用室2上之分歧管6b之真氣管 6c外,其餘之真空管均予以關閉,在此狀態下再藉由搬運 系統取樣配管6a將搬送用室2内之空氣導入雷射水分測量 器主體10以測量水分濃度。然後利用搬送系統水分測量器 6確認搬送用室2中之水分濃度未達所定值(第1既定值) 且水分已充份降低後,方才將矽晶基板W自搬入反應室1 内。此外,所定之既定值原則上雖依照搬送用室2與反應 室1之容積比,但理想則在未達5ppm之程度。也就是說, ' ί ^------- ---- ---- I----•線 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國S家標準(CNS)A4規格(2.10 X 297公釐) 21 312274 外/6996 A7 ^----—— _B7_— —__ 五、發明說明(22 ). 即使水分濃度稍高,但經純化氣體希釋後其影響並不大。 製程前乃以&或&等非活性氣體將各反應室i調整 至純化狀態,並於其内裝置由搬送用室2搬入之矽晶基板 W並加熱至所定溫度。此時可籍反應用(製程用)水分測量 器5測量各反應室!中之水分濃度。亦即除連接在測量反 應室1上之分歧管9c之真氣管9d外,其餘之真氣管9d 均予以關閉,在此狀態下再藉由製程用取樣配管9將反應 室1内之氣體導入雷射水分測量器主體10以測量水分濃 度。此時,在打開真氣管9b,17a的同時也驅動旋轉式卿 筒12,更可藉由可變式真氣管9b,lla 一面調整流入量, 一面藉著製程用取樣配管9將反應室1内之部份氣體導入 雷射水分測量器主體1 〇。 取樣氣體流入水分測量器主體1 〇内之管狀功能電路 胞19内,而使來自半導體雷射ld之紅外雷射光L受到照 射。穿透管狀功能電路胞19阡氣體之紅外雷射光L以光 檢出器PD予以受光,並藉由自該受光量獲得之吸收光譜 經濟部智慧財產局員工消費合作社印利农 強度測量氣體中水分濃度,以進行氣體所含水分之定量分 析。 然後確認取樣氣體之水分濃度至少未達lppm(第2既 定值)後,藉由反應性氣體導入管23導入所定之反應性氣 體並在矽晶基板W表面上進行磊晶生長。在搬送室2中有 氧氣,且反應室1内之氣體為氫氣之情況下,由於所定溫 度反應下會產生水分,因此須中斷製程檢查搬運系統之氣 密性,以維護裝置。 本纸張尺度適用中國厨家標準(CNS)A4規格(210 X 297公釐) 22 312274 ---- 476996
五、發明說明(23 ) 此外,流入管狀功能電路胞主體19内之反應性氣體 等,藉由連接管11,旋轉式唧筒12及取樣排氣管17被排 出至反應性氣體排氣管8。 此外,在磊晶生長也同上述一樣,乃藉由製程用取樣 配管9,將於反應室1中提供反應且被加熱之部份排氣氣 體導入雷射水分測量器主體10,以測量排氣氣體之水分濃 度。 蟲晶生長完成後’將基板W自反應室1移回搬送用室 2’再將該基板W搬出至搬出負載封鎖室4後,取出至外 部。此外,藉由切換真氣管6c開關,搬出負載封鎖室4 内之水分濃度不但可利用搬送系統水分測量器來加以測 量,同時亦可檢測搬出負載封鎖室4内之氣密度。 本實施形態可利用;用以測量搬送用室2之密閉空間 内之水分濃度之搬送系統水分測量器6,及用以測量反靡 室1内水分濃度之反應用(製程用)水分測量器5,同時測量 搬入負載封鎖室3及搬送用室2等基板搬送系統内之水分 濃度’以及反應至1内之水分濃度’另一方面亦可調杳美 板搬送系統之密閉空間内所含水分濃度對反應室1内之水 分濃度之影響。此外,由於搬送用室2及搬入負載封鎖室 3雙方可利用搬送系統水分測量器6個別測量其内部水分 濃度’因此同樣可以調查搬入負載封鎖室3内之水分濃产 對搬送用室2内之水分濃度之影響。 此外,為減低反應室1中之水分濃度,乃測量搬入負 載封鎖室3及搬送用室2之水分濃度,以便在限定為一 ϋ ί n SI fn n —i in e§§ Ha —ft Bfl » n ffl— I 1 IK— mf I 一 jo, 0 dv myf i HI HI m aaBamm 1 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國®家標準(CNS)A4規格(210 X 297'公釐) 23 312274 476996 A7 B7 五、發明說明(24 ) 濃度之狀態下將基板W移送至反應室1,藉此可大幅減低 基板搬送系統内氣體流入反應室i内而增加水分濃度之發 生率’進而得以進行良好之磊晶生長。此外,由於可觀測 反應室1内水分濃度增加情形,故即使氧氣流入基板搬送 系統,同樣可進行良好之磊晶生.長。 此外’由於是在確認取樣氣體中之水分濃度至少低於 1 ppm後才將反應性氣體導入並於矽晶基板w上進行磊晶 生長,因此可防止重金屬之點狀污染。 此外’由於各自具備有可測量各反應室1之水分濃度 之反應用(製程用)水分測量器5,在測量各反應室1水分濃 度’而發現部份反應室1產生水分濃度上昇時,得以輕易 判別不良或故障情形。 此外,由於具備有可將連接在反應用(製程用)水分測 量器5上之對象切換至任何一個反應室1之真氣管9d,因 此可藉開關各真氣管9d將欲測_量之反應室1及雷射水分測 量器主體10相連接,以利用單一反應用(製程用)水分測量 器5來測量複數或任一反應室内之水分濃度,以達到降低 構件數量及成本之目的。 此外,本發明之第2及第3形態亦包含以下之實施形 離〇 在上述實施形態中雖然各自設有反應用(製程用)水分 測量器5及搬送系統水分測量器6,但也可以利用單一水 分測量器將取樣配管分為反應室及搬送用室等基板搬送系 統,再藉著於分出之配管上設置真空管等切換機構,使同 (請先閱讀背面之注意事項再填寫本頁) tri*-------線! 經濟部智慧財產局員工消費合作社印製 ri— H ! i n n i n n n n —e ϋ u n n i n 1· n I ' 本紙張尺度適用中國画家標準(CNS)A4規格(210 X 297'公釐) 24 312274 476996 A7 I、發明說明(Μ -水分測量器得以兼用為反應用(製程用)水分測量器及搬 送系統水分測量器,並使連接之對象得以任意在基板搬送 系統之密閉空間或反應室内進行切換。如此,不僅可利用 單一水分測量器測量基板搬送系統之密閉空間或反應室之 水分濃度,同時亦可達到降低橼件數量及成本之目的。 r 上述實施形態在將石夕晶晶圓w自搬送用室2搬入反應 室1之情況下適用於本發明,而在磊晶生長完成後,將矽 曰曰日日圓W自反應室1搬出至搬送用室2時亦適用本發明。 例如,利用鉀矽浣等氣體於反應室1内之矽晶晶圓w上進 行蠢晶生長’而在磊晶生長完成後,欲將矽晶晶圓w自反 應室1搬出至搬送用室2,並於反應室1中放入HC1以洗 綠内部(餘刻)時’可在晶圓W進行上述搬出前先測量搬送 用室2内之水分濃度’並在確認水分濃度低於所定既定值 (如5ppm)後,再將晶圓W自反應室1搬出至搬送用室2。 如此,在進行上述搬出時,因先測量並確認搬送用室2内 之水分濃度,故可大幅降低搬送室2内氣體流入反應室i 内而增加水分濃度之發生率,從而進行良好之HC1洗滌處 經濟部智慧財產局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 理。 上述實施形態之搬送系統水分測量器6,如上所述, 以運用具高精密度水分測量器主體1〇之反應用(製程用) 水分測量器及相同之水分測量器最為理想,其次也可以是 用以測量表面覆蓋有吸濕性薄膜之水晶振動子發信周波數 變動量之吸著式水分測量器,或是利用氧化鋁吸著水分以 測量該電氣容量變化之靜電容量式水分測量器,或應用質 本紙張尺度適用t國國家標準(CNS)A4規格(210 X 297'公釐) 25 312274 476996
量分析法之水分測量器。 五、發明說明(26 ), 上述實施形態,在做為一種半導體製造裝置上雖適用 於進行磊晶生長之汽相生長裝置,但如果該裝置為一種在 反應室内之基板上進行反應性氣體反應之裝置,則亦可使 用於其他半導體製造裝置。例如,可採用在乾㈣尊裝置 上,該裝置乃利用於基板上形成他種薄膜之CVD裝置或腐 #性氣體於基板表面進行姓刻之裝置。 此外,上述實施形態,雖適用於片葉式磊晶生長裝置, 但也不單限於片葉方式,其他方式(各種束狀式)亦同樣適 用。 此外,製程前雖已利用H2氣體將各配管及反應室純 化’再¥入做為反應性氣體之腐钱性氣體,但在充分H2 純化後仍可進一步利用氯化氫進行純化,再將提供生長之 反應性氣體導入。此時附著於各配管及反應室内壁之水分 子將與HC1結合而被運出,並減低進入後來供應之反應性 氣體内之水分。 [實施例] 經濟部智慧財產局員工消費合作社印製 為便於比較,分別將利用先前之方法(水分濃度4ppm) 於石夕晶晶圓W上進行蠢晶生長之結果,以及利用上述實施 實例實際以水分濃度lppm進行相同磊晶生長之結果,以 第7圖及第8圖表示。此外,圖中晶圓W表面之壽命周期 未滿500/zs區域則以斜線表不。 透過第7圖及第8圖可了解到,相對於先前之方法, 會造成晶圓表面產生點狀之重金屬污染,本發明之實施實 1本紙張尺度適用中國画家標準(CNS)A4規格(210 x 297公f ^ 312274 476996 ^ A7 - _B7 五、發明說明(27 ) · 例則不會發生點狀重金屬污染。 --------------· I i (請先閱讀背面之注意事項再填寫本頁) 訂: 丨線- 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297'公釐) 27 312274

Claims (1)

  1. 476996 六、申請專利範圍 1· 一種半導體製造方法,係一種將反應性氣體放入内設有 基板之反應室’以進行讓基板與反應性氣體產生反應之 反應性氣體處理之半導體製造方法,其特徵係在設置有 前述基板(W)的狀態下,測量前述反應室(〇或該反應室 (1)氣體排氣系統内之水分濃度,並根據該水分濃度調 整反應性氣體處理之條件。 2.如申請專利範圍第i項之半導體製造方法,其中,前述 反應性氣體處理條件中包括有將反應性氣體放入反應 室(1)前進行之前述基板加熱條件。 3·如申請專利範圍第2項之半導體製造方法,其中,前述 加熱條件,至少是前述基板(w)加熱溫度、基板(w)加熱 時間以及純淨氣體流量中之其中一項。 4·如申請專利範圍第1項之半導體製造方法,其中,前述 反應性氣體處理條件,至少是前述基板(w)加熱溫度、 前述反應性氣體流量、前述反應性氣體混合以及前述反 應室(W)内壓力中之其中一項。 5.如申請專利範圍第1項之半導體製造方法,其中,表面 至少有一部分是對應由氧化矽晶(3 1}所形成之前述基 板(W)以進行前述反應性氣體處理。 6·如申請專利範圍第5項之半導體製造方法,其中,前述 基板(W)係一種矽晶基板,而前述反應性氣體處理係一 種在前述基板(W)表面露出矽晶的區域内進行選擇性半 導體層生長之處理。 7· —種半導體製造方法,係一種當基板(W)藉由基板搬運 312274 線 I紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱Γ 476996 • 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 系統(2,3)自該基板搬運系統密閉空間搬入反應室(1)或 自反應室(1)搬出至前述密閉空間時’將反應性氣體放 入該反應室(1)内,使反應性氣體在反應室(1)内產生反 應之半導體製造方法,其特徵係具備有兩種工程··即利 用連接在前述密閉空間内之第1水分测量器(6)測得前 述密閉空間内之水分濃度後,藉由前述基板搬運系統 (2,3)對前述基板(W)進行前述搬入及前述搬出之基板搬 ^送工程,以及於該基板搬運工程後,利用連接在前述反 應室(1)内之第2水分測量器(5)—面測量反應室(1)内之 水分濃度,一面進行前述反應性氣體處理之氣體處理工 程。 8·如申請專利範圍第7項之半導體製造方法,其中,前述 基板搬送工程係先行確認前述密閉空間内之水分濃度 較第1既定值為低後,才將基板(W)自前述密閉空間搬 入前述反應室(1)内或自反應室(1)内搬出至前述密閉空 .間, 而前述反應性氣體處理工程係先行確認前述反應 室内之水分濃度較第2既定值為低後,才開始前述反應 性氣體處理工程。 9·如申請專利範圍第8項之半導體製造方法,其中,前述 第2既定值至少低於lppm。 10·如申請專利範圍第7項之半導體製造方法,其中,前述 之第1水分測量器(6),及前述第2水分測量器(5)中至 少有一方是用以測定利用雷射光投射於連接在前述密 1 I i ϋ 4ΜΜΗ WIB I ΜΜ ΜΒ·! MM· I n I n n n I 1 I— 1 訂i n n n Mavl s (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 29 312274 47^996 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 申請專利範圍 閉室或前述反應室内之管狀功能電路胞(19)後所透出 之雷射光吸收光譜之雷射水分測量器。 11. 一種半導體製造裝置,係屬於一種當基板(W)藉由基板 搬運系統(2,3)自該基板搬運系統密閉空間搬入反應室 (1)或自反應室(1)搬出至前述密閉空間時,將反應性氣 體放入該反應室(1)内,以進行讓反應性氣體在反應室(1) 内產生反應之半導體製造裝置,其特徵係具備有:測量 前述基板搬運系統之密閉空間内之水分濃度之第1水 分測量器(6)後,以及測量前述反應室(1)内之水分濃度 之第2水分測量器(5)。 12. 如申請專利範圍第π項之半導體製造裝置,其中,具 備複數之反應室(1),而前述水分測量器(6)被設置於各 前述反應室(1)以測量水分濃度。 13·如申請專利範圍第12項之半導體製造裝置,其中,具 備有一種切換機構,可將連接前述第1水分測量器(6) 之對象切換至任何一個前述反應室。 14. 如申請專利範圍第11項之半導體製造裝置,其中,前 述第1水分測量器(6)及前述第2水分測量器(5)為同一 水分測量器,且具備有連接於該水分測量器之對象可切 換至任何一個刖述密閉空間或前述反應室(1)之切換機 構。 、 15. 如申請專利範圍第n項之半導體製造裝置,其中,前 述第1水分測量器(6)及前述第2水分測量器(5)中至少 ♦ V · 裝 -----訂-------線--ΟΊ (請先閲讀背面之注意事項再填寫本頁}
    30 312274 476996 A8 B8 C8 D8 六、申請專利範圍 室或前述反應室(1)内之管狀功能電路胞(19)後所透出 之雷射光吸收光譜之雷射水分測量器。 --- (請先閱讀背面之注意事項再填寫本頁) 訂· .線 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 31 312274
TW090103004A 2000-02-28 2001-02-12 Semiconductor manufacturing method and semiconductor manufacturing apparatus TW476996B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000052519A JP3592603B2 (ja) 2000-02-28 2000-02-28 半導体製造方法及び半導体製造装置
JP2000108563A JP3636962B2 (ja) 2000-04-10 2000-04-10 半導体製造方法

Publications (1)

Publication Number Publication Date
TW476996B true TW476996B (en) 2002-02-21

Family

ID=26586302

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090103004A TW476996B (en) 2000-02-28 2001-02-12 Semiconductor manufacturing method and semiconductor manufacturing apparatus

Country Status (5)

Country Link
US (3) US6776805B2 (zh)
KR (1) KR100773636B1 (zh)
CN (1) CN1183578C (zh)
DE (1) DE10109507B4 (zh)
TW (1) TW476996B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10429976B2 (en) 2017-01-25 2019-10-01 Au Optronics Corporation Panel and manufacturing method thereof

Families Citing this family (343)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004296558A (ja) * 2003-03-26 2004-10-21 Osaka Prefecture 絶縁層埋め込み型単結晶炭化シリコン基板の製造方法及びその製造装置
US8113757B2 (en) * 2006-08-01 2012-02-14 Tokyo Electron Limited Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber
US20080188011A1 (en) * 2007-01-26 2008-08-07 Silicon Genesis Corporation Apparatus and method of temperature conrol during cleaving processes of thick film materials
US7436081B2 (en) * 2007-01-31 2008-10-14 Caterpillar Inc. System for controlling a hybrid energy system
DE102007019122B3 (de) * 2007-04-23 2008-06-26 Texas Instruments Deutschland Gmbh Verfahren zur Temperaturregelung während eines Epitaxieschrittes von Halbleiterwafern
US8986253B2 (en) 2008-01-25 2015-03-24 Tandem Diabetes Care, Inc. Two chamber pumps and related methods
US8408421B2 (en) 2008-09-16 2013-04-02 Tandem Diabetes Care, Inc. Flow regulating stopcocks and related methods
CA2737461A1 (en) 2008-09-19 2010-03-25 Tandem Diabetes Care, Inc. Solute concentration measurement device and related methods
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010190824A (ja) * 2009-02-20 2010-09-02 Shimadzu Corp 半導体製造プロセス用吸光分析装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
EP2459251B1 (en) 2009-07-30 2014-03-12 Tandem Diabetes Care, Inc. Infusion pump system with disposable cartridge having pressure venting and pressure feedback
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9196551B2 (en) 2011-08-26 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Automatically adjusting baking process for low-k dielectric material
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9180242B2 (en) 2012-05-17 2015-11-10 Tandem Diabetes Care, Inc. Methods and devices for multiple fluid transfer
US9555186B2 (en) 2012-06-05 2017-01-31 Tandem Diabetes Care, Inc. Infusion pump system with disposable cartridge having pressure venting and pressure feedback
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6403577B2 (ja) * 2013-02-05 2018-10-10 株式会社Kokusai Electric クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム並びにクリーニング終了判定方法
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9173998B2 (en) 2013-03-14 2015-11-03 Tandem Diabetes Care, Inc. System and method for detecting occlusions in an infusion pump
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) * 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6458595B2 (ja) * 2015-03-27 2019-01-30 東京エレクトロン株式会社 成膜装置及び成膜方法並びに記憶媒体
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP6586328B2 (ja) * 2015-09-04 2019-10-02 東京エレクトロン株式会社 被処理体を処理する方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
CN109254108B (zh) * 2017-07-12 2023-02-17 株式会社堀场制作所 分析装置和分析方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113774478A (zh) * 2021-09-16 2021-12-10 季华实验室 外延设备的反应工况调节方法、装置、系统及电子设备

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3732435A (en) * 1972-03-27 1973-05-08 Strandberg Eng Labor Inc Moisture measuring and control apparatus
CH613546A5 (zh) * 1977-05-04 1979-09-28 Boschung Fa M
US4272986A (en) * 1979-04-16 1981-06-16 Harris Corporation Method and means for measuring moisture content of hermetic semiconductor devices
US4475080A (en) * 1982-05-10 1984-10-02 Walker Charles W E Microwave moisture measurement of moving particulate layer after thickness leveling
JPS60145999A (ja) 1984-01-11 1985-08-01 Nec Corp 化合物半導体気相成長方法
US4661196A (en) * 1984-10-22 1987-04-28 Texas Instruments Incorporated Plasma etch movable substrate
GB8715357D0 (en) 1987-06-30 1987-08-05 Sandoz Inst For Medical Resear Organic compounds
JPH01216551A (ja) * 1988-02-24 1989-08-30 Nec Kyushu Ltd 半導体基板の収納保管装置
JP2602880B2 (ja) * 1988-03-05 1997-04-23 忠弘 大見 シリンダーキャビネット配管装置
DE68927726T2 (de) * 1988-07-20 1997-07-17 Hashimoto Chemical Ind Co Einrichtung zum Trockenätzen mit einem Generator zum Erzeugen von wasserfreiem Flusssäuregas
JPH03226649A (ja) * 1990-01-31 1991-10-07 Sanyo Electric Co Ltd 感湿素子
JPH0485927A (ja) 1990-07-30 1992-03-18 Nippon Telegr & Teleph Corp <Ntt> ゲート電極用薄膜の形成方法とその形成装置
JP3017301B2 (ja) * 1991-02-18 2000-03-06 大阪酸素工業株式会社 不動態膜の形成方法
JP3059262B2 (ja) 1991-10-08 2000-07-04 日本酸素株式会社 ガス中の微量水分分析装置
US5241851A (en) 1991-11-04 1993-09-07 The Boc Group, Inc. Method of performing an instantaneous moisture concentration measurement and for determining the drydown characteristics of an environment
JPH0661199A (ja) 1992-04-27 1994-03-04 Nec Corp 気相エッチング方法および装置
TW262566B (zh) * 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
JPH0864559A (ja) * 1994-06-14 1996-03-08 Fsi Internatl Inc 基板面から不要な物質を除去する方法
US5963336A (en) * 1995-10-10 1999-10-05 American Air Liquide Inc. Chamber effluent monitoring system and semiconductor processing system comprising absorption spectroscopy measurement system, and methods of use
JPH09129709A (ja) 1995-10-27 1997-05-16 Nec Corp プロセス装置
DE69636880T2 (de) * 1995-12-28 2007-11-15 Taiyo Nippon Sanso Corporation Verfahren und Anordnung zum Transport von Substratscheiben
US5749521A (en) * 1996-05-22 1998-05-12 Lore Parker Moisture sensing electronic irrigation control
JPH10144581A (ja) 1996-11-14 1998-05-29 Kokusai Electric Co Ltd 半導体製造装置
SG63825A1 (en) 1997-03-11 1999-03-30 Applied Materials Inc In situ monitoring of contaminants in semiconductor processing chambers
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US5844125A (en) * 1997-10-01 1998-12-01 Millipore Corporation Method and apparatus for measuring moisture content in a gas
JPH11183366A (ja) 1997-12-25 1999-07-09 Nippon Sanso Kk 分光分析用測定セル
JPH11354516A (ja) * 1998-06-08 1999-12-24 Sony Corp シリコン酸化膜形成装置及びシリコン酸化膜形成方法
JP2000097890A (ja) * 1998-09-21 2000-04-07 Sony Corp ガス中の水分量測定装置およびクライオポンプ再生終了時の検知方法
JP4319723B2 (ja) 1999-01-25 2009-08-26 株式会社アルバック エピタキシャル成長方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10429976B2 (en) 2017-01-25 2019-10-01 Au Optronics Corporation Panel and manufacturing method thereof

Also Published As

Publication number Publication date
US6776805B2 (en) 2004-08-17
US7033843B2 (en) 2006-04-25
CN1312585A (zh) 2001-09-12
CN1183578C (zh) 2005-01-05
US6794204B2 (en) 2004-09-21
DE10109507A1 (de) 2001-09-13
DE10109507B4 (de) 2011-11-17
KR100773636B1 (ko) 2007-11-05
US20010019900A1 (en) 2001-09-06
US20040092043A1 (en) 2004-05-13
US20030022469A1 (en) 2003-01-30
KR20010085636A (ko) 2001-09-07

Similar Documents

Publication Publication Date Title
TW476996B (en) Semiconductor manufacturing method and semiconductor manufacturing apparatus
TW460942B (en) CVD device, purging method, method for determining maintenance time for a semiconductor making device, moisture content monitoring device, and semiconductor making device with such moisture content monitoring device
Heya et al. Low-temperature crystallization of amorphous silicon using atomic hydrogen generated by catalytic reaction on heated tungsten
US11733224B2 (en) Multi-sensor gas sampling detection system for radical gases and short-lived molecules and method of use
Agarwal et al. Abstraction of atomic hydrogen by atomic deuterium from an amorphous hydrogenated silicon surface
US5241987A (en) Process gas supplying apparatus
TW546404B (en) Method of oxidation of semiconductor wafers in a rapid thermal processing (RTP) system
CN109585332A (zh) 清洁腔室的方法、干式清洁系统及非暂态电脑可读取媒体
JP3592603B2 (ja) 半導体製造方法及び半導体製造装置
JP3495965B2 (ja) 水分モニタリング装置およびこれを備えた半導体製造装置
US20020094681A1 (en) In-situ monitoring of chemical vapor deposition process by mass spectrometry
Kellerman et al. Adsorption and decomposition of diethylsilane and diethylgermane on Si (100): Surface reactions for an atomic layer epitaxial approach to column IV epitaxy
Maity et al. Effect of PH3 on the dissociative chemisorption of SiH4 and Si2H6 on Si (100): Implications on the growth of in situ doped Si thin films
JPH0921785A (ja) 不純物検出方法
JP2003115516A (ja) 水分測定用ウェーハ、水分計の較正方法および熱処理炉の状態評価方法
JPH09106974A (ja) 基板吸着水分の除去方法及び装置
Lu et al. Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O
JP2001244200A (ja) 半導体製造装置のメンテナンス時期判断方法
George et al. Hydrogen content of silicon and thermal oxidation induced moisture generation in an integrated rapid thermal processing reactor
TW403791B (en) Quartz crystal microbalance for measurement of CVD exhaust deposits
Krueger et al. CH3I vapor etching of GaAs in a vertical rotating-disk reactor
JP4072627B2 (ja) 原子状水素定量方法およびその装置
Brabant et al. Moisture requirements to reduce interfacial sub-oxides and lower hydrogen pre-bake temperatures for RPCVD Si epitaxy
JPS59143318A (ja) 光アニ−ル法
Meyerson Non‐equilibrium processes in low temperature silicon epitaxy

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees