CN109585332A - 清洁腔室的方法、干式清洁系统及非暂态电脑可读取媒体 - Google Patents

清洁腔室的方法、干式清洁系统及非暂态电脑可读取媒体 Download PDF

Info

Publication number
CN109585332A
CN109585332A CN201811107929.9A CN201811107929A CN109585332A CN 109585332 A CN109585332 A CN 109585332A CN 201811107929 A CN201811107929 A CN 201811107929A CN 109585332 A CN109585332 A CN 109585332A
Authority
CN
China
Prior art keywords
reaction chamber
gas
plasma
manufacturing equipment
cleaning procedure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201811107929.9A
Other languages
English (en)
Other versions
CN109585332B (zh
Inventor
赖诚忠
陈舜钦
陈世芳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109585332A publication Critical patent/CN109585332A/zh
Application granted granted Critical
Publication of CN109585332B publication Critical patent/CN109585332B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32981Gas analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Abstract

本文公开一种干式清洁沉积反应腔室的方法、干式清洁系统以及非暂态电脑可读取媒体。在一些实施例中,清洁沉积反应腔室的方法包括:进行等离子体辅助清洁工艺,以清洁在沉积反应腔室的内表面所形成的管道沉积物,其中等离子体辅助清洁工艺包括:将第一反应气体提供到远端等离子体源以产生等离子体,其中等离子体包括含氟自由基;将等离子体从远端等离子体源提供到沉积反应腔室,以清洁管道沉积物;以及在进行等离子体辅助清洁工艺后,通过将第二反应气体提供到沉积反应腔室,以进行化学清洁工艺。

Description

清洁腔室的方法、干式清洁系统及非暂态电脑可读取媒体
技术领域
本公开实施例涉及一种清洁沉积反应腔室的方法,且特别涉及一种干式清洁沉积反应腔室的方法。
背景技术
半导体工艺包括许多不同的化学和物理工艺,上述工艺是用以构建相关图案的多层膜层。许多上述膜层沉积在管式炉系统中,这是非常经济的。然而,这种“热壁(hotwall)”炉系统会在反应腔室内表面上形成膜层,从而造成在晶圆表面上产生不可接受的高程度微粒污染并影响沉积条件。为了克服这些问题,需要频繁地清洁炉反应腔室(例如炉管)以实现和保持高制造良率。可以通过称为非原位(ex-situ)清洁工艺的湿式清洁工艺,从反应腔室的内表面去除不期望的管道沉积物。但上述工艺可能会耗时并增加交叉污染的风险。
另一方面来说,也可以通过基于原位(in-situ)等离子体辅助蚀刻的干式清洁工艺从反应腔室内表面去除管道沉积物,其可快速地去除管道沉积物并且可以最小化工具的停工时间(tool downtime)。然而,用于蚀刻管道沉积物的相同反应气体也可侵蚀反应腔室,导致对管道表面产生不期望的过蚀刻,特别是当管道沉积物包含与管道中的元素相同的元素时。因此,需要一种清洁具有硅(Si)基管道沉积物的硅基反应腔室的方法。至少由于上述的原因,目前用于清洁反应腔室的传统技术并不完全令人满意。
发明内容
在一些实施例中,一种清洁沉积反应腔室的方法,包括:进行等离子体辅助清洁工艺,以清洁在沉积反应腔室的内表面所形成的管道沉积物,其中等离子体辅助清洁工艺包括:将第一反应气体提供到远端等离子体源以产生等离子体,其中等离子体包括含氟自由基;以及将等离子体从远端等离子体源提供到沉积反应腔室,以清洁管道沉积物;以及在进行等离子体辅助清洁工艺后,通过将第二反应气体提供到沉积反应腔室,以进行化学清洁工艺。
在另一些实施例中,一种干式清洁系统,包括:气体传输系统,配置成提供至少一反应气体;半导体工艺设备,耦接到气体传输系统;远端等离子体系统,连接到气体传输系统,且配置成接收至少一反应气体,将至少一反应气体转换为等离子体,且将等离子体传递到半导体工艺设备;气体分析仪,连接到半导体工艺设备,且配置成分析来自半导体工艺设备的废气;及控制电脑,连接到且配置成控制气体传输系统、半导体工艺设备、远端等离子体系统、及气体分析仪,其中控制电脑控制远端等离子体系统以将等离子体提供到半导体工艺设备,且随后根据气体分析仪的输出控制气体传输系统以将至少一反应气体提供到反应腔室。
在又一些实施例中,提供一种非暂态电脑可读取媒体,在上述非暂态电脑可读取媒体上存储有电脑可执行指令,当执行电脑可执行指令时,将进行一种用于干式清洁半导体工艺反应腔室的方法,用于干式清洁半导体工艺反应腔室的方法包括:进行等离子体辅助清洁工艺,以清洁形成在半导体工艺反应腔室上的沉积物;以及进行化学清洁工艺,以进一步清洁半导体工艺反应腔室,其中等离子体辅助清洁工艺是使用等离子体的清洁工艺,其中等离子体是通过将至少一反应气体流入远端等离子体源所形成,且其中化学清洁工艺包括将反应气体流入半导体工艺反应腔室中。
附图说明
以下将配合附图详述本公开的实施例。应注意的是,依据在业界的标准做法,多种特征并未按照比例示出且仅用以说明例示。事实上,可能任意地放大或缩小元件的尺寸,以清楚地表现出本公开的特征。
图1示出根据一些实施例的用于清洁反应腔室的干式清洁方法的流程图。
图2示出根据一些实施例的整合到反应腔室炉的等离子体辅助干洗系统的示意图。
符号说明
100 方法
102、104、106、108、110、112、114、116、118 操作
200 系统
201、202、203 气体存储槽
204、205 反应气体存储槽
210 气体传输系统
210a、210b、210c、210d、210e 输入阀
211a、211b、211c、211d、211e 质量流量控制器(MFC)
212a、212b、212c、212d、212e 输出阀
220 远端等离子体系统
221 输入阀
222 远端等离子体源
223 旁通阀
224 氩气清除/承载管道
230 化学气相沉积反应腔室炉系统
231 反应腔室
232 侧壁加热器
233 气体供应入口连接
234 废气管线
235 真空泵
240 在线气体分析仪
250 电脑(控制电脑)
251、252 控制连接
253、254 连接
具体实施方式
应理解的是,以下公开许多不同的实施方法或是范例来实行所提供的标的的不同特征,以下描述具体的元件及其排列的实施例以阐述本公开。当然这些实施例仅用以例示,且不该以此限定本公开的范围。举例来说,应理解的是,当元件被称为“连接到”或“耦接到”另一个元件时,其可直接连接到或耦接到另一个元件,或亦可存在一或多个中间的元件。
本公开提供了用于等离子体辅助清洁反应腔室的方法和系统的各种实施例。这种系统可以整合到半导体工艺管式反应器中,以用于原位干式清洁。上述方法允许有效地清洁半导体工艺反应腔室,而不会对管道造成不期望的过度蚀刻,或者造成工具显著的停机时间。因此,可以有利地避免上述问题。
以下结合附图以理解说明书的示例性实施例,上述附图被认为是整个说明书的一部分。在说明书中,可能用到与空间相关的用词,例如“下”、“上”、“水平”、“垂直”、“在上方”、“在下方”、“上方”、“下方”、“顶部”和“底部”及类似的用词(例如“水平地”、“向下”、“向上”等)。上述空间相关用词应解释为代表如所描述的或如所讨论的附图中所示的方向。这些相对术语仅是为了便于描述,并非要求上述设备需以特定方向构造或操作。
图1示出根据一些实施例的用于清洁反应腔室的干式清洁方法100的流程图。在一些实施例中,反应腔室用于沉积半导体材料。上述半导体材料可为硅或含硅的材料,例如多晶硅、氧化硅、氮化硅或其他合适的材料。方法100从操作102开始,其中可将一或多个晶圆提供到反应腔室中,以进行半导体工艺(进行处理)。为了提高制造效率和降低生产成本,多年以来晶圆的尺寸是稳定地增加。标准的硅晶圆尺寸从约200mm(直径约8英寸)稳定地增长到300mm(直径约12英寸)。下一世代的晶圆标准设定为450毫米(直径约18英寸)。下一世代450mm的晶圆尺寸产生了在化学气相沉积工艺期间在整个晶圆船(wafer boat)的晶圆堆叠中维持均匀环境(例如温度和反应物分布)方面的挑战,所述化学气相沉积工艺是期望促进在每个晶圆表面上沉积均匀的材料膜层。在一些实施例中,反应腔室可用于处理大晶圆(例如直径12-18英寸)。在一些实施例中,反应腔室可以与自动控制系统和传送机构整合,以用于装载和卸载晶圆。根据一些实施例,多个晶圆可以在承载座(例如晶圆船)上作为堆叠一起处理或单独处理。管式炉(tube furnace)可以是卧式管式炉(horizontal tubefurnace)、立式管式炉(vertical tube furnace)、旋转管式炉(rotary tube furnace)、真空管式炉(vacuum tube furnace)、也可以是具有较大反应腔室的反应器型炉(reactortype of furnace)。
方法100继续到操作104,其中完成多个晶圆上的膜层沉积工艺。在温度从沉积温度变化(例如下降)到阈值温度以安全地打开反应腔室并将多个晶圆传送到下一个加工站或者存储站后,可以从反应腔室卸载多个晶圆。化学气相沉积工艺的温度可以在摄氏一百度到摄氏一千度之间变化,取决于欲沉积材料的类型及用于沉积这种材料的反应物。
方法100继续到操作106,其中准备了反应腔室的第一压力及温度设定。在操作106-114中,在第一压力及温度条件下,在反应腔室中进行等离子体辅助清洁工艺。配置等离子体辅助清洁工艺以去除(或蚀刻)在反应腔室的内表面上形成的材料,上述材料在下文中称为“管道沉积物”。在一些实施例中,等离子体辅助清洁工艺中的第一压力设定保持在几个托(Torr)的数量级,以最小化在较高压力下的再结合所导致原子反应物的损失,并维持等离子体。在一些实施例中,取决于待清洁的管道沉积物的类型,可将第一温度设定在摄氏200-500度的范围内。具体来说,可以基于所需的蚀刻速率和管道沉积物的厚度来调节温度。在一些实施例中,可以用惰性气体清除(purge)反应腔室以终止化学气相沉积反应,进而精确地控制厚度。
方法100继续到操作108,其中根据一些实施例,将至少一种反应气体(清洁气体)提供给远端等离子体源(远端等离子体源腔室)。在一些实施例中,反应气体可以是含氟的反应气体或其他合适的气体。远端等离子体清洁是设计以弥补其他射频(radiofrequency,RF)等离子体清洁的缺点,射频等离子体清洁具有许多缺陷,例如蚀刻速率慢、无法清洁未直接暴露于等离子体的元件、离子轰击造成的溅射腐蚀、以及反应气体的不完全解离。与射频等离子体清洁相反,远端等离子体清洁包括纯化学反应,而非离子轰击和化学诱导反应(chemically induced reaction)的组合。因此,远端等离子体干式清洁工艺的一些特征包括活性物质的产生、传输、及反应速率。
在一些实施例中,将至少一种反应气体供应至远端等离子体源,然后将上述反应气体解离成其组成原子。在一些实施例中,在远端等离子体之后的至少一种反应气体解离成包含带电原子或离子物质的等离子体。在一些实施例中,远端等离子体源中的放电单元可以基于如微波、射频(RF)等技术。在一些实施例中,远端等离子体源中至少一种反应气体的解离分率可超过95%。应注意的是,上述解离分率受操作范围(例如流速及/或压力)、解离效率、及来自化学附着和离子轰击的抗侵蚀性的影响。在一些实施例中,NF3、F2或其混合物也可用以作为氟的来源(fluorine source)。在一些实施例中,由于蚀刻速率与以含硅化合物蚀刻管道沉积物中的氟原子的局部浓度直接成正比,因此可以通过调节相对于至少一种反应气体的相对流速来使用和控制惰性承载气体(例如Ar或N2)。此外,惰性气体可用于激起和维持远端等离子体源中的等离子体的操作。在一些实施例中,举例来说,可使用能处理大流量的至少一种反应气体的远端等离子体源,以在用于处理大晶圆的大反应腔室中实现可接受的清洁速率。
方法100继续到操作110,其中根据一些实施例,将来自远端等离子体源中的至少一种反应气体所产生的等离子体提供到反应腔室。在一些实施例中,上述等离子体是在反应腔室中所使用,以对管道沉积物执行等离子体辅助蚀刻工艺。根据一些实施例,等离子体中的离子物质可从远端等离子体源通过由惰性材料制成的短传输区域而传递到反应腔室的内部,以使由于在传递区的逆反应、表面反应及/或最小化冷却(minimize cooling)所造成的活性离子物质损失最小化。在反应腔室中,解离的活性物质可以与管道沉积物反应,并将管道沉积物转化为挥发性的化合物,从而可作为废气而从反应腔室被去除。在一些实施例中,可以由侧壁加热器来控制管道表面的温度,以控制由热所启动的蚀刻速率及挥发。
方法100继续到操作112,其中根据一些实施例,使用在线气体分析仪(in-linegas analyzer)检验含有来自反应腔室中的等离子体辅助清洁工艺的挥发性化合物的废气。在一些实施例中,在线气体分析仪直接耦接到反应腔室的排气管线。在线气体分析仪提供快速且准确的非接触测量技术,以评估废气的组成(例如硅浓度或硅浓度的变化)。在一些实施例中,在线气体分析仪可为傅里叶转换红外线(Fourier Transform Infrared,FTIR)光谱仪、气相层析质谱仪(gas chronometry mass spectrometer,GCMS)等。方法100继续到操作114,以决定排出的硅浓度是否小于预定阈值。在一些实施例中,当废气中的硅浓度大于预定阈值时,代表反应腔室中仍有大量的管道沉积物,且上述方法100会返回到操作108,以继续进行等离子体辅助清洁工艺。在一些实施例中,预定阈值是由流速对反应腔室历史的函数所决定,例如工艺循环数、温度、管道沉积物的类型、清洁条件等所决定。
在一些实施例中,当废气中的硅浓度等于或小于预定阈值时,代表反应腔室中几乎没有管道沉积物,并且方法100继续到操作116,其中会将等离子体辅助清洁工艺中止,并准备反应腔室的第二压力及温度设定,且根据一些实施例,将反应气体(清洁气体)直接提供给反应腔室。在一些实施例中,可以关闭或绕过(bypass)远端等离子体源,以根据一些实施例将至少一种反应气体直接提供给反应腔室。与使用等离子体的化学反应相比,使用含氟反应气体的化学反应因较高的活化能所以会更慢,因此操作116中的第二温度设定可以高于操作110中使用的第一温度设定。在一些实施例中,第二压力设定也可大于第一压力设定,以提供更高浓度的反应气体。在一些实施例中,第二压力设定是在几百托的范围内。操作116可确保精确控制管道沉积物的清洁并将过度蚀刻反应腔室的机率最小化。在一些实施例中,在操作116中,化学清洁工艺中的至少一种反应气体包括含氢的气体(包括HF、H2等),以调节蚀刻速率。
方法100进一步继续到操作118,其中根据一些实施例,准备用于半导体工艺的反应腔室的第三压力及温度设定。在一些实施例中,第三压力及温度设定是根据相应的半导体工艺的材料和沉积条件来决定。在一些实施例中,在装载下一批晶圆以进行半导体工艺之前,先进行调节(condition)内管道表面的额外步骤。应注意的是,在方法100中的任何步骤之前或之后也可包括各种设定(set-up)和清除步骤。
图2示出根据一些实施例的用于等离子体辅助清洁工艺并与反应腔室整合的系统200的示意图。在一些实施例中,用于等离子体辅助清洁工艺的系统200包括气体传输系统210、远端等离子体系统220、化学气相沉积反应腔室炉系统(CVD reaction chamberfurnace system)230、气体分析仪240和控制电脑250(电脑)。气体传输系统210包括例如含有SiH4和NH3的反应气体存储槽204和205,在沉积硅及含硅化合物时使用。在一些实施例中,反应腔室是管式炉(tube furnace)。具有承载气体(例如Ar)的气体存储槽201可以直接连接到反应腔室231(半导体工艺反应腔室)的一端。在一些实施例中,具有反应气体的气体存储槽202和203(例如分别为NF3和F2)也可连接到反应腔室231。在每个气体供应管线之上,将质量流量控制器(mass flow controller,MFC)211a-211e(下文中称为“MFC 211”)、输入阀210a-210e及输出阀212a-212e整合,并且可由控制电脑250分开控制。远端等离子体系统220包括远端等离子体源222(远端等离子体源腔室)、连接到反应气体的气体管线输入阀221和旁通阀(bypass valve)223。在一些实施例中,氩气清除/承载管线224也可以连接到远端等离子体系统220。
设置远端等离子体系统220,以周期性地清洁反应腔室231。远端等离子体源222连接到多个反应气体存储槽,例如氟分子、氢分子、或其他含氟气体(例如氟化氢、三氟化氮及碳氟化合物)的一者或与其他气体(例如Ar)的组合。在一些实施例中,当至少一种反应气体包含碳氟化合物分子(例如CF4)时,可加入O2分子以去除反应腔室内表面上不期望的碳氟聚合物残余物。在一些实施例中,尤其是在蚀刻氮化硅时,可根据一些实施例添加N2分子。
通过承载气体,将远端等离子体系统220产生的活性离子物质通过气体供应入口连接233传送到反应腔室231。远端等离子体源222和反应腔室231之间的材料可以抵抗等离子体的侵蚀,并且远端等离子体源222和反应腔室231之间的距离应保持越短越好。在远端等离子体源222中产生清洁等离子体,以允许使用有效的等离子体产生器,并且不使管道受到可能存在于原位形成的等离子体中的辉光放电(glow discharge)的温度、辐射或轰击的影响。
化学气相沉积反应腔室系统230还可包括为了能量效率的热绝缘的炉壳体(未示出)、在反应腔室231和炉壳体之间的绝热材料(例如Al2O3纤维)。在化学气相沉积工艺期间,用于沉积反应的反应气体(例如反应气体存储槽204(SiH4)和反应气体存储槽205(NH3))是经由气体供应入口连接233而被引入反应腔室231,通过反应腔室231和多个晶圆堆叠循环,且通过废气管线234离开反应腔室231到真空泵235,如图2所示。可关闭用于沉积反应的反应气体,并且可开启用于清洁工艺的反应气体。
在一些实施例中,化学气相沉积反应腔室炉系统230可以收容晶圆船(未示出),上述晶圆船配置并适于支撑和固持多个垂直堆叠的晶圆。在一些实施例中,反应腔室231可以配置成允许将晶圆船插入反应腔室并从反应腔室去除,以批次处理(batch processing)晶圆。在一些实施例中,晶圆船包括开放式框架结构(open-frame structure),例如具有多个水平槽的梯型设计,用于支撑晶圆并允许反应气体在晶圆的表面上水平流动,以在其上形成所需的材料膜厚度。在一些实施例中,晶圆船的尺寸可设定以固持50-125个或更多个晶圆;然而,取决于反应腔室231的高度,晶圆船可固持任何合适数量的晶圆。晶圆船可以由石英或任何其他合适的材料制成。在一些实施例中,晶圆船可设置有马达驱动机构(未示出),以允许晶圆堆叠在化学气相沉积工艺期间旋转,以促进沉积在晶圆上的材料层的均匀厚度。
反应腔室231在一些实施例中可以具有圆柱状的形状,并且可以由石英、碳化硅(SiC)或任何其他合适的材料制成。根据在反应腔室231中进行的工艺类型,反应腔室231可包括管道沉积物,例如多晶硅或其他含硅材料。取决于每批次中待处理的晶圆的数量和尺寸,反应腔室231可具有任何合适的高度或长度。在一些示例性实施例中,反应腔室231可具有100-150cm的代表性垂直高度或长度;然而,其可提供任何合适的高度或长度。根据一些实施例,用于处理450mm晶圆的反应腔室231的尺寸必须具有大于约450mm的直径以及50-200cm的腔室长度。
可以提供与化学气相沉积的反应腔室231工艺组件和半导体炉一起使用的其他附件(appurtenance)。举例来说,可以装设(反应)气体供应入口连接233和废气管线234,以允许从反应腔室231引入和去除一或多种工艺气体。在化学气相沉积的反应腔室系统230中可包括气体歧管(manifold)和注入器(injector)、允许精确控制温度曲线和快速更换一批晶圆的炉冷却器、包围反应腔室231的外部绝热外壳、晶圆船电梯(elevator)或升降机(lift)、及用于将晶圆船定位、升高和降低以进/出反应腔室231的机器控制手臂(未示出)等。
可以通过合适的比例-积分-微分温度(proportional-integral-derivative,PID)控制器来控制化学气相沉积反应腔室炉230的操作和晶圆的工艺,以调节炉加热系统的热输出(包括温度上升和降低速率)。
继续参考图2,在一些实施例中,侧壁加热器232可为具有可控制热输出的电阻型加热器,可通过可变电阻控制(例如变阻器(rheostat)或其他合适、类似的电控制装置)调整输入到每个加热器的能量来调节热输出。侧壁加热器232是设置靠近外侧壁,并且布置为沿着反应腔室231的高度彼此垂直隔开,并具有分开的温度控制。在一些实施例中,侧壁加热器232可在反应腔室231内定义多个垂直加热器区,每个垂直加热器区中的温度是由单个侧壁加热器232所提供。在一些实施例中,侧壁加热器232可包括金属合金(例如Fe-Cr-Al合金)。
根据一些实施例,可以微调来自侧壁加热器232的热量输出以调整每个加热器区中的温度。来自每个侧壁加热器232的热量输出可与其他侧壁加热器分开调节。可以通过加热器控制器或电脑250,通过连接254与设置在反应腔室231中的温度感测器(例如热电偶,thermocouple)产生的控制信号、及/或基于由经验及与正在处理的晶圆的尺寸相关的经验数据(empirical data)导出的预定加热器温度输出设定、及/或沉积在晶圆上的材料膜的类型来自动调整每个侧壁加热器的热输出设定。
电脑250向气体传输系统210提供控制,气体传输系统210包括每个气体管线上的MFC 211、输入阀210a-210e及输出阀212a-212e、以及远端等离子体系统220,远端等离子体系统220包括远端等离子体源222、及经由控制连接251及252的输入阀221及旁通阀223。电脑250还可以通过连接254,通过连接到反应腔室231的废气管线234的在线气体分析仪240来检测废气的化学成分以接收输入信号。在一些实施例中,在线气体分析仪240可以是傅里叶转换红外线(FTIR)光谱仪或气相层析质谱仪(GCMS)或任何其他类型的在线气体分析仪,以准确且快速地测量挥发物种类的组成(尤其是硅浓度)。在一些实施例中,使用FTIR光谱仪测量废气组合物是基于不同频率下的气相分子的振动和转动特征(其与特定分子的能态(energy state)相关)。红外线辐射激发这些模式,以产生独特的红外线吸收光谱。此方法提供了检测各种气态化学品、快速检测、宽广的检测范围和低至百万分之一(ppm)程度的最低检测极限的能力。
在一些实施例中,硅浓度或硅浓度的变化可用于决定关闭远端等离子体源222上的射频电源、关闭输入阀221、并打开旁通阀223的时间,以在不通过远端等离子体源222的情况下,将用于化学清洁工艺的反应气体直接供应到反应腔室231。在一些实施例中,当硅浓度或硅浓度的变化达到预定阈值时,电脑250可以通过连接253向侧壁加热器232(反应腔室加热器)以及真空泵235提供控制信号,以在反应腔室中准备使用F2分子的化学清洁工艺的压力及温度。在一些实施例中,由于化学清洁工艺反应的活化能较高,化学清洁工艺的压力及温度高于等离子体辅助清洁工艺中的压力及温度。
在一些实施例中,一种清洁沉积反应腔室的方法,包括:进行等离子体辅助清洁工艺,以清洁在沉积反应腔室的内表面所形成的管道沉积物,其中等离子体辅助清洁工艺包括:将第一反应气体提供到远端等离子体源以产生等离子体,其中等离子体包括含氟自由基;以及将等离子体从远端等离子体源提供到沉积反应腔室,以清洁管道沉积物;以及在进行等离子体辅助清洁工艺后,通过将第二反应气体提供到沉积反应腔室,以进行化学清洁工艺。
在另一些实施例中,一种干式清洁系统,包括:气体传输系统,配置成提供至少一反应气体;半导体工艺设备,耦接到气体传输系统;远端等离子体系统,连接到气体传输系统,且配置成接收至少一反应气体,将至少一反应气体转换为等离子体,且将等离子体传递到半导体工艺设备;气体分析仪,连接到半导体工艺设备,且配置成分析来自半导体工艺设备的废气;及控制电脑,连接到且配置成控制气体传输系统、半导体工艺设备、远端等离子体系统、及气体分析仪,其中控制电脑控制远端等离子体系统以将等离子体提供到半导体工艺设备,且随后根据气体分析仪的输出控制气体传输系统以将至少一反应气体提供到反应腔室。
在又一些实施例中,提供一种非暂态电脑可读取媒体,在上述非暂态电脑可读取媒体上存储有电脑可执行指令,当执行电脑可执行指令时,将进行一种用于干式清洁半导体工艺反应腔室的方法,用于干式清洁半导体工艺反应腔室的方法包括:进行等离子体辅助清洁工艺,以清洁形成在半导体工艺反应腔室上的沉积物;以及进行化学清洁工艺,以进一步清洁半导体工艺反应腔室,其中等离子体辅助清洁工艺是使用等离子体的清洁工艺,其中等离子体是通过将至少一反应气体流入远端等离子体源所形成,且其中化学清洁工艺包括将反应气体流入半导体工艺反应腔室中。
在一些实施例中,沉积反应腔室包括石英或碳化硅。在一些实施例中,管道沉积物包括硅(Si)或含硅化合物。在一些实施例中,第一反应气体包括择自氟原子及三氟化氮所构成族群中的其中一者。在一些实施例中,第一反应气体还包括氩气。在一些实施例中,清洁沉积反应腔室的方法还包括:决定从等离子体辅助清洁工艺切换到化学清洁工艺的时间,其中决定包括:从沉积反应腔室获得废气;分析废气;计算废气中的半导体材料浓度;以及决定半导体材料浓度是否等于或小于预定阈值。在一些实施例中,分析废气是通过耦接到沉积反应腔室的排气管线的在线气体分析仪所进行。在一些实施例中,等离子体辅助清洁工艺是在第一压力下进行,且化学清洁工艺是在第二压力下进行,其中第一压力与第二压力不同。在一些实施例中,等离子体辅助清洁工艺是在第一温度下进行,且化学清洁工艺是在第二温度下进行,其中第一温度与第二温度不同。
在一些实施例中,气体传输系统进一步配置成将包括硅的至少一反应气体提供到半导体工艺设备。在一些实施例中,气体分析仪是傅里叶转换红外光谱仪。在一些实施例中,半导体工艺设备包括沉积反应腔室、至少一加热器、及连接到工艺反应腔室的泵。在一些实施例中,沉积反应腔室包括石英或碳化硅。在一些实施例中,气体分析仪配置成接收来自半导体工艺设备的废气;以及测量废气的化学组成。在一些实施例中,控制电脑进一步配置成配置气体传输系统及半导体工艺设备,以建立用以进行半导体生产工艺步骤的第一温度及第一压力;配置气体传输系统及半导体工艺设备,以建立用以进行等离子体辅助清洁工艺的第二温度及第二压力;以及配置气体传输系统及半导体工艺设备,以建立用以进行化学清洁工艺的第三温度及第三压力。在一些实施例中,第三温度及第三压力分别大于第二温度及第二压力。在一些实施例中,气体分析仪耦接到半导体工艺设备的废气管线。
在一些实施例中,用于干式清洁半导体工艺反应腔室的方法还包括决定从等离子体辅助清洁工艺切换到化学清洁工艺的时间,其中决定包括:从半导体工艺反应腔室获得废气样本;分析废气样本;计算废气样本中的硅(Si)浓度;以及决定硅浓度是否等于或小于预定阈值。
虽然上述内容概述许多实施例的特征,但是应理解的是,其仅为范例而非限制。同样地,各种附图可以描绘上述范例的架构或配置,以使得本领域技术人员可理解本公开的示例性特征及功能。然而,本领域技术人员理解本公开并不限于所示出的示例性架构或配置,而可使用各种替代性架构和配置来实现本公开。另外,本领域技术人员将理解,一实施例的一或多个特征可以与本文描述的另一实施例的一或多个特征组合。因此,本公开的广度和范围不应受任何上述示例性实施例的限制。
还应理解的是,本文中使用的如“第一”、“第二”等元件的任何代号,一般来说并未限制上述元件的数量或顺序。相反的是,这些代号在本文中可以用作区分两个或更多个元件或范例的便利手段。因此,代号第一和第二元件并非代表仅可使用两个元件、或者第一元件必须以某种方式在第二元件之前。
此外,本领域技术人员将理解,可以使用任一种不同技术和技艺表示信息和信号。举例来说,在上述描述中,数据、指令、命令、信息、信号、位元和符号可通过例如电压、电流、电磁波、磁场或粒子、光场或粒子、或任何组合来代表。
本领域技术人员将进一步理解,结合本文公开的内容所描述的各种说明性逻辑区域、模块、处理器、装置、电路、方法和功能中的任何一者可以通过电子硬件(如数字实施、模拟实施或其组合)、固件、包含指令的各种形式的程序或设计编码(为方便起见可称为“软件”或“软件模块”)、或这些技术的任何组合来实施。
为了清楚地说明硬件、固件及软件的这种可互换性,上文已在功能方面对各种说明性组件、区域、模块、电路和步骤进行了总体描述。这些功能实现为硬件、固件或软件或其组合的何者是取决于加于整个系统的特定应用和设计限制。技术人士可以针对特定应用的每一者,以各种方式来实现所描述的功能,但是这样的实现决定不会导致脱离本公开的范围。根据各种实施例,可配置处理器、装置、元件、电路、结构、机器、模块等以执行所本文描述的一或多个功能。本文关于特定操作或功能所使用的术语“配置为”或“配置用于”指的是物理地构造、程序化及/或安排以执行指定的操作或功能的处理器、装置、元件、电路、结构、机器、模块等。
此外,本领域技术人员将理解本文所描述的各种说明性逻辑区域、模块、装置、元件、及电路可以在可以包括通用处理器、数字信号处理器(digital signal processor,DSP)、特殊应用集成电路(application specific integrated circuit,ASIC)、场可程序化逻辑门阵列(field programmable gate array,FPGA)或其他可程序化逻辑装置、或其任何组合的集成电路(integrated circuit,IC)内实现或执行。上述逻辑区域、模块和电路还可包括天线及/或收发器,以与网络内或装置内的各种组件通信。通用处理器可为微处理器,或上述处理器亦可为任何传统的处理器、控制器或状态机。上述处理器还可为电脑装置的组合,例如DSP及微处理器、多个微处理器、一或多个微处理器结合DSP核心、或者用以执行所描述功能的任何其他合适的配置的组合。
如果以软件实现,则可以将上述功能作为一或多个指令或编码存储在电脑可读取媒体上。因此,本文公开的方法或演算法的步骤可以实现为存储在电脑可读取媒体上的软件。电脑可读取媒体包括电脑存储媒体和通信媒体,通信媒体包括能够将电脑程序或编码从一个地方传送到另一个地方的任何媒体。存储媒体可以是电脑可以存取的任何可用媒体。仅作为范例而非用于限制,这种电脑可读取媒体可包括RAM、ROM、EEPROM、CD-ROM或其他光盘存储器、磁盘存储器或其他磁性存储设备、或任何其他可用于存储形式为指令或数据结构,并且可由电脑存取的期望的程序编码的媒体。
在本文中所使用的术语“模块”是代表用于执行本文描述的相关功能的软件、固件、硬件和这些元件的任何组合。此外,为了讨论,各种模块是描述为分离的模块;然而,对于本领域技术人员来说显而易见的,可将两个或更多个模块进行组合以形成单个模块,上述单个模块是根据本公开的实施例而执行相关的功能。
此外,在本公开的实施例中可使用存储器或其他存储以及通信组件。应理解的是,为了清楚起见,上述说明以参考不同的功能单元和处理器描述本公开的实施例。然而,显而易见的是,亦可使用不同的功能单元、处理逻辑元件或领域间的任何合适的功能的分布,而不背离本公开。举例来说,所示出由单独的处理逻辑元件或控制器执行的功能可以由相同的处理逻辑元件或控制器来执行。因此,对特定功能单元的标号仅代表用于提供所描述的功能的合适装置,而非代表严格的逻辑或物理结构或组织。
上述内容概述许多实施例的特征,因此任何所属技术领域中技术人员,可更加理解本公开的各方面。任何所属技术领域中技术人员,可能无困难地以本公开为基础,设计或修改其他工艺及结构,以达到与本公开实施例相同的目的及/或得到相同的优点。任何所属技术领域中技术人员也应了解,在不脱离本公开的精神和范围内做不同改变、代替及修改,如此等效的创造并没有超出本公开的精神及范围。

Claims (10)

1.一种清洁沉积反应腔室的方法,包括:
进行一等离子体辅助清洁工艺,以清洁在该沉积反应腔室的一内表面所形成的多个管道沉积物,其中该等离子体辅助清洁工艺包括:
将一第一反应气体提供到一远端等离子体源以产生一等离子体,其中该等离子体包括一含氟自由基;和
将该等离子体从该远端等离子体源提供到该沉积反应腔室,以清洁所述管道沉积物;以及
在进行该等离子体辅助清洁工艺后,通过将一第二反应气体提供到该沉积反应腔室,以进行一化学清洁工艺。
2.如权利要求1所述的清洁沉积反应腔室的方法,其中该沉积反应腔室包括石英或碳化硅。
3.如权利要求1所述的清洁沉积反应腔室的方法,其中该第一反应气体包括择自氟原子及三氟化氮所构成族群中的其中一者。
4.如权利要求1所述的清洁沉积反应腔室的方法,还包括:
决定从该等离子体辅助清洁工艺切换到该化学清洁工艺的一时间,其中该决定的步骤包括:
从该沉积反应腔室获得一废气;
分析该废气;
计算该废气中的一半导体材料浓度;以及
决定该半导体材料浓度是否等于或小于一预定阈值。
5.一种干式清洁系统,包括:
一气体传输系统,配置成提供至少一反应气体;
一半导体工艺设备,耦接到该气体传输系统;
一远端等离子体系统,连接到该气体传输系统,且配置成接收该至少一反应气体,将该至少一反应气体转换为一等离子体,且将该等离子体传递到该半导体工艺设备;
一气体分析仪,连接到该半导体工艺设备,且配置成分析来自该半导体工艺设备的一废气;
一控制电脑,连接到且配置成控制该气体传输系统、该半导体工艺设备、该远端等离子体系统、及该气体分析仪,其中该控制电脑控制该远端等离子体系统以将该等离子体提供到该半导体工艺设备,且随后根据该气体分析仪的一输出,控制该气体传输系统以将该至少一反应气体提供到该半导体工艺设备。
6.如权利要求5所述的干式清洁系统,其中该半导体工艺设备包括一沉积反应腔室、至少一加热器、及连接到该工艺反应腔室的一泵。
7.如权利要求5所述的干式清洁系统,其中该气体分析仪配置成:
接收来自该半导体工艺设备的该废气;以及
测量该废气的化学组成。
8.如权利要求5所述的干式清洁系统,其中该控制电脑进一步配置成:
配置该气体传输系统及该半导体工艺设备,以建立用以进行一半导体生产工艺步骤的一第一温度及一第一压力;
配置该气体传输系统及该半导体工艺设备,以建立用以进行一等离子体辅助清洁工艺的一第二温度及一第二压力;以及
配置该气体传输系统及该半导体工艺设备,以建立用以进行一化学清洁工艺的一第三温度及一第三压力。
9.如权利要求5所述的干式清洁系统,其中该气体分析仪耦接到该半导体工艺设备的一废气管线。
10.一种非暂态电脑可读取媒体,该非暂态电脑可读取媒体上存储有多个电脑可执行指令,当执行所述电脑可执行指令时,将进行一种用于干式清洁一半导体工艺反应腔室的方法,该方法包括:
进行一等离子体辅助清洁工艺,以清洁形成在该半导体工艺反应腔室上的多个沉积物;以及
进行一化学清洁工艺,以进一步清洁该半导体工艺反应腔室,
其中该等离子体辅助清洁工艺是使用一等离子体的一清洁工艺,其中该等离子体是通过将至少一反应气体流入一远端等离子体源所形成,且其中该化学清洁工艺的步骤包括将该反应气体流入该半导体工艺反应腔室中。
CN201811107929.9A 2017-09-28 2018-09-21 清洁腔室的方法、干式清洁系统及非暂态电脑可读取媒体 Active CN109585332B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762564899P 2017-09-28 2017-09-28
US62/564,899 2017-09-28
US16/115,139 2018-08-28
US16/115,139 US20190093218A1 (en) 2017-09-28 2018-08-28 In-situ dry clean of tube furnace

Publications (2)

Publication Number Publication Date
CN109585332A true CN109585332A (zh) 2019-04-05
CN109585332B CN109585332B (zh) 2021-06-01

Family

ID=65807342

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811107929.9A Active CN109585332B (zh) 2017-09-28 2018-09-21 清洁腔室的方法、干式清洁系统及非暂态电脑可读取媒体

Country Status (3)

Country Link
US (2) US20190093218A1 (zh)
CN (1) CN109585332B (zh)
TW (1) TWI701355B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110055514B (zh) * 2019-06-11 2021-04-27 厦门乾照光电股份有限公司 气相沉积设备及其控制方法、腔体清洁方法
US11791141B2 (en) * 2020-07-29 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for residual gas analysis
CN113066740B (zh) * 2021-03-26 2022-04-01 长江存储科技有限责任公司 一种半导体设备和清洗方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110023908A1 (en) * 2009-07-30 2011-02-03 Applied Materials, Inc. Methods and apparatus for process abatement with recovery and reuse of abatement effluent
CN102958622A (zh) * 2010-08-25 2013-03-06 琳德股份公司 使用分子氟的化学气相沉积腔室清洁
CN103374710A (zh) * 2012-04-28 2013-10-30 理想能源设备(上海)有限公司 反应腔的清洗方法、反应腔的清洗系统

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5211796A (en) * 1990-01-08 1993-05-18 Lst Logic Corporation Apparatus for performing in-situ etch of CVD chamber
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US20100240224A1 (en) * 2009-03-20 2010-09-23 Taiwan Semiconductor Manufactruing Co., Ltd. Multi-zone semiconductor furnace
US10043641B2 (en) * 2016-09-22 2018-08-07 Applied Materials, Inc. Methods and apparatus for processing chamber cleaning end point detection

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110023908A1 (en) * 2009-07-30 2011-02-03 Applied Materials, Inc. Methods and apparatus for process abatement with recovery and reuse of abatement effluent
CN102958622A (zh) * 2010-08-25 2013-03-06 琳德股份公司 使用分子氟的化学气相沉积腔室清洁
CN103374710A (zh) * 2012-04-28 2013-10-30 理想能源设备(上海)有限公司 反应腔的清洗方法、反应腔的清洗系统

Also Published As

Publication number Publication date
US20220356570A1 (en) 2022-11-10
TWI701355B (zh) 2020-08-11
US20190093218A1 (en) 2019-03-28
CN109585332B (zh) 2021-06-01
TW201925518A (zh) 2019-07-01

Similar Documents

Publication Publication Date Title
KR101929096B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 프로그램 및 가스 공급관
KR101427726B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
US8440048B2 (en) Load lock having secondary isolation chamber
KR0149442B1 (ko) 기판상의 층용착 방법 및 그 처리 시스템
KR20180056388A (ko) 기판 처리 장치, 반응관 구조 및 반도체 장치의 제조 방법
US8791031B2 (en) Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
US20120015525A1 (en) Method of cleaning a thin film forming apparatus, thin film forming method, and thin film forming apparatus
CN109585332A (zh) 清洁腔室的方法、干式清洁系统及非暂态电脑可读取媒体
US9885123B2 (en) Rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
US10131992B2 (en) Substrate processing apparatus, method of controlling substrate processing apparatus, method of maintaining substrate processing apparatus, and recording medium
US6738683B1 (en) Apparatus and method for cleaning a bell jar in a barrel epitaxial reactor
WO2006062795A2 (en) Protoresist strip using solvent vapor
WO2011021635A1 (ja) 基板処理システム、群管理装置及び基板処理システムにおける表示方法
CN113140493A (zh) 铅直晶圆容器系统
CN100533656C (zh) 成膜装置及其使用方法
US20130152857A1 (en) Substrate Processing Fluid Delivery System and Method
JP6823575B2 (ja) 基板処理装置、反応管及び半導体装置の製造方法
US10256162B2 (en) Substrate processing system, control device, and substrate processing method
JP2009016426A (ja) 半導体装置の製造方法および基板処理装置
TW202017666A (zh) 清潔方法、半導體裝置之製造方法、基板處理裝置及記錄媒體
JP2008078505A (ja) 基板処理装置
US20240150932A1 (en) Method of producing epitaxial layer wafers in a chamber of a deposition reactor
JP5571157B2 (ja) 半導体装置の製造方法、クリーニング方法および基板処理装置
JP2007324478A (ja) 基板処理装置
IL305703A (en) A method for producing semiconductor wafers with an epitaxial layer in a deposition reactor cell

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant