TWI701355B - 清潔沉積反應腔室的方法、乾式清潔系統、以及非暫態電腦可讀取媒體 - Google Patents

清潔沉積反應腔室的方法、乾式清潔系統、以及非暫態電腦可讀取媒體 Download PDF

Info

Publication number
TWI701355B
TWI701355B TW107133607A TW107133607A TWI701355B TW I701355 B TWI701355 B TW I701355B TW 107133607 A TW107133607 A TW 107133607A TW 107133607 A TW107133607 A TW 107133607A TW I701355 B TWI701355 B TW I701355B
Authority
TW
Taiwan
Prior art keywords
reaction chamber
plasma
gas
cleaning process
cleaning
Prior art date
Application number
TW107133607A
Other languages
English (en)
Other versions
TW201925518A (zh
Inventor
誠忠 賴
陳舜欽
陳世芳
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201925518A publication Critical patent/TW201925518A/zh
Application granted granted Critical
Publication of TWI701355B publication Critical patent/TWI701355B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32981Gas analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文揭露一種乾式清潔沉積反應腔室的方法。在一些實施例中,清潔沉積反應腔室的方法包括:進行電漿輔助清潔製程,以清潔在沉積反應腔室之內表面所形成之管道沉積物,其中電漿輔助清潔製程包括:將第一反應氣體提供到遠端電漿源以產生電漿,其中電漿包括含氟自由基;將電漿從遠端電漿源提供到沉積反應腔室,以清潔管道沉積物;以及在進行電漿輔助清潔製程後,藉由將第二反應氣體提供到沉積反應腔室,以進行化學清潔製程。

Description

清潔沉積反應腔室的方法、乾式清潔系統、以及非暫態電腦可讀取媒體
本揭露實施例係關於一種清潔沉積反應腔室的方法,且特別係關於一種乾式清潔沉積反應腔室的方法。
半導體製程包括許多不同的化學和物理製程,上述製程係用以構建相關圖案的多層膜層。許多上述膜層係沉積在管式爐系統中,這是非常經濟的。然而,這種“熱壁(hotwall)”爐系統會在反應腔室內表面上形成膜層,從而造成在晶圓表面上產生不可接受的高程度微粒污染並影響沉積條件。為了克服這些問題,需要頻繁地清潔爐反應腔室(例如爐管)以實現和保持高製造良率。可以藉由稱為非原位(ex-situ)清潔製程的濕式清潔製程,從反應腔室的內表面去除不期望的管道沉積物。但上述製程可能會耗時並增加交叉污染的風險。
另一方面來說,也可以藉由基於原位(in-situ)電漿輔助蝕刻的乾式清潔製程從反應腔室內表面去除管道沉積物,其可快速地去除管道沉積物並且可以最小化工具的停工時間(tool downtime)。然而,用於蝕刻管道沉積物的相同反應氣體也可侵蝕反應腔室,導致對管道表面產生不期望的過蝕刻,特別是當管道沉積物包含與管道中的元素相同的元素時。因此,需要一種清潔具有矽(Si)基管道沉積物的矽基反應腔室的方法。至少由於上述的原因,目前用於清潔反應腔室的傳統技術並不完全令人滿意。
在一些實施例中,一種清潔沉積反應腔室的方法,包括:進行電漿輔助清潔製程,以清潔在沉積反應腔室之內表面所形成之管道沉積物,其中電漿輔助清潔製程包括:將第一反應氣體提供到遠端電漿源以產生電漿,其中電漿包括含氟自由基;以及將電漿從遠端電漿源提供到沉積反應腔室,以清潔管道沉積物;以及在進行電漿輔助清潔製程後,藉由將第二反應氣體提供到沉積反應腔室,以進行化學清潔製程。
在另一些實施例中,一種乾式清潔系統,包括:氣體傳輸系統,配置以提供至少一反應氣體;半導體製程設備,耦接到氣體傳輸系統;遠端電漿系統,連接到氣體傳輸系統,且配置以接收至少一反應氣體,將至少一反應氣體轉換為電漿,且將電漿傳遞到半導體製程設備;氣體分析儀,連接到半導體製程設備,且配置以分析來自半導體製程設備之廢氣;及控制電腦,連接到且配置以控制氣體傳輸系統、半導體製程設備、遠端電漿系統、及氣體分析儀,其中控制電腦控制遠端電漿系統以將電漿提供到半導體製程設備,且隨後因應於氣體分析儀之輸出控制氣體傳輸系統以將至少一反應氣體提供到反應腔室。
在又一些實施例中,提供一種非暫態電腦可讀取媒體,在上述非暫態電腦可讀取媒體上儲存有電腦可執行指令,當執行電腦可執行指令時,將進行一種用於乾式清潔半導體製程反應腔室之方法,用於乾式清潔半導體製程反應腔室之方法包括:進行電漿輔助清潔製程,以清潔形成在半導體製程反應腔室上之沉積物;以及進行化學清潔製程,以進一步清潔半導體製程反應腔室,其中電漿輔助清潔製程係使用電漿之清潔製程,其中電漿係藉由將至少一反應氣體流入遠端電漿源所形成,且其中化學清潔製程包括將反應氣體流入半導體製程反應腔室中。
應理解的是,以下公開許多不同的實施方法或是範例來實行所提供之標的之不同特徵,以下描述具體的元件及其排列的實施例以闡述本揭露。當然這些實施例僅用以例示,且不該以此限定本揭露的範圍。舉例來說,應理解的是,當元件被稱為“連接到”或“耦接到”另一個元件時,其可直接連接到或耦接到另一個元件,或亦可存在一或多個中間的元件。
本揭露提供了用於電漿輔助清潔反應腔室的方法和系統的各種實施例。這種系統可以整合到半導體製程管式反應器中,以用於原位乾式清潔。上述方法允許有效地清潔半導體製程反應腔室,而不會對管道造成不期望的過度蝕刻,或者造成工具顯著的停機時間。因此,可以有利地避免上述問題。
以下結合附圖以理解說明書的示例性實施例,上述附圖被認為是整個說明書的一部分。在說明書中,可能用到與空間相關的用詞,例如“下”、“上”、 “水平”、“垂直”、“在上方”、“在下方”、“上方”、“下方”、“頂部”和“底部”及類似的用詞(例如 “水平地”、“向下”、“向上”等)。上述空間相關用詞應解釋為代表如所描述的或如所討論的附圖中所示的方向。這些相對術語僅是為了便於描述,並非要求上述設備需以特定方向構造或操作。
第1圖繪示根據一些實施例的用於清潔反應腔室的乾式清潔方法100的流程圖。在一些實施例中,反應腔室係用於沉積半導體材料。上述半導體材料可為矽或含矽的材料,例如多晶矽、氧化矽、氮化矽或其他合適的材料。方法100從操作102開始,其中可將一或多個晶圓提供到反應腔室中,以進行半導體製程(進行處理)。為了提高製造效率和降低生產成本,多年以來晶圓的尺寸係穩定地增加。標準的矽晶圓尺寸從約200mm(直徑約8英寸)穩定地增長到300mm(直徑約12英寸)。下一世代的晶圓標準設定為450毫米(直徑約18英寸)。下一世代450mm的晶圓尺寸產生了在化學氣相沉積製程期間在整個晶圓船(wafer boat)的晶圓堆疊中維持均勻環境(例如溫度和反應物分佈)方面的挑戰,所述化學氣相沉積製程係期望促進在每個晶圓表面上沉積均勻的材料膜層。在一些實施例中,反應腔室可用於處理大晶圓(例如直徑12-18英寸)。在一些實施例中,反應腔室可以與自動控制系統和傳送機構整合,以用於裝載和卸載晶圓。根據一些實施例,多個晶圓可以在承載座(例如晶圓船)上作為堆疊一起處理或單獨處理。管式爐(tube furnace)可以是臥式管式爐(horizontal tube furnace)、立式管式爐(vertical tube furnace)、旋轉管式爐(rotary tube furnace)、真空管式爐(vacuum tube furnace)、也可以是具有較大反應腔室的反應器型爐(reactor type of furnace)。
方法100繼續到操作104,其中完成多個晶圓上的膜層沉積製程。在溫度從沉積溫度變化(例如下降)到閾值溫度以安全地打開反應腔室並將多個晶圓傳送到下一個加工站或者儲存站後,可以從反應腔室卸載多個晶圓。化學氣相沉積製程的溫度可以在攝氏一百度到攝氏一千度之間變化,取決於欲沉積材料的類型及用於沉積這種材料的反應物。
方法100繼續到操作106,其中準備了反應腔室的第一壓力及溫度設定。在操作106-114中,在第一壓力及溫度條件下,在反應腔室中進行電漿輔助清潔製程。配置電漿輔助清潔製程以去除(或蝕刻)在反應腔室的內表面上形成的材料,上述材料在下文中稱為“管道沉積物”。在一些實施例中,電漿輔助清潔製程中的第一壓力設定係保持在幾個托(Torr)的數量級,以最小化在較高壓力下的再結合所導致原子反應物的損失,並維持電漿。在一些實施例中,取決於待清潔的管道沉積物的類型,可將第一溫度設定在攝氏200-500度的範圍內。具體來說,可以基於所需的蝕刻速率和管道沉積物的厚度來調節溫度。在一些實施例中,可以用惰性氣體清除(purge)反應腔室以終止化學氣相沉積反應,進而精確地控制厚度。
方法100繼續到操作108,其中根據一些實施例,將至少一種反應氣體(清潔氣體)提供給遠端電漿源(遠端電漿源腔室)。在一些實施例中,反應氣體可以是含氟的反應氣體或其他合適的氣體。遠端電漿清潔係設計以彌補其他射頻(radio frequency,RF)電漿清潔的缺點,射頻電漿清潔具有許多缺陷,例如蝕刻速率慢、無法清潔未直接暴露於電漿的元件、離子轟擊造成的濺射腐蝕、以及反應氣體的不完全解離。與射頻電漿清潔相反,遠端電漿清潔包括純化學反應,而非離子轟擊和化學誘導反應(chemically induced reaction)的組合。因此,遠端電漿乾式清潔製程的一些特徵包括活性物質的產生、傳輸、及反應速率。
在一些實施例中,將至少一種反應氣體供應至遠端電漿源,然後將上述反應氣體解離成其組成原子。在一些實施例中,在遠端電漿之後的至少一種反應氣體解離成包含帶電原子或離子物質的電漿。在一些實施例中,遠端電漿源中的放電單元可以基於如微波、射頻(RF)等技術。在一些實施例中,遠端電漿源中至少一種反應氣體的解離分率可超過95%。應注意的是,上述解離分率係受操作範圍(例如流速及/或壓力)、解離效率、及來自化學附著和離子轟擊的抗侵蝕性的影響。在一些實施例中,NF3 、F2 或其混合物也可用以作為氟的來源(fluorine source)。在一些實施例中,由於蝕刻速率與以含矽化合物蝕刻管道沉積物中的氟原子的局部濃度直接成正比,因此可以藉由調節相對於至少一種反應氣體的相對流速來使用和控制惰性承載氣體(例如Ar或N2 )。此外,惰性氣體可用於激起和維持遠端電漿源中電漿的操作。在一些實施例中,舉例來說,可使用能處理大流量的至少一種反應氣體的遠端電漿源,以在用於處理大晶圓的大反應腔室中實現可接受的清潔速率。
方法100繼續到操作110,其中根據一些實施例,將來自遠端電漿源中的至少一種反應氣體所產生的電漿提供到反應腔室。在一些實施例中,上述電漿係在反應腔室中所使用,以對管道沉積物執行電漿輔助蝕刻製程。根據一些實施例,電漿中的離子物質可從遠端電漿源通過由惰性材料製成的短傳輸區域而傳遞到反應腔室的內部,以使由於在傳遞區的逆反應、表面反應及/或最小化冷卻(minimize cooling)所造成的活性離子物質損失最小化。在反應腔室中,解離的活性物質可以與管道沉積物反應,並將管道沉積物轉化為揮發性的化合物,從而可作為廢氣而從反應腔室被去除。在一些實施例中,可以由側壁加熱器來控制管道表面的溫度,以控制由熱所啟動的蝕刻速率及揮發。
方法100繼續到操作112,其中根據一些實施例,使用在線氣體分析儀(in-line gas analyzer)檢驗含有來自反應腔室中的電漿輔助清潔製程的揮發性化合物的廢氣。在一些實施例中,在線氣體分析儀直接耦接到反應腔室的排氣管線。在線氣體分析儀提供快速且準確的非接觸測量技術,以評估廢氣的組成(例如矽濃度或矽濃度的變化)。在一些實施例中,在線氣體分析儀可為傅立葉轉換紅外線(Fourier Transform Infrared,FTIR)光譜儀、氣相層析質譜儀(gas chronometry mass spectrometer,GCMS)等。在一些實施例中,當廢氣中的矽濃度大於預定閾值時,代表反應腔室中仍有大量的管道沉積物,且上述方法100會返回到操作108,以繼續進行電漿輔助清潔製程。在一些實施例中,預定閾值係由流速對反應腔室歷史的函數所決定,例如製程循環數、溫度、管道沉積物的類型、清潔條件等所決定。
在一些實施例中,當廢氣中的矽濃度等於或小於預定閾值時,代表反應腔室中幾乎沒有管道沉積物,並且方法100繼續到操作116,其中會將電漿輔助清潔製程中止,並準備反應腔室的第二壓力及溫度設定,且根據一些實施例,將反應氣體(清潔氣體)直接提供給反應腔室。在一些實施例中,可以關閉或繞過(bypass)遠端電漿源,以根據一些實施例將至少一種反應氣體直接提供給反應腔室。與使用電漿的化學反應相比,使用含氟反應氣體的化學反應因較高的活化能所以會更慢,因此操作116中的第二溫度設定可以高於操作110中使用的第一溫度設定。在一些實施例中,第二壓力設定也可大於第一壓力設定,以提供更高濃度的反應氣體。在一些實施例中,第二壓力設定係在幾百托的範圍內。操作116可確保精確控制管道沉積物的清潔並將過度蝕刻反應腔室的機率最小化。在一些實施例中,在操作116中,化學清潔製程中的至少一種反應氣體包括含氫的氣體(包括HF、H2 等),以調節蝕刻速率。
方法100進一步繼續到操作118,其中根據一些實施例,準備用於半導體製程的反應腔室的第三壓力及溫度設定。在一些實施例中,第三壓力及溫度設定係根據相應的半導體製程的材料和沈積條件來決定。在一些實施例中,在裝載下一批晶圓以進行半導體製程之前,先進行調節(condition)內管道表面的額外步驟。應注意的是,在方法100中的任何步驟之前或之後也可包括各種設定(set-up)和清除步驟。
第2圖繪示根據一些實施例的用於電漿輔助清潔製程並與反應腔室整合的系統200的示意圖。在一些實施例中,用於電漿輔助清潔製程的系統200包括氣體傳輸系統210、遠端電漿系統220、化學氣相沉積反應腔室爐系統(CVD reaction chamber furnace system)230、氣體分析儀240和控制電腦250(電腦)。氣體傳輸系統210包括例如含有SiH4 和NH3 的反應氣體儲存槽204和205,在沉積矽及含矽化合物時使用。在一些實施例中,反應腔室是管式爐(tube furnace)。具有承載氣體(例如Ar)的氣體儲存槽201可以直接連接到反應腔室231(半導體製程反應腔室)的一端。在一些實施例中,具有反應氣體的氣體儲存槽202和203(例如分別為NF3 和F2 )也可連接到反應腔室231。在每個氣體供應管線之上,將質量流量控制器(mass flow controller,MFC)211a-211e(下文中稱為“MFC 211”)、輸入閥210a-210e及輸出閥212a-212e整合,並且可由控制電腦250分開控制。遠端電漿系統220包括遠端電漿源222(遠端電漿源腔室)、連接到反應氣體的氣體管線輸入閥221和旁通閥(bypass valve)223。在一些實施例中,氬氣清除/承載管線224也可以連接到遠端電漿系統220。
設置遠端電漿系統220,以周期性地清潔反應腔室231。遠端電漿源222連接到多個反應氣體儲存槽,例如氟分子、氫分子、或其他含氟氣體(例如氟化氫、三氟化氮及碳氟化合物)之一者或與其他氣體(例如Ar)的組合。在一些實施例中,當至少一種反應氣體包含碳氟化合物分子(例如CF4 )時,可加入O2 分子以去除反應腔室內表面上不期望的碳氟聚合物殘餘物。在一些實施例中,尤其是在蝕刻氮化矽時,可根據一些實施例添加N2 分子。
藉由承載氣體,將遠端電漿系統220產生的活性離子物質通過氣體供應入口連接233傳送到反應腔室231。遠端電漿源222和反應腔室231之間的材料可以抵抗電漿的侵蝕,並且遠端電漿源222和反應腔室231之間的距離應保持越短越好。在遠端電漿源222中產生清潔電漿,以允許使用有效的電漿產生器,並且不使管道受到可能存在於原位形成的電漿中的輝光放電(glow discharge)的溫度、輻射或轟擊的影響。
化學氣相沉積反應腔室系統230還可包括為了能量效率的熱絕緣的爐殼體(未示出)、在反應腔室231和爐殼體之間絕熱材料(例如Al2 O3 纖維)。在化學氣相沉積製程期間,用於沉積反應的反應氣體(例如反應氣體儲存槽204(SiH4 )和反應氣體儲存槽205(NH3 ))係經由氣體供應入口連接233而被引入反應腔室231,通過反應腔室231和多個晶圓堆疊循環,且通過廢氣管線234離開反應腔室231到真空泵235,如第2圖所示。可關閉用於沉積反應的反應氣體,並且可開啟用於清潔製程的反應氣體。
在一些實施例中,化學氣相沉積反應腔室爐系統230可以收容晶圓船(未示出),上述晶圓船係配置並適於支撐和固持多個垂直堆疊的晶圓。在一些實施例中,反應腔室231可以配置成允許將晶圓船插入反應腔室並從反應腔室去除,以批次處理(batch processing)晶圓。在一些實施例中,晶圓船包括開放式框架結構(open-frame structure),例如具有多個水平槽的梯型設計,用於支撐晶圓並允許反應氣體在晶圓的表面上水平流動,以在其上形成所需的材料膜厚度。在一些實施例中,晶圓船的尺寸可設定以固持50-125個或更多個晶圓;然而,取決於反應腔室231的高度,晶圓船可固持任何合適數量的晶圓。晶圓船可以由石英或任何其他合適的材料製成。在一些實施例中,晶圓船可設置有馬達驅動機構(未示出),以允許晶圓堆疊在化學氣相沉積製程期間旋轉,以促進沉積在晶圓上的材料層的均勻厚度。
反應腔室231在一些實施例中可以具有圓柱狀的形狀,並且可以由石英、碳化矽(SiC)或任何其他合適的材料製成。根據在反應腔室231中進行的製程類型,反應腔室231可包括管道沉積物,例如多晶矽或其他含矽材料。取決於每批次中待處理的晶圓的數量和尺寸,反應腔室231可具有任何合適的高度或長度。在一些示例性實施例中,反應腔室231可具有100-150cm的代表性垂直高度或長度;然而,其可提供任何合適的高度或長度。根據一些實施例,用於處理450mm晶圓的反應腔室231的尺寸必須具有大於約450mm的直徑以及50-200cm的腔室長度。
可以提供與化學氣相沉積的反應腔室231製程組件和半導體爐一起使用的其他附件(appurtenance)。舉例來說,可以裝設(反應)氣體供應入口連接233和廢氣管線234,以允許從反應腔室231引入和去除一或多種製程氣體。在化學氣相沉積的反應腔室系統230中可包括氣體歧管(manifold)和注入器(injector)、允許精確控制溫度曲線和快速更換一批晶圓的爐冷卻器、包圍反應腔室231的外部絕熱外殼、晶圓船電梯(elevator)或升降機(lift)、及用於將晶圓船定位、升高和降低以進/出反應腔室231的機器控制手臂(未示出)等。
可以藉由合適的比例-積分-微分溫度(proportional-integral-derivative,PID)控制器來控制化學氣相沉積反應腔室爐230的操作和晶圓的製程,以調節爐加熱系統的熱輸出(包括溫度上升和降低速率)。
繼續參考第2圖,在一些實施例中,側壁加熱器232可為具有可控制熱輸出的電阻型加熱器,可藉由可變電阻控制(例如變阻器(rheostat)或其他合適、類似的電控制裝置)調整輸入到每個加熱器的能量來調節熱輸出。側壁加熱器232係設置靠近外側壁,並且佈置為沿著反應腔室231的高度彼此垂直隔開,並具有分開的溫度控制。在一些實施例中,側壁加熱器232可在反應腔室231內定義多個垂直加熱器區,每個垂直加熱器區中的溫度係由單個側壁加熱器232所提供。在一些實施例中,側壁加熱器232可包括金屬合金(例如Fe-Cr-Al合金)。
根據一些實施例,可以微調來自側壁加熱器232的熱量輸出以調整每個加熱器區中的溫度。來自每個側壁加熱器232的熱量輸出可與其他側壁加熱器分開調節。可以藉由加熱器控制器或電腦250,通過連接254與設置在反應腔室231中的溫度感測器(例如熱電偶,thermocouple)產生的控制訊號、及/或基於由經驗及與正在處理的晶圓的尺寸相關的經驗數據(empirical data)導出的預定加熱器溫度輸出設定、及/或沉積在晶圓上的材料膜的類型來自動調整每個側壁加熱器的熱輸出設定。
電腦250向氣體傳輸系統210提供控制,氣體傳輸系統210包括每個氣體管線上的MFC 211、輸入閥210a-210e及輸出閥212a-212e、以及遠端電漿系統220,遠端電漿系統220包括遠端電漿源222、及經由控制連接251及252的輸入閥221及旁通閥223。電腦250還可以通過連接254,藉由連接到反應腔室231的廢氣管線234的在線氣體分析儀240來檢測廢氣的化學成分以接收輸入訊號。在一些實施例中,在線氣體分析儀240可以是傅立葉轉換紅外線(FTIR)光譜儀或氣相層析質譜儀(GCMS)或任何其他類型的在線氣體分析儀,以準確且快速的測量揮發物種類的組成(尤其是矽濃度)。在一些實施例中,使用FTIR光譜儀測量廢氣組合物係基於不同頻率下的氣相分子的振動和轉動特徵(其與特定分子的能態(energy state)相關)。紅外線輻射激發這些模式,以產生獨特的紅外線吸收光譜。此方法提供了檢測各種氣態化學品、快速檢測、寬廣的檢測範圍和低至百萬分之一(ppm)程度的最低檢測極限的能力。
在一些實施例中,矽濃度或矽濃度的變化可用於決定關閉遠端電漿源222上的射頻電源、關閉輸入閥221、並打開旁通閥223的時間,以在不通過遠端電漿源222的情況下,將用於化學清潔製程的反應氣體直接供應到反應腔室231。在一些實施例中,當矽濃度或矽濃度的變化達到預定閾值時,電腦250可以通過連接253向側壁加熱器232(反應腔室加熱器)以及真空泵235提供控制訊號,以在反應腔室中準備使用F2 分子的化學清潔製程的壓力及溫度。在一些實施例中,由於化學清潔製程反應的活化能較高,化學清潔製程的壓力及溫度係高於電漿輔助清潔製程中的壓力及溫度。
在一些實施例中,一種清潔沉積反應腔室的方法,包括:進行電漿輔助清潔製程,以清潔在沉積反應腔室之內表面所形成之管道沉積物,其中電漿輔助清潔製程包括:將第一反應氣體提供到遠端電漿源以產生電漿,其中電漿包括含氟自由基;以及將電漿從遠端電漿源提供到沉積反應腔室,以清潔管道沉積物;以及在進行電漿輔助清潔製程後,藉由將第二反應氣體提供到沉積反應腔室,以進行化學清潔製程。
在另一些實施例中,一種乾式清潔系統,包括:氣體傳輸系統,配置以提供至少一反應氣體;半導體製程設備,耦接到氣體傳輸系統;遠端電漿系統,連接到氣體傳輸系統,且配置以接收至少一反應氣體,將至少一反應氣體轉換為電漿,且將電漿傳遞到半導體製程設備;氣體分析儀,連接到半導體製程設備,且配置以分析來自半導體製程設備之廢氣;及控制電腦,連接到且配置以控制氣體傳輸系統、半導體製程設備、遠端電漿系統、及氣體分析儀,其中控制電腦控制遠端電漿系統以將電漿提供到半導體製程設備,且隨後因應於氣體分析儀之輸出控制氣體傳輸系統以將至少一反應氣體提供到反應腔室。
在又一些實施例中,提供一種非暫態電腦可讀取媒體,在上述非暫態電腦可讀取媒體上儲存有電腦可執行指令,當執行電腦可執行指令時,將進行一種用於乾式清潔半導體製程反應腔室之方法,用於乾式清潔半導體製程反應腔室之方法包括:進行電漿輔助清潔製程,以清潔形成在半導體製程反應腔室上之沉積物;以及進行化學清潔製程,以進一步清潔半導體製程反應腔室,其中電漿輔助清潔製程係使用電漿之清潔製程,其中電漿係藉由將至少一反應氣體流入遠端電漿源所形成,且其中化學清潔製程包括將反應氣體流入半導體製程反應腔室中。
在一些實施例中,沉積反應腔室包括石英或碳化矽。在一些實施例中,管道沉積物包括矽(Si)或含矽化合物。在一些實施例中,第一反應氣體包括擇自氟原子及三氟化氮所構成族群中之其中一者。在一些實施例中,第一反應氣體更包括氬氣。在一些實施例中,清潔沉積反應腔室的方法更包括:決定從電漿輔助清潔製程切換到化學清潔製程之時間,其中決定包括:從沉積反應腔室獲得廢氣;分析廢氣;計算廢氣中之半導體材料濃度;以及決定半導體材料濃度是否等於或小於預定閾值。在一些實施例中,分析廢氣係藉由耦接到沉積反應腔室之排氣管線之在線氣體分析儀所進行。在一些實施例中,電漿輔助清潔製程係在第一壓力下進行,且化學清潔製程係在第二壓力下進行,其中第一壓力與第二壓力不同。在一些實施例中,電漿輔助清潔製程係在第一溫度下進行,且化學清潔製程係在第二溫度下進行,其中第一溫度與第二溫度不同。
在一些實施例中,氣體傳輸系統係進一步配置以將包括矽之至少一反應氣體提供到半導體製程設備。在一些實施例中,氣體分析儀係傅立葉轉換紅外光譜。在一些實施例中,半導體製程設備包括沉積反應腔室、至少一加熱器、及連接到製程反應腔室之泵。在一些實施例中,沉積反應腔室包括石英或碳化矽。在一些實施例中,氣體分析儀係配置以接收來自半導體製程設備之廢氣;以及量測廢氣之化學組成。在一些實施例中,控制電腦係進一步配置以配置氣體傳輸系統及半導體製程設備,以建立用以進行半導體生產製程步驟之第一溫度及第一壓力;配置氣體傳輸系統及半導體製程設備,以建立用以進行電漿輔助清潔製程之第二溫度及第二壓力;以及配置氣體傳輸系統及半導體製程設備,以建立用以進行化學清潔製程之第三溫度及第三壓力。在一些實施例中,第三溫度及第三壓力分別大於第二溫度及第二壓力。在一些實施例中,氣體分析儀耦接到半導體製程設備之廢氣管道。
在一些實施例中,用於乾式清潔半導體製程反應腔室之方法更包括決定從電漿輔助清潔製程切換到化學清潔製程之時間,其中決定包括:從半導體製程反應腔室獲得廢氣樣本;分析廢氣樣本;計算廢氣樣本中之矽(Si)濃度;以及決定矽濃度是否等於或小於預定閾值。
雖然上述內容概述許多實施例的特徵,但是應理解的是,其僅為範例而非限制。同樣地,各種圖式可以描繪上述範例的架構或配置,以使得本領域具有通常知識者可理解本揭露的示例性特徵及功能。然而,本領域具有通常知識者理解本揭露並不限於所繪示的示例性架構或配置,而可使用各種替代性架構和配置來實現本揭露。另外,本領域具有通常知識者將理解,一實施例的一或多個特徵可以與本文描述的另一實施例的一或多個特徵組合。因此,本揭露的廣度和範圍不應受任何上述示例性實施例的限制。
還應理解的是,本文中使用的如“第一”、“第二”等元件的任何代號,一般來說並未限制上述元件的數量或順序。相反的是,這些代號在本文中可以用作區分兩個或更多個元件或範例的便利手段。因此,代號第一和第二元件並非代表僅可使用兩個元素、或者第一元件必須以某種方式在第二元件之前。
此外,本領域具有通常知識者將理解,可以使用任一種不同技術和技藝表示訊息和訊號。舉例來說,在上述描述中,數據、指令、命令、訊息、訊號、位元和符號可藉由例如電壓、電流、電磁波、磁場或粒子、光場或粒子、或任何組合來代表。
本領域具有通常知識者將進一步理解,結合本文公開的內容所描述的各種說明性邏輯區塊、模組、處理器、裝置、電路、方法和功能中的任何一者可以藉由電子硬體(如數位實施、類比實施或其組合)、韌體、包含指令的各種形式的程式或設計編碼(為方便起見可稱為“軟體”或“軟體模組”)、或這些技術的任何組合來實施。
為了清楚地說明硬體、韌體及軟體的這種可互換性,上文已在功能方面對各種說明性組件、區塊、模組、電路和步驟進行了總體描述。這些功能實現為硬體、韌體或軟體或其組合之何者係取決於加於整個系統的特定應用和設計限制。技術人士可以針對特定應用之每一者,以各種方式來實現所描述的功能,但是這樣的實現決定不會導致脫離本揭露的範圍。根據各種實施例,可配置處理器、裝置、元件、電路、結構、機器、模組等以執行所本文描述的一或多個功能。本文關於特定操作或功能所使用的術語“配置為”或“配置用於”指的是物理地構造、程式化及/或安排以執行指定的操作或功能的處理器、裝置、元件、電路、結構、機器、模組等。
此外,本領域具有通常知識者將理解本文所描述的各種說明性邏輯區塊、模組、裝置、元件、及電路可以在可以包括通用處理器、數位訊號處理器(digital signal processor,DSP)、特殊應用積體電路(application specific integrated circuit,ASIC)、場可程式化邏輯閘陣列(field programmable gate array,FPGA)或其他可程式化邏輯裝置、或其任何組合的積體電路(integrated circuit,IC)內實現或執行。上述邏輯區塊、模組和電路還可包括天線及/或收發器,以與網路內或裝置內的各種組件通訊。通用處理器可為微處理器,或上述處理器亦可為任何傳統的處理器、控制器或狀態機。上述處理器還可為電腦裝置的組合,例如DSP及微處理器、多個微處理器、一或多個微處理器結合DSP核心、或者用以執行所描述功能的任何其他合適的配置的組合。
如果以軟體實現,則可以將上述功能作為一或多個指令或編碼儲存在電腦可讀取媒體上。因此,本文公開的方法或演算法的步驟可以實現為儲存在電腦可讀取媒體上的軟體。電腦可讀取媒體包括電腦儲存媒體和通訊媒體,通訊媒體包括能夠將電腦程式或編碼從一個地方傳送到另一個地方的任何媒體。儲存媒體可以是電腦可以存取的任何可用媒體。僅作為範例而非用於限制,這種電腦可讀取媒體可包括RAM、ROM、EEPROM、CD-ROM或其他光碟儲存器、磁碟儲存器或其他磁性儲存設備、或任何其他可用於儲存形式為指令或資料結構,並且可由電腦存取的期望的程序編碼的媒體。
在本文中所使用的術語“模組”係代表用於執行本文描述的相關功能的軟體、韌體、硬體和這些元件的任何組合。此外,為了討論,各種模組係描述為分離的模組;然而,對於本領域具有通常知識者來說顯而易見的,可將兩個或更多個模組進行組合以形成單個模組,上述單個模組係根據本揭露的實施例而執行相關的功能。
此外,在本揭露的實施例中可使用記憶體或其他儲存以及通訊組件。應理解的是,為了清楚起見,上述說明以參考不同的功能單元和處理器描述本揭露的實施例。然而,顯而易見的是,亦可使用不同的功能單元、處理邏輯元件或領域間的任何合適的功能的分佈,而不背離本揭露。舉例來說,所繪示由單獨的處理邏輯元件或控制器執行的功能可以由相同的處理邏輯元件或控制器來執行。因此,對特定功能單元的標號僅代表用於提供所描述的功能的合適裝置,而非代表嚴格的邏輯或物理結構或組織。
上述內容概述許多實施例的特徵,因此任何所屬技術領域中具有通常知識者,可更加理解本揭露之各面向。任何所屬技術領域中具有通常知識者,可能無困難地以本揭露為基礎,設計或修改其他製程及結構,以達到與本揭露實施例相同的目的及/或得到相同的優點。任何所屬技術領域中具有通常知識者也應了解,在不脫離本揭露之精神和範圍內做不同改變、代替及修改,如此等效的創造並沒有超出本揭露的精神及範圍。
100‧‧‧方法102、104、106、108、110、112、114、116、118‧‧‧操作200‧‧‧系統201、202、203‧‧‧氣體儲存槽204、205‧‧‧反應氣體儲存槽210‧‧‧氣體傳輸系統210a、210b、210c、210d、210e‧‧‧輸入閥211a、211b、211c、211d、211e‧‧‧質量流量控制器(MFC)212a、212b、212c、212d、212e‧‧‧輸出閥220‧‧‧遠端電漿系統221‧‧‧輸入閥222‧‧‧遠端電漿源223‧‧‧旁通閥224‧‧‧氬氣清除/承載管道230‧‧‧化學氣相沉積反應腔室爐系統231‧‧‧反應腔室232‧‧‧側壁加熱器233‧‧‧氣體供應入口連接234‧‧‧廢氣管線235‧‧‧真空泵240‧‧‧在線氣體分析儀250‧‧‧電腦(控制電腦)251、252‧‧‧控制連接253、254‧‧‧連接
以下將配合所附圖式詳述本揭露之實施例。應注意的是,依據在業界的標準做法,多種特徵並未按照比例繪示且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本揭露的特徵。 第1圖繪示根據一些實施例的用於清潔反應腔室的乾式清潔方法的流程圖。 第2圖繪示根據一些實施例的整合到反應腔室爐的電漿輔助乾洗系統的示意圖。
100‧‧‧方法
102、104、106、108、110、112、114、116、118‧‧‧操作

Claims (10)

  1. 一種清潔沉積反應腔室的方法,包括:進行一電漿輔助清潔製程,以清潔在該沉積反應腔室之一內表面所形成之複數管道沉積物,其中該電漿輔助清潔製程包括:將一第一反應氣體提供到一遠端電漿源以產生一電漿,其中該電漿包括一含氟自由基;將該電漿從該遠端電漿源提供到該沉積反應腔室,以清潔該等管道沉積物;以及在進行該電漿輔助清潔製程後,藉由將一第二反應氣體提供到該沉積反應腔室,以進行一化學清潔製程,其中該化學清潔製程的溫度和壓力分別大於該電漿輔助清潔製程的溫度和壓力。
  2. 如申請專利範圍第1項所述之清潔沉積反應腔室的方法,其中該沉積反應腔室包括石英或碳化矽。
  3. 如申請專利範圍第1項所述之清潔沉積反應腔室的方法,其中該第一反應氣體包括擇自氟原子及三氟化氮所構成族群中之其中一者,且該第一反應氣體更包括氬氣。
  4. 如申請專利範圍第1項所述之清潔沉積反應腔室的方法,更包括:決定從該電漿輔助清潔製程切換到該化學清潔製程之一時間,其中該決定的步驟包括:從該沉積反應腔室獲得一廢氣;分析該廢氣;計算該廢氣中之一半導體材料濃度;以及決定該半導體材料濃度是否等於或小於一預定閾值; 其中分析該廢氣的步驟係藉由耦接到該沉積反應腔室之一排氣管線之一在線氣體分析儀所進行。
  5. 一種乾式清潔系統,包括:一氣體傳輸系統,配置以提供至少一反應氣體;一半導體製程設備,耦接到該氣體傳輸系統;一遠端電漿系統,連接到該氣體傳輸系統,且配置以接收該至少一反應氣體,將該至少一反應氣體轉換為一電漿,且將該電漿傳遞到該半導體製程設備;一氣體分析儀,連接到該半導體製程設備,且配置以分析來自該半導體製程設備之一廢氣;以及一控制電腦,連接到且配置以控制該氣體傳輸系統、該半導體製程設備、該遠端電漿系統、及該氣體分析儀,其中該控制電腦控制該遠端電漿系統以將該電漿提供到該半導體製程設備,且隨後因應於該氣體分析儀之一輸出,控制該氣體傳輸系統以將該至少一反應氣體提供到該半導體製程設備,並配置以:配置該氣體傳輸系統及該半導體製程設備,以建立用以進行一電漿輔助清潔製程之一第一溫度及一第一壓力;以及配置該氣體傳輸系統及該半導體製程設備,以建立用以進行一化學清潔製程之一第二溫度及一第二壓力;其中該第二溫度及該第二壓力分別大於該第一溫度及該第一壓力。
  6. 如申請專利範圍第5項所述之乾式清潔系統,其中該半導體製程設備包括一沉積反應腔室、至少一加熱器、及連接到該製程反應腔室之一泵,且該沉積反應腔室包括石英或碳化矽。
  7. 如申請專利範圍第5項所述之乾式清潔系統,其中該氣體分析儀係配置以:接收來自該半導體製程設備之該廢氣;以及 量測該廢氣之化學組成。
  8. 如申請專利範圍第5項所述之乾式清潔系統,其中該控制電腦係進一步配置以:配置該氣體傳輸系統及該半導體製程設備,以建立用以進行一半導體生產製程步驟之一第三溫度及一第三壓力。
  9. 如申請專利範圍第5項所述之乾式清潔系統,其中該氣體分析儀耦接到該半導體製程設備之一廢氣管線。
  10. 一種非暫態電腦可讀取媒體,該非暫態電腦可讀取媒體上儲存有複數電腦可執行指令,當執行該等電腦可執行指令時,將進行一種用於乾式清潔一半導體製程反應腔室之方法,該方法包括:進行一電漿輔助清潔製程,以清潔形成在該半導體製程反應腔室上之複數沉積物;以及進行一化學清潔製程,以進一步清潔該半導體製程反應腔室;其中該電漿輔助清潔製程係使用一電漿之一清潔製程,其中該電漿係藉由將至少一反應氣體流入一遠端電漿源所形成,且其中該化學清潔製程的步驟包括將該反應氣體流入該半導體製程反應腔室中,其中該化學清潔製程的溫度和壓力分別大於該電漿輔助清潔製程的溫度和壓力。
TW107133607A 2017-09-28 2018-09-25 清潔沉積反應腔室的方法、乾式清潔系統、以及非暫態電腦可讀取媒體 TWI701355B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762564899P 2017-09-28 2017-09-28
US62/564,899 2017-09-28
US16/115,139 2018-08-28
US16/115,139 US20190093218A1 (en) 2017-09-28 2018-08-28 In-situ dry clean of tube furnace

Publications (2)

Publication Number Publication Date
TW201925518A TW201925518A (zh) 2019-07-01
TWI701355B true TWI701355B (zh) 2020-08-11

Family

ID=65807342

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107133607A TWI701355B (zh) 2017-09-28 2018-09-25 清潔沉積反應腔室的方法、乾式清潔系統、以及非暫態電腦可讀取媒體

Country Status (3)

Country Link
US (2) US20190093218A1 (zh)
CN (1) CN109585332B (zh)
TW (1) TWI701355B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110055514B (zh) * 2019-06-11 2021-04-27 厦门乾照光电股份有限公司 气相沉积设备及其控制方法、腔体清洁方法
US11791141B2 (en) * 2020-07-29 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for residual gas analysis
CN113066740B (zh) * 2021-03-26 2022-04-01 长江存储科技有限责任公司 一种半导体设备和清洗方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5211796A (en) * 1990-01-08 1993-05-18 Lst Logic Corporation Apparatus for performing in-situ etch of CVD chamber
TWI270926B (en) * 2000-07-07 2007-01-11 Mattson Tech Inc Systems and methods for remote plasma clean

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US20100240224A1 (en) * 2009-03-20 2010-09-23 Taiwan Semiconductor Manufactruing Co., Ltd. Multi-zone semiconductor furnace
US20110023908A1 (en) * 2009-07-30 2011-02-03 Applied Materials, Inc. Methods and apparatus for process abatement with recovery and reuse of abatement effluent
WO2012027104A1 (en) * 2010-08-25 2012-03-01 Linde Aktiengesellschaft Chemical vapor deposition chamber cleaning with molecular fluorine
CN103374710B (zh) * 2012-04-28 2015-12-16 理想能源设备(上海)有限公司 反应腔的清洗方法、反应腔的清洗系统
US10043641B2 (en) * 2016-09-22 2018-08-07 Applied Materials, Inc. Methods and apparatus for processing chamber cleaning end point detection

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5211796A (en) * 1990-01-08 1993-05-18 Lst Logic Corporation Apparatus for performing in-situ etch of CVD chamber
TWI270926B (en) * 2000-07-07 2007-01-11 Mattson Tech Inc Systems and methods for remote plasma clean

Also Published As

Publication number Publication date
CN109585332B (zh) 2021-06-01
US20220356570A1 (en) 2022-11-10
TW201925518A (zh) 2019-07-01
CN109585332A (zh) 2019-04-05
US20190093218A1 (en) 2019-03-28

Similar Documents

Publication Publication Date Title
US8366953B2 (en) Plasma cleaning method and plasma CVD method
US11075127B2 (en) Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
JP6646978B2 (ja) 高アスペクト比構造におけるコンタクト洗浄
TWI644359B (zh) 用於低溫原子層沉積膜之腔室底塗層準備方法
KR101931134B1 (ko) 2개의 스테이지들에서의 균일한 건식 에칭
TWI701355B (zh) 清潔沉積反應腔室的方法、乾式清潔系統、以及非暫態電腦可讀取媒體
US20090139657A1 (en) Etch system
KR20150016491A (ko) 플라즈마 처리 장치, 및 플라즈마 처리 방법
KR20160038783A (ko) 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치
JP2013161913A (ja) プラズマ処理装置及びプラズマ処理方法
EP1994201A2 (en) Epitaxial deposition process and apparatus
WO2005104186A2 (en) Method and processing system for plasma-enhanced cleaning of system components
KR20150101927A (ko) 플라즈마 처리 장치의 클리닝 방법
KR20200043499A (ko) 웨이퍼 대 웨이퍼 막 두께 매칭을 위해 챔버 축적물의 함수로서 증착 사이클들의 수의 조절에 의한 두께 보상
JP7420754B2 (ja) 金属酸化物膜を除去するための温度制御システムおよびその方法
WO2018026509A1 (en) Aluminum fluoride mitigation by plasma treatment
WO2003095239A1 (en) In-situ thermal chamber cleaning
EP3905307A1 (en) Substrate processing method and plasma processing apparatus
KR20230009491A (ko) 패터닝 임계 치수 (critical dimension) 제어를 위한 자동화된 피드포워드 및 피드백 (feedforward and feedback) 시퀀스
US20230034561A1 (en) Ammonia abatement for improved roughing pump performance
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
CN111316415A (zh) 无等离子体脱卤的系统和方法
US20230390810A1 (en) Cleaning method and processing apparatus
KR20070029342A (ko) 반도체 제조공정 용 배치 제어 시스템