KR20230009491A - 패터닝 임계 치수 (critical dimension) 제어를 위한 자동화된 피드포워드 및 피드백 (feedforward and feedback) 시퀀스 - Google Patents

패터닝 임계 치수 (critical dimension) 제어를 위한 자동화된 피드포워드 및 피드백 (feedforward and feedback) 시퀀스 Download PDF

Info

Publication number
KR20230009491A
KR20230009491A KR1020227043420A KR20227043420A KR20230009491A KR 20230009491 A KR20230009491 A KR 20230009491A KR 1020227043420 A KR1020227043420 A KR 1020227043420A KR 20227043420 A KR20227043420 A KR 20227043420A KR 20230009491 A KR20230009491 A KR 20230009491A
Authority
KR
South Korea
Prior art keywords
dimension
features
deposition
wafer
dimensions
Prior art date
Application number
KR1020227043420A
Other languages
English (en)
Inventor
라비 쿠마르
풀킷 아가월
마이클 필립 로버츠
라메쉬 찬드라세카란
아드리엔 라보에
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230009491A publication Critical patent/KR20230009491A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3325Problems associated with coating large area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

패터닝 임계 치수 제어를 위한 피드백 시퀀스를 수행하기 위한 방법. 방법은, 복수의 피처들을 획득하기 위해 웨이퍼 상에서 일련의 프로세스 단계들을 수행하는 단계를 포함하고, 프로세스 단계는 프로세스 조건 하에서 수행된다. 방법은, 일련의 프로세스 단계들을 수행한 후 복수의 피처들의 치수를 측정하는 단계를 포함한다. 방법은, 복수의 피처들에 대한 측정된 치수와 타깃 치수 사이의 차를 결정하는 단계를 포함한다. 방법은, 치수의 변화 및 프로세스 조건의 변화와 관련된 복수의 피처들에 대한 민감도 인자 및 차에 기초하여 프로세스 단계에 대한 프로세스 조건을 수정하는 단계를 포함한다.

Description

패터닝 임계 치수 (critical dimension) 제어를 위한 자동화된 피드포워드 및 피드백 (feedforward and feedback) 시퀀스
본 실시 예들은 반도체 디바이스 제조에 관한 것이다.
많은 현대 반도체 제조 프로세스들은 기판이 플라즈마에 노출될 때 기판 홀더 상에 홀딩되는 플라즈마 프로세스 모듈들에서 수행된다. 이들 프로세스들은 피처들을 생성하도록 수행된다. 피처 치수들의 계속해서 감소하는 사이즈들은 발전된 디바이스들을 위한 복수의 에칭 및 증착 프로세스 단계들을 포함하도록 발전된 패터닝 기법들을 통해 달성될 수 있다. 특히, 보다 작은 피처들을 얻기 위해 보다 큰 치수들을 포함하는 멀티플 패터닝 단계들이 사용될 수 있다. 이들 발전된 패터닝 기법들은 또한 기판 상의 피처들의 밀도를 증가시킨다. 예를 들어, 더블 패터닝은 피처들의 밀도가 원래보다 두 배가 되도록, 피처 밀도를 2 배만큼 증가시킨다.
멀티-패터닝 스킴들 (schemes) 은 최첨단 (leading-edge) 로직 디바이스 및 메모리 디바이스에 대해 지속적인 스케일링을 가능하게 하고, 웨이퍼에 걸친, 그리고 웨이퍼로부터 웨이퍼로의 엄격한 임계 치수 (critical dimension; CD) 및 균일성 제어를 필요로 한다. 이들 적용 예들에 대한 프로세스 단계들의 수가 계속해서 증가함에 따라, 부가적인 단계 각각이 전체 변동에 기여하기 때문에 가변성 (variability) 을 최소화하는 것이 점점 더 중요해진다. 피처들의 임계 치수들은 통상적으로 수동 시행 착오 (trial and error) 프로세스를 사용하여 제어된다. 그러나, 이 프로세스는 비효율적이고 시간 소모적이며, 치수들 및/또는 프로세스 단계들의 프로세스 내 (in-process) 변동들에 사용될 수 없다. 예를 들어, 시행 착오 프로세스들은 인입 (incoming) 포토리소그래피 (photolithography) 기판에 대한 임계 치수들의 변동들을 설명하는데 어려움을 갖고, 프로세스들에 대한 임의의 변화들을 설명하는데 어려움을 갖는다. 또한, 이들 시행 착오 프로세스들은 웨이퍼에 걸친 피처 치수들의 불균일성을 설명하는데 어려움을 갖는다.
본 명세서에 제공된 배경기술 기술 (description) 은 일반적으로 본 개시의 맥락을 제시하기 위한 것이다. 본 배경기술 섹션에 기술된 범위까지, 현재 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로 또는 묵시적으로 인정되지 않는다.
이 맥락에서 본 개시의 실시 예들이 발생한다.
본 실시 예들은 관련 기술에서 발견된 하나 이상의 문제들을 해결하는 것에 관한 것이고, 구체적으로 멀티-패터닝 프로세스들의 각각의 단계 동안 도입된 가변성 (variability) 을 관리하기 위해 기판 상에서 수행된 더블 패터닝 프로세스를 위한 자동화된 피드포워드 및 피드백 (feedforward and feedback) 제어를 포함한다. 예를 들어, 피드포워드 및/또는 피드백 제어는 패터닝 프로세스의 단계에서 도입된 가변성들을 보정함으로써 기판에 걸친 변동을 최소화하는 국부화된 (localize) 미세 튜닝을 위해, 그리고 인입 (incoming) 패턴 불균일성들의 보정을 위해 사용될 수 있다. 본 개시의 몇몇 발명의 실시 예들이 이하에 기술된다.
본 개시의 실시 예들은 더블 패터닝 프로세스에서 형성된 피처들과 연관된 코어 임계 치수들 (critical dimensions; CDs) 을 제어하기 위해 사용된 민감도 인자들을 확립하기 위한 방법을 포함한다. 방법은, 제 1 복수의 테스트 웨이퍼들에 대해 일련의 프로세스 단계들을 수행하는 단계를 포함하고, 제 1 복수의 테스트 웨이퍼들 각각은 복수의 피처들을 형성하고, 일련의 프로세스 단계들 중 제 1 프로세스 단계는 제 1 프로세스 조건 하에서 수행되고, 일련의 프로세스 단계들은 적어도 하나의 프로세스 단계를 포함한다. 방법은, 제 2 복수의 테스트 웨이퍼들에 대해 일련의 프로세스 단계들을 수행하는 단계를 포함하고, 제 2 복수의 테스트 웨이퍼들 각각은 복수의 피처들을 형성하고, 제 1 프로세스 단계는 제 2 프로세스 조건 하에서 수행된다. 방법은, 제 1 복수의 테스트 웨이퍼들 상에 위치된 복수의 피처들의 제 1 치수를 측정하는 단계를 포함한다. 방법은, 제 2 복수의 테스트 웨이퍼들 상에 위치된 복수의 피처들의 제 2 치수를 측정하는 단계를 포함한다. 방법은, 프로세스 조건의 변화 및 치수의 변화와 관련하여 복수의 피처들에 대한 민감도 인자를 결정하는 단계를 포함한다.
본 개시의 다른 실시 예들은 더블 패터닝 프로세스에서 형성된 피처들과 연관된 코어 임계 치수들을 제어하기 위해 사용된 민감도 인자들을 확립하기 위한 방법을 수행하기 위한 컴퓨터 프로그램을 저장하는 비-일시적인 컴퓨터-판독 가능 매체를 포함한다. 비-일시적인 컴퓨터-판독 가능 매체는, 제 1 복수의 테스트 웨이퍼들에 대해 일련의 프로세스 단계들을 수행하는 단계로서, 제 1 복수의 테스트 웨이퍼들 각각은 복수의 피처들을 형성하고, 일련의 프로세스 단계들 중 제 1 프로세스 단계는 제 1 프로세스 조건 하에서 수행되고, 일련의 프로세스 단계들은 적어도 하나의 프로세스 단계를 포함하는, 제 1 복수의 테스트 웨이퍼들에 대해 일련의 프로세스 단계들을 수행하는 단계를 위한 프로그램 인스트럭션들을 포함한다. 비-일시적인 컴퓨터-판독 가능 매체는, 제 2 복수의 테스트 웨이퍼들에 대해 일련의 프로세스 단계들을 수행하는 단계로서, 제 2 복수의 테스트 웨이퍼들 각각은 복수의 피처들을 형성하고, 제 1 프로세스 단계는 제 2 프로세스 조건 하에서 수행되는, 제 2 복수의 테스트 웨이퍼들에 대해 일련의 프로세스 단계들을 수행하는 단계를 위한 프로그램 인스트럭션들을 포함한다. 비-일시적인 컴퓨터-판독 가능 매체는, 제 1 복수의 테스트 웨이퍼들 상에 위치된 복수의 피처들의 제 1 치수를 측정하기 위한 프로그램 인스트럭션들을 포함한다. 비-일시적인 컴퓨터-판독 가능 매체는, 제 2 복수의 테스트 웨이퍼들 상에 위치된 복수의 피처들의 제 2 치수를 측정하기 위한 프로그램 인스트럭션들을 포함한다. 비-일시적인 컴퓨터-판독 가능 매체는, 프로세스 조건의 변화 및 치수의 변화와 관련하여 복수의 피처들에 대한 민감도 인자를 결정하는 단계를 위한 프로그램 인스트럭션들을 포함한다.
본 개시의 또 다른 실시 예들은 프로세서 및 프로세서에 커플링된 메모리를 포함하고, 그리고, 컴퓨터 시스템에 의해 실행된다면, 컴퓨터 시스템으로 하여금 이중 패터닝 프로세스에서 형성된 피처와 연관된 코어 임계 치수를 제어하기 위해 사용된 민감도 인자들을 확립하기 위한 방법을 실행하게 하는 인스트럭션들을 내부에 저장하는, 컴퓨터 시스템을 개시한다. 방법은, 제 1 복수의 테스트 웨이퍼들에 대해 일련의 프로세스 단계들을 수행하는 단계를 포함하고, 제 1 복수의 테스트 웨이퍼들 각각은 복수의 피처들을 형성하고, 일련의 프로세스 단계들 중 제 1 프로세스 단계는 제 1 프로세스 조건 하에서 수행되고, 일련의 프로세스 단계들은 적어도 하나의 프로세스 단계를 포함한다. 방법은, 제 2 복수의 테스트 웨이퍼들에 대해 일련의 프로세스 단계들을 수행하는 단계를 포함하고, 제 2 복수의 테스트 웨이퍼들 각각은 복수의 피처들을 형성하고, 제 1 프로세스 단계는 제 2 프로세스 조건 하에서 수행된다. 방법은, 제 1 복수의 테스트 웨이퍼들 상에 위치된 복수의 피처들의 제 1 치수를 측정하는 단계를 포함한다. 방법은, 제 2 복수의 테스트 웨이퍼들 상에 위치된 복수의 피처들의 제 2 치수를 측정하는 단계를 포함한다. 방법은, 프로세스 조건의 변화 및 치수의 변화와 관련하여 복수의 피처들에 대한 민감도 인자를 결정하는 단계를 포함한다.
본 개시의 다른 실시 예들은 더블 패터닝 프로세스에서 형성된 피처들과 연관된 코어 임계 치수들을 제어하도록 피드백을 수행하기 위한 방법을 포함한다. 방법은, 복수의 피처들을 획득하기 위해 웨이퍼 상에서 일련의 프로세스 단계들을 수행하는 단계를 포함하고, 프로세스 단계는 프로세스 조건 하에서 수행된다. 방법은, 일련의 프로세스 단계들을 수행한 후 복수의 피처들의 치수를 측정하는 단계를 포함한다. 방법은, 복수의 피처들에 대한 측정된 치수와 타깃 치수 사이의 차를 결정하는 단계를 포함한다. 방법은, 치수의 변화 및 프로세스 조건의 변화와 관련된 복수의 피처들에 대한 민감도 인자 및 차에 기초하여 프로세스 단계에 대한 프로세스 조건을 수정하는 단계를 포함한다.
본 개시의 다른 실시 예들은 더블 패터닝 프로세스에서 형성된 피처들과 연관된 코어 임계 치수들을 제어하기 위해 피드백을 수행하기 위한 방법을 수행하기 위한 컴퓨터 프로그램을 저장하는 비-일시적인 컴퓨터-판독 가능 매체를 포함한다. 비-일시적인 컴퓨터-판독 가능 매체는, 복수의 피처들을 획득하기 위해 웨이퍼 상에서 일련의 프로세스 단계들을 수행하는 단계로서, 프로세스 단계는 프로세스 조건 하에서 수행되는, 일련의 프로세스 단계들을 수행하는 단계를 위한 프로그램 인스트럭션들을 포함한다. 비-일시적인 컴퓨터-판독 가능 매체는, 일련의 프로세스 단계들을 수행한 후 복수의 피처들의 치수를 측정하기 위한 프로그램 인스트럭션들을 포함한다. 비-일시적인 컴퓨터-판독 가능 매체는, 복수의 피처들에 대한 측정된 치수와 타깃 치수 사이의 차를 결정하는 단계를 위한 프로그램 인스트럭션들을 포함한다. 비-일시적인 컴퓨터-판독 가능 매체는, 치수의 변화 및 프로세스 조건의 변화와 관련된 복수의 피처들에 대한 민감도 인자 및 차에 기초하여 프로세스 단계에 대한 프로세스 조건을 수정하는 단계를 위한 프로그램 인스트럭션들을 포함한다.
본 개시의 또 다른 실시 예들은 프로세서 및 프로세서에 커플링된 메모리를 포함하고, 그리고, 컴퓨터 시스템에 의해 실행된다면, 컴퓨터 시스템으로 하여금 이중 패터닝 프로세스에서 형성된 피처와 연관된 코어 임계 치수를 제어하기 위해 피드백을 수행하기 위한 방법을 실행하게 하는 인스트럭션들을 내부에 저장하는, 컴퓨터 시스템을 개시한다. 방법은, 복수의 피처들을 획득하기 위해 웨이퍼 상에서 일련의 프로세스 단계들을 수행하는 단계를 포함하고, 프로세스 단계는 프로세스 조건 하에서 수행된다. 방법은, 일련의 프로세스 단계들을 수행한 후 복수의 피처들의 치수를 측정하는 단계를 포함한다. 방법은, 복수의 피처들에 대한 측정된 치수와 타깃 치수 사이의 차를 결정하는 단계를 포함한다. 방법은, 치수의 변화 및 프로세스 조건의 변화와 관련된 복수의 피처들에 대한 민감도 인자 및 차에 기초하여 프로세스 단계에 대한 프로세스 조건을 수정하는 단계를 포함한다.
본 개시의 다른 실시 예들은 더블 패터닝 프로세스에서 형성된 피처들과 연관된 코어 임계 치수들을 제어하도록 피드포워드를 수행하기 위한 방법을 포함한다. 방법은, 웨이퍼 상의 맨드릴 (mandrel) 의 인입 ADI 치수를 측정하는 단계를 포함한다. 방법은, 맨드릴의 인입 ADI 치수와 목표된 ADI 치수 사이의 차를 결정하는 단계를 포함한다. 방법은, 인입 ADI 치수에 기초하여 코어 피처의 목표된 ADT 치수를 달성하도록 에칭 프로세스 단계의 에칭 레이트 및 차에 기초하여 에칭 프로세스 단계를 수행하기 위한 시간을 수정하는 단계를 포함하고, 코어 피처는 SADP (self-aligned double patterning) 프로세스의 제 1 패터닝 프로세스를 수행할 때 형성된다. 방법은, SADP 프로세스의 제 2 패터닝 프로세스의 변화를 수신하는 단계를 포함한다. 방법은, 제 2 패터닝 프로세스의 변화에 기초하여 코어 피처 위의 옥사이드 층에 대한 수정된 ASD 치수를 결정하는 단계를 포함한다. 방법은, 원자 층 증착 프로세스의 증착 레이트 및 코어 피처의 목표된 ADT 치수에 기초하여 수정된 ASD 치수를 달성하기 위해 ALD 프로세스를 수행할 때 증착 사이클들의 수를 결정하는 단계를 포함한다.
본 개시의 다른 실시 예들은 더블 패터닝 프로세스에서 형성된 피처들과 연관된 코어 임계 치수들을 제어하기 위해 피드포워드를 수행하기 위한 방법을 수행하기 위한 컴퓨터 프로그램을 저장하는 비-일시적인 컴퓨터-판독 가능 매체를 포함한다. 비-일시적인 컴퓨터-판독 가능 매체는, 웨이퍼 상의 맨드릴의 인입 ADI 치수를 측정하기 위한 프로그램 인스트럭션들을 포함한다. 비-일시적인 컴퓨터-판독 가능 매체는, 맨드릴의 인입 ADI 치수와 목표된 ADI 치수 사이의 차를 결정하기 위한 프로그램 인스트럭션들을 포함한다. 비-일시적인 컴퓨터-판독 가능 매체는, 인입 ADI 치수에 기초하여 코어 피처의 목표된 ADT 치수를 달성하도록 에칭 프로세스 단계의 에칭 레이트 및 차에 기초하여 에칭 프로세스 단계를 수행하기 위한 시간을 수정하는 단계로서, 코어 피처는 SADP (self-aligned double patterning) 프로세스의 제 1 패터닝 프로세스를 수행할 때 형성되는, 에칭 프로세스 단계를 수행하기 위한 시간을 수정하는 단계를 위한 프로그램 인스트럭션들을 포함한다. 비-일시적인 컴퓨터-판독 가능 매체는, SADP (self-aligned double patterning) 프로세스의 제 2 패터닝 프로세스의 변화를 수신하기 위한 프로그램 인스트럭션들을 포함한다. 비-일시적인 컴퓨터-판독 가능 매체는, 제 2 패터닝 프로세스의 변화에 기초하여 코어 피처 위의 옥사이드 층에 대한 수정된 ASD 치수를 결정하기 위한 프로그램 인스트럭션들을 포함한다. 비-일시적인 컴퓨터-판독 가능 매체는, 원자 층 증착 프로세스의 증착 레이트 및 코어 피처의 목표된 ADT 치수에 기초하여 수정된 ASD 치수를 달성하기 위해 ALD 프로세스를 수행할 때 증착 사이클들의 수를 결정하는 단계를 위한 프로그램 인스트럭션들을 포함한다.
본 개시의 또 다른 실시 예들은 프로세서 및 프로세서에 커플링된 메모리를 포함하고, 그리고, 컴퓨터 시스템에 의해 실행된다면, 컴퓨터 시스템으로 하여금 이중 패터닝 프로세스에서 형성된 피처와 연관된 코어 임계 치수를 제어하기 위해 피드포워드를 수행하기 위한 방법을 실행하게 하는 인스트럭션들을 내부에 저장하는, 컴퓨터 시스템을 개시한다. 방법은, 웨이퍼 상의 맨드릴의 인입 ADI 치수를 측정하는 단계를 포함한다. 방법은, 맨드릴의 인입 ADI 치수와 목표된 ADI 치수 사이의 차를 결정하는 단계를 포함한다. 방법은, 인입 ADI 치수에 기초하여 코어 피처의 목표된 ADT 치수를 달성하도록 에칭 프로세스 단계의 에칭 레이트 및 차에 기초하여 에칭 프로세스 단계를 수행하기 위한 시간을 수정하는 단계를 포함하고, 코어 피처는 SADP (self-aligned double patterning) 프로세스의 제 1 패터닝 프로세스를 수행할 때 형성된다. 방법은, SADP 프로세스의 제 2 패터닝 프로세스의 변화를 수신하는 단계를 포함한다. 방법은, 제 2 패터닝 프로세스의 변화에 기초하여 코어 피처 위의 옥사이드 층에 대한 수정된 ASD 치수를 결정하는 단계를 포함한다. 방법은, 원자 층 증착 프로세스의 증착 레이트 및 코어 피처의 목표된 ADT 치수에 기초하여 수정된 ASD 치수를 달성하기 위해 ALD 프로세스를 수행할 때 증착 사이클들의 수를 결정하는 단계를 포함한다.
이들 및 다른 이점들은 전체 명세서 및 청구항들을 읽으면 당업자에 의해 인식될 것이다.
실시 예들은 첨부된 도면들과 함께 취해진 이하의 기술을 참조하여 가장 잘 이해될 수도 있다.
도 1a는 본 개시의 일 실시 예에 따른, 웨이퍼를 프로세싱하도록, 예를 들어, 웨이퍼 상에 막들을 형성하도록 사용되는, 기판 프로세싱 시스템을 예시한다.
도 1b는 본 개시의 일 실시 예에 따른, 히터 존 각각이 열을 제공하기 위해 개별적으로 제어 가능한, 복수의 히터 존들을 포함하는 정전 척 (electrostatic chuc; ESC) 을 예시한다.
도 2는 일 실시 예에 따른, 4 개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴 및/또는 프로세스 모듈의 상면도를 예시한다.
도 3은 일 실시 예에 따른, 인바운드 로드 록 (inbound load lock) 및 아웃바운드 로드 록 (outbound load lock) 을 갖는 멀티-스테이션 프로세싱 툴의 일 실시 예의 개략도를 도시한다.
도 4a 내지 도 4h는 본 개시의 일 실시 예에 따른, 자가-정렬 더블 패터닝 (self-aligned double patterning; SADP) 프로세스들을 수행할 때 다수의 동작들 및/또는 프로세스들을 겪는 웨이퍼의 일부를 통한 수직 단면들의 도면들을 도시한다.
도 5는 본 개시의 일 실시 예에 따른, 멀티-패터닝 프로세스들의 각각의 단계 동안 도입된 가변성 (variability) 을 관리하기 위해 기판 상에서 수행된 더블 패터닝 프로세스에 대한 자동화된 피드포워드 및 피드백 (feedforward and feedback) 제어를 수행할 목적을 위해 하나 이상의 민감도 인자들을 포함하는 수학적 (mathematical) 모델을 생성하기 위한 방법을 예시하는 흐름도이다.
도 6a는 본 개시의 일 실시 예에 따른, 멀티-패터닝 프로세스들의 하나 이상의 단계들 동안 도입된 가변성을 관리하기 위해 기판 상에서 수행된 더블 패터닝 프로세스를 위한 자동화된 피드백 제어를 위한 방법을 예시하는 흐름도이다.
도 6b는 도 4a 내지 도 4h에 도시된 바와 같이, 웨이퍼 상에서 SADP (self-aligned double patterning) 프로세스들을 수행한 후 복수의 최종 피처들의 치수들의 분포 (예를 들어, 라인 CD) 의 불균일성을 예시한다.
도 7은 본 개시의 일 실시 예에 따른, 멀티-패터닝 프로세스들의 하나 이상의 단계들 동안 도입된 가변성을 관리하기 위해 기판 상에서 수행된 더블 패터닝 프로세스를 위한 자동화된 피드포워드 제어를 위한 방법을 예시하는 흐름도이다.
도 8a는 본 개시의 실시 예들에 따른, 멀티-패터닝 프로세스들을 수행할 때 ESC 및/또는 페데스탈 상에서 피드백 및/또는 피드포워드 제어를 수행하기 위한 워크플로우를 예시하는 도면 (800A) 이다.
도 8b는 본 개시의 일 실시 예에 따른, 멀티-패터닝 프로세스들에 대한 피드백 제어를 수행할 때 사용 온도에 대한 트리밍 (trim) 민감도를 결정하기 위해 2 개의 상이한 정상 상태 (steady state; SS) 온도 조건들에 대한 에칭 및/또는 트리밍 프로세스에 대한 온도 프로파일 전개들을 예시하는 도면 (800B) 이다.
도 8c는 본 개시의 일 실시 예에 따른, 멀티-패터닝 프로세스들에 대한 피드백 제어를 수행할 때 사용 시간에 대한 트리밍 민감도를 결정하기 위해 2 개의 상이한 시간 제약들 하에서 동작하는 정상 상태 온도 조건에 대한 에칭 및/또는 트리밍 프로세스에 대한 온도 프로파일 전개를 예시하는 도면 (800C) 이다.
도 8d는 본 개시의 일 실시 예에 따른, 멀티-패터닝 프로세스들에 대한 피드백 제어를 수행할 때 사용 온도에 대한 증착 감도를 결정하기 위해 2 개의 상이한 정상 상태 온도 조건들에 대해 수행된 증착 프로세스에 대한 증착 레이트를 예시하는 도면 (800D) 이다.
도 9는 본 개시의 일 실시 예에 따른, 상기 기술된 시스템들을 제어하기 위한 제어 모듈을 도시한다.
이하의 상세한 기술은 예시의 목적을 위해 많은 특정한 상세들을 포함하지만, 당업자는 이하의 상세들에 대한 많은 변형들 및 변경들이 본 개시의 범위 내에 있다는 것을 인식할 것이다. 따라서, 이하에 기술된 본 개시의 양태들은 이 기술을 따르는 청구항들에 대한 어떠한 일반성 손실도 없이 그리고 제한들을 부과하지 않고 제시된다.
일반적으로 말하면, 본 개시의 다양한 실시 예들은 멀티-패터닝 프로세스들의 단계 각각 동안 도입된 가변성 (variability) 을 관리하기 위해 기판 상에서 수행된 더블 패터닝 프로세스를 위한 자동화된 피드포워드 및/또는 피드백 (feedforward and/or feedback) 제어를 제공하는 시스템들 및 방법들을 기술한다. 또한, 피드포워드 및/또는 피드백 제어는 제어 시퀀스들의 자동화를 수행하기 위한 수학적 프레임워크 (mathematical framework) 를 포함한다. 또한, 본 개시의 실시 예들은 수학적 프레임워크를 구현하기 위한 과도 솔버 (transient solver) 를 포함한다. 예를 들어, 수동으로 피드포워드 및 피드백 제어를 수행하는 대신, 본 개시의 실시 예들에서, 자동화된 피드포워드 및/또는 피드백 제어는 패터닝 프로세스의 단계에서 도입된 가변성들을 보정함으로써 기판에 걸친 변동을 최소화하는 국부화된 (localize) 미세 튜닝을 위해, 그리고 인입 패턴 불균일성들의 보정을 위해 사용될 수 있다. 예를 들어, 자동화된 피드포워드 및/또는 피드백 제어는 인입 광-임계 치수 (photo-critical dimension; photo-CD) 의 모든 변화들, 또는 건식 에칭을 위한 라인 아래의 모든 변동들을 설명하기 위한 더블-패터닝 프로세스들에 중요하다. 그 결과, 자동화된 피드포워드 및/또는 피드백 제어는 멀티-패터닝 프로세스들을 포함하는 사전-단계들 또는 사후-단계들의 임의의 변화들에 대해 그린-투-그린 (green-to-green) 시간을 개선한다. 자동화의 결과로서, 피드포워드 및/또는 피드백 제어는 임계 치수 (critical dimension; CD) 및 임계 치수 불균일성 (critical dimension non-uniformity; CDNU) 의 임의의 변동들을 최소화하고, 그리고 반복들 (reiterations) 의 부가된 비용을 절약하도록 사용될 수 있고, 그리고 패터닝 프로세스들을 수행할 때 프로세스를 변경하는 유연성을 제공한다.
자동화 및/또는 피드백 제어를 구현하는 것은 명세서 전반에 걸쳐 더블 패터닝 프로세스를 사용하여 기술되지만, 자동화 및/또는 피드백 제어를 위해 본 명세서에 기술된 기법들은 쿼드러플 (quadruple) 패터닝 프로세스들 등과 같은 임의의 멀티 패터닝 프로세스에서 사용될 수 있다는 것이 이해된다.
본 개시의 실시 예들은, 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 (spin-rinse) 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (physical vapor deposition; PVD) 챔버 또는 모듈, 화학적 기상 증착 (chemical vapor deposition; CVD) 챔버 또는 모듈, 원자 층 증착 (atomic layer deposition; ALD) 챔버 또는 모듈, 플라즈마 강화된 화학적 기상 증착 (plasma enhanced chemical vapor deposition; PECVD) 챔버 또는 모듈, 원자 층 에칭 (atomic layer etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 전기 도금, 전기 에칭, 전기 폴리싱, 전기 화학적 기계적 폴리싱, 증착, 습식 증착, 및 TSV (through silicon via) 프로세스들과 같은 프로세스들을 포함하도록 반도체 웨이퍼들의 제조 및/또는 제작에 연관되거나 사용될 수도 있는 임의의 다른 반도체 프로세싱 시스템들에 사용된 것과 같은 플라즈마 프로세스 모듈들에 관한 것이다. 또한, 본 개시의 실시 예들은 본 명세서에 제공된 예들로 제한되지 않고, 상이한 구성들, 기하 구조들, 및 플라즈마-생성 기술들 (예를 들어, 유도 결합 시스템들, 용량 결합 시스템들, 전자-사이클로트론 공진 시스템들, 마이크로파 시스템들, 등) 을 채용하는 상이한 플라즈마 프로세싱 시스템들에서 실시될 수도 있다. 플라즈마 프로세싱 시스템들 및 플라즈마 프로세스 모듈들의 예들은 공동으로 소유된 미국 특허 제 8,862,855 호, 및 제 8,847,495 호, 및 제 8,485,128 호, 및 미국 특허 출원 번호 제 15/369,110 호에 개시되고, 이들 모두는 전체가 참조로서 본 명세서에 인용된다. 본 개시의 실시 예들의 플라즈마 프로세스 모듈들은 기판을 지지하도록 구성된 정전 척 (electrostatic chuck; ESC) 을 갖거나 갖지 않도록 구성된 페데스탈을 포함하고, 페데스탈 및/또는 ESC는 프로세스들을 수행할 때 기판에 열을 전달하기 위해 사용된 하나 이상의 히터 존들을 포함할 수도 있다. 일반적으로, 가열 어셈블리들은 샤워헤드, ESC, 지지 척, 페데스탈, 챔버 컴포넌트들, 또는 기판들을 프로세싱하기 위해 사용된 반응기들, 챔버들, 프로세스 모듈들, 등에서 구현될 수도 있는 다른 구조체들 또는 컴포넌트들을 포함할 수도 있다.
명세서 전체에 걸쳐, 본 명세서에 사용된 바와 같은 용어 "기판"은 본 개시의 실시 예들에서 반도체 웨이퍼를 지칭한다. 그러나, 다른 실시 예들에서, 용어 기판은 사파이어, GaN, GaAs 또는 SiC, 또는 다른 기판 재료들로 형성된 기판들을 지칭할 수 있고, 그리고 유리 패널들/기판들, 금속 포일들, 금속 시트들, 폴리머 재료들, 등을 포함할 수 있다는 것이 이해되어야 한다. 또한, 다양한 실시 예들에서, 본 명세서에 언급된 바와 같은 기판은 형태, 형상, 및/또는 사이즈가 가변할 수도 있다. 예를 들어, 일부 실시 예들에서, 본 명세서에 언급된 바와 같은 기판은 200 ㎜ (밀리미터) 직경 반도체 웨이퍼, 300 ㎜ 직경 반도체 웨이퍼, 또는 450 ㎜ 직경 반도체 웨이퍼에 대응할 수도 있다. 또한, 일부 실시 예들에서, 본 명세서에 언급된 바와 같은 기판은 평판 디스플레이를 위한 직사각형 기판 등과 같은, 비원형 기판에 대응할 수도 있고, 다른 형상들을 포함할 수도 있다.
다양한 실시 예들의 상기 일반적인 이해와 함께, 실시 예들의 예시적인 상세들이 이제 다양한 도면들을 참조하여 기술될 것이다. 하나 이상의 도면들에서 유사하게 번호가 붙은 엘리먼트들 및/또는 컴포넌트들은 일반적으로 동일한 구성 및/또는 기능성을 갖도록 의도된다. 또한, 도면들은 축척대로 도시되지 않을 수도 있고, 신규 개념들을 예시하고 강조하도록 의도된다. 본 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다는 것이 자명할 것이다. 다른 예들에서, 공지된 프로세스 동작들은 본 실시 예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
도 1a는 습식 (예를 들어, 화학적) 및 건식 (예를 들어, 플라즈마) 에칭 및 증착 프로세스들, 예컨대 원자 층 증착 (ALD) 프로세스들을 포함하는 멀티-패터닝 프로세스들에서 형성된 것과 같은 기판들 위에 막들을 증착하도록 사용될 수도 있는 반응기 시스템 (100) 을 예시한다. 이들 반응기들은 하나 이상의 히터들을 활용할 수도 있고, 공통 단자 구성들은 균일성 또는 커스텀 (custom) 설정들을 위해 온도들을 제어하도록 이 예시적인 반응기에서 사용될 수도 있다. 보다 구체적으로, 도 1a는 웨이퍼 (101) 를 프로세싱하도록 사용되는 기판 프로세싱 시스템 (100) 을 예시한다. 시스템은 하부 챔버 부분 (102b) 및 상부 챔버 부분 (102a) 을 갖는 챔버 (102) 를 포함한다. 중심 컬럼 (column) (160) 이 일 실시 예에서 전력 공급된 전극인, 페데스탈 (140) 을 지지하도록 구성된다. 페데스탈 (140) 은 매칭 네트워크 (106) 를 통해 무선 주파수 (radio frequency; RF) 전력 공급부 (104) 에 전기적으로 커플링된다. RF 전력 공급부 (104) 는 제어 모듈 (110), 예를 들어, 제어기에 의해 제어된다. 제어 모듈 (110) 은 프로세스 입력 및 제어부 (108) 를 실행함으로써 기판 프로세싱 시스템 (100) 을 동작시키도록 구성된다. 프로세스 입력 및 제어부 (108) 는 예컨대 웨이퍼 (101) 위에 막들을 증착하거나 형성하기 위해, 전력 레벨들, 타이밍 파라미터들, 프로세스 가스들, 웨이퍼 (101) 의 기계적 운동, 등과 같은 프로세스 레시피들을 포함할 수도 있다.
본 개시의 실시 예들은 플라즈마가 생성되는 플라즈마 프로세싱 환경에 노출되는 동안 기판을 홀딩하도록 구성된 ESC (145) 를 갖거나 갖지 않는 페데스탈 (140) 을 포함한다. 페데스탈 (140) 및/또는 ESC (145) 는 도 1b에 더 기술될 바와 같이, 반응기 시스템 (100) 내에서 프로세싱 동작들을 수행할 때 개별적으로 제어 가능한 하나 이상의 히터 존들을 포함할 수도 있다.
중심 컬럼 (160) 은 또한 리프트 핀들 (미도시) 을 포함하고, 이들 각각은 리프트 핀 제어부 (122) 에 의해 제어될 때 대응하는 리프트 핀 구동 (actuation) 링 (120) 에 의해 구동된다. 리프트 핀들은 엔드-이펙터 (end-effector) 로 하여금 웨이퍼를 픽킹하게 (pick) 하도록 페데스탈 (140) 로부터 웨이퍼 (101) 를 상승시키고 엔드-이펙터에 의해 배치된 후 웨이퍼 (101) 를 하강시키도록 사용된다. 기판 프로세싱 시스템 (100) 은 프로세스 가스들 (114), 예를 들어 설비로부터 가스 화학물질 공급부들에 연결되는 가스 공급 매니폴드 (112) 를 더 포함한다. 수행될 프로세싱에 따라, 제어 모듈 (110) 은 가스 공급 매니폴드 (112) 를 통한 프로세스 가스들 (114) 의 전달, 챔버 압력, 하나 이상의 RF 전력 소스들로부터 RF 전력의 생성, 배기 펌프, 등을 제어한다. 이어서 선택된 가스들은 샤워헤드 (150) 내로 흐르고, 웨이퍼 (101) 와 대면하는 샤워헤드 (150) 면과 페데스탈 (140) 위에 놓인 웨이퍼 (101) 사이에 규정된 공간 볼륨 내에 분배된다. ALD 프로세스들에서, 가스들은 흡수 또는 흡수된 반응물질들과의 반응을 위해 선택된 반응 물질들일 수 있다.
또한, 가스들은 미리 혼합되거나 미리 혼합되지 않을 수도 있다. 적절한 밸브 및 질량 유량 제어 메커니즘들은 프로세스의 증착 및 플라즈마 처리 페이즈들 (phases) 동안 올바른 가스들이 전달되는 것을 보장하도록 채용될 수도 있다. 프로세스 가스들은 유출구를 통해 챔버를 나간다. 진공 펌프 (예를 들어, 1 단계 또는 2 단계 기계식 건식 펌프 및/또는 터보분자 펌프) 가 프로세스 가스들을 인출하고, 쓰로틀 (throttle) 밸브 또는 펜듈럼 (pendulum) 밸브와 같은 폐루프 제어된 플로우 제한 디바이스에 의해 반응기 내에서 적절하게 저압을 유지한다.
페데스탈 (140) 의 외측 영역을 둘러싸는 캐리어 링 (175) 이 또한 도시된다. 캐리어 링 (175) 은 페데스탈 (140) 의 중심에 있는 웨이퍼 지지 영역으로부터 스텝 다운되는 (step down) 캐리어 링 지지 영역 위에 놓이도록 구성된다. 캐리어 링은 디스크 구조체의 외측 에지 측면, 예를 들어, 외측 반경, 및 웨이퍼 (101) 가 놓이는 곳에 가장 가까운 디스크 구조체의 웨이퍼 에지 측면, 예를 들어, 내측 반경을 포함한다. 캐리어 링의 웨이퍼 에지 측면은 캐리어 링 (175) 이 스파이더 포크들 (spider forks) (180) 에 의해 리프팅될 때 웨이퍼 (101) 를 리프팅하도록 구성되는 복수의 콘택트 지지 구조체들을 포함한다. 따라서 캐리어 링 (175) 은 웨이퍼 (101) 와 함께 리프팅되고, 예를 들어, 멀티-스테이션 시스템에서 또 다른 스테이션으로 로테이팅될 수 있다. 다른 실시 예들에서, 챔버는 단일 스테이션 챔버이다.
또한, 히터 온도 제어기 (105) 는 ESC (145) 를 갖거나 갖지 않도록 구성된 페데스탈 (140) 의 하나 이상의 히터 존들의 온도를 제어하도록 구성되고, 히터 존들은 페데스탈 및/또는 ESC 내에 위치될 수도 있다. 히터 존들은 기판의 프로세싱 동안 ESC (145) 를 갖거나 갖지 않는 페데스탈 (140) 의 표면 온도의 정밀 제어를 가능하게 하도록 사용된다. 제어 가능한 복수의 히터 존들은 멀티-패터닝 프로세스들의 단계들 동안 도입된 가변성을 보상하기 위해 ESC (145) 를 갖거나 갖지 않는, 페데스탈 (140) 의 온도 프로파일을 튜닝하는 능력 (예를 들어, 방사상 프로파일, 방위각 (azimuthal) 프로파일, 등) 을 제공한다. 예를 들어, 가변성은 변화하는 환경 조건들 (예를 들어, 열 손실 조건들, 상이한 프로세스 단계들 사이에서 가변하는 열 전달 조건들, 등) 에 의해 유발될 수도 있다. ESC (145) 를 갖거나 갖지 않는, 페데스탈 (140) 의 하나 이상의 히터 존들의 온도들을 제어하는 것은 히터 온도 제어기 (105) 및 제어 모듈 (110) 에 의해 각각 단독으로 또는 조합하여 수행될 수도 있다는 것이 이해된다.
도 1b는 본 개시의 일 실시 예에 따른, 히터 존 각각이 열을 제공하기 위해 개별적으로 제어 가능한, 복수의 히터 존들을 포함하는 멀티-존 가열 시스템으로서 구성된 페데스탈 (140A) 을 예시한다. 페데스탈 (140A) 의 상단 표면은 프로세싱 동안 기판을 지지하도록 구성된 영역을 포함할 수도 있다. 또 다른 실시 예에서, 히터 존들은 페데스탈 (140) 의 최상단 층일 수도 있는 ESC 내에 구성될 수도 있고, ESC는 베이스 플레이트, 베이스 플레이트 위에 배치된 (dispose) 본딩 층, 및 본딩 층 위에 배치된 세라믹 층을 포함할 수도 있다. 용이함 및 명확성의 목적을 위해, 이하에서는 페데스탈 내의 히터 존들을 기술하지만, 히터 존들은 다양한 실시 예들에서 페데스탈 및/또는 ESC 내에 위치될 수도 있다는 것이 이해된다.
예시의 목적을 위해, ESC를 갖거나 갖지 않도록 구성된 페데스탈 (140A) 의 히터 존 각각은 일 실시 예에서 히터 온도 제어기 (105) 및/또는 제어 모듈 (110) 에 의해 제어될 때 하나 이상의 히터 트레이스들 (예를 들어, 저항성 (resistive) 엘리먼트) 에 의해 가열될 수도 있다. 예를 들어, 히터 존들 각각은 대응하는 히터 트레이스에 공급된 전력 (예를 들어, 대응하는 히터 전력 공급부―미도시―를 통해 공급된 전력) 을 제어하기 위한 제어기에 전기적으로 커플링된 히터 트레이스 (예를 들어, 저항성 엘리먼트) 를 포함할 수도 있다. 히터 트레이스들 각각은 대응하는 히터 존 내에 통합되거나 임베딩될 수도 있고, 대응하는 히터 존에 열을 제공하도록 구성된다. 예를 들어, 히터 트레이스들이 층의 내부에 배치되도록, 히터 트레이스들은 페데스탈 (140A) 및/또는 ESC의 층들 중 하나의 내부에 제조되고 형성될 수도 있다. 이와 같이, 히터 트레이스들에 의해 생성된 열은 페데스탈 (140A) 및/또는 ESC의 층으로 전달될 수도 있고, 그리고 ESC를 갖거나 갖지 않도록 구성된 페데스탈 (140A) 의 표면으로 더 전달될 수도 있다.
도 1b에 도시된, ESC를 갖거나 갖지 않도록 구성된, 페데스탈 (140A) 의 멀티-존 가열 시스템은 일 예일 뿐이고, 그리고 다양한 레이아웃 구성들이 지원될 수 있다는 것이 인식되어야 한다. 예를 들어, 히터 존들의 레이아웃 구성들 (예를 들어, 그리드 패턴들) 은, ESC를 갖거나 갖지 않도록 구성된 페데스탈 (140A) 등의 윤곽 내에 피팅되는 다양한 형상들이, 대칭적일 수도 있고, 비대칭적일 수도 있고, 그리드 패턴에 걸쳐 균일하게 분포될 수도 있고, 그리드 패턴에 걸쳐 불균일하게 분포될 수도 있다. 예를 들어, 하나 이상의 히터 존들을 갖는 가열 시스템, 예컨대 내측 존, 중간 존, 및 외측 존을 포함하는 3 개의 히터 존 시스템이 제공될 수 있다. 히터 존들의 수는 예로서 1 내지 5 개로 넘버링된 히터 존들을 포함하도록, 또는 5 내지 10 개, 또는 5 내지 15 개, 또는 5 개 초과의 히터 존들, 또는 10 개 초과의 히터 존들, 20 개 초과의 히터 존들, 또는 50 개 초과의 히터 존들, 또는 75 개 초과의 히터 존들, 또는 100 개 초과의 히터 존들, 또는 125 개 초과의 히터 존들, 또는 150 개 초과의 히터 존들로 넘버링된 히터 존들을 포함하도록 시스템들 사이에서 가변할 수도 있다. 여전히 다른 예들에서, 히터 존들은 층들로 그룹화될 수도 있고, 복수의 히터 존들의 일 세트는 일 수평 층에 있고, 그리고 적어도 하나의 다른 층은 복수의 히터 존들의 또 다른 세트를 포함한다. 다른 실시 예들에서, 히터 존들은 파이 (pie) 형상들, 원형 배향된 가열 원들, 개별 저항성 엘리먼트들의 그리드, 지그재그 저항성 엘리먼트들, 단일 저항성 엘리먼트, 등과 같은 다양한 구성들로 배향될 수 있다. 실시 예들의 예시로서, 일부 레이아웃 구성들은 복수의 존들에 대한 저항성 엘리먼트들의 연속적인 원형 링들을 제공할 수도 있다.
순수하게 예시를 위해, ESC를 갖거나 갖지 않도록 구성된 페데스탈 (140A) 은 10 개의 히터 존들 (1 내지 10) 을 포함한다. 히터 존들은 방사상 파라미터 및/또는 방위각 파라미터에 의해 규정될 수도 있다. 예를 들어, 페데스탈 (140A) 은 반경 (181), 반경 (182), 반경 (183) 및 반경 (184) 을 포함하는 하나 이상의 반경들에 의해 분할될 수도 있다. 이에 더하여, 페데스탈 (140A) 은 방위각들 (azimuths) (191 내지 198) 을 포함하는 하나 이상의 방위각들에 의해 분할될 수도 있다. 도 1b에 도시된 바와 같이, 존 (1) 은 방사상 존이고, 반경 (181) 이하의 반경들에 위치된다. 존 (2) 은 또한 방사상 존이고, 반경 (181) 과 반경 (182) 사이에 위치된다. 존 (3) 내지 존 (6) 은 반경 (182) 및 반경 (183) 에 의해 규정된 방사상 존 내에, 각각 상이한 방위각들 사이에 위치된다. 예를 들어, 상기 규정된 방사상 존 내에서, 존 (3) 은 방위각 (191) 과 방위각 (192) 사이에 위치되고, 존 (4) 은 방위각 (192) 과 방위각 (193) 사이에 위치되고, 존 (5) 은 방위각 (193) 과 방위각 (195) 사이에 위치되고, 존 (6) 은 방위각 (191) 과 방위각 (194) 사이에 위치된다. 또한, 존 (7) 내지 존 (10) 은 반경 (183) 및 반경 (184) 에 의해 규정된 방사상 존 내에, 각각 상이한 방위각들 사이에 위치된다. 예를 들어, 상기 규정된 방사상 존 내에서, 존 (7) 은 방위각 (195) 과 방위각 (198) 사이에 위치되고, 존 (8) 은 방위각 (197) 과 방위각 (198) 사이에 위치되고, 존 (9) 은 방위각 (196) 과 방위각 (197) 사이에 위치되고, 그리고 존 (10) 은 방위각 (195) 과 방위각 (196) 사이에 위치된다.
일 실시 예에서, ESC를 갖거나 갖지 않도록 구성된 페데스탈 (140A) 의 일 층에 멀티-존 가열 시스템이 제공되고, 존들은 하나 이상의 그리드 패턴들로 배열된다. 그리드의 엘리먼트들 각각은 열을 제공하도록 개별적으로 제어 가능하다. ESC를 갖거나 갖지 않는, 페데스탈 (140A) 의 일 층에 제공된 멀티-존 가열 시스템은 페데스탈 (140A) 에 걸쳐 열의 미세 튜닝을 제공하도록 구성될 수도 있다. 또 다른 실시 예에서, 가열 시스템은 ESC를 갖거나 갖지 않는, 페데스탈 (140A) 의 복수의 층들에 걸쳐 분포될 수도 있다. 예를 들어, 일 층은 페데스탈 (140A) 에 제공된 열의 미세 튜닝을 제공할 수도 있다. 열의 미세 튜닝은 멀티-존 가열 시스템에 대해 상기 기술된 가열 엘리먼트들 및/또는 가열 존들의 그리드 패턴을 통해 제공될 수도 있다. 가열 시스템은 ESC를 갖거나 갖지 않도록 구성된, 페데스탈 (140A) 에 인가된 열의 거친 (coarse) 튜닝을 제공하도록 구성되는 하나 이상의 가열 존들의 또 다른 층을 포함할 수도 있다. 예를 들어, 거친 튜닝은 듀얼 히터 존 페데스탈 (140A) (예를 들어, 2 개의 히터 존들), 트리 히터 존 페데스탈 (140A) (예를 들어, 3 개의 히터 존들), 또는 쿼드 히터 존 페데스탈 (140A) (예를 들어, 4 개의 히터 존들), 등과 같은 ESC를 갖거나 갖지 않도록 구성된, 페데스탈 (140A) 에 걸쳐 제공될 수도 있는 히터 존들의 하나 이상의 링들에 의해 구현될 수도 있다.
도 2는 4 개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴 또는 제어 모듈 (110) 의 평면도를 예시한다. 이 상면도는 (예를 들어, 예시를 위해 상단 챔버 부분이 제거된) 하부 챔버 부분 (102b) 의 상면도이고, 4 개의 스테이션들은 스파이더 포크들 (226) 에 의해 액세스된다. 스파이더 포크, 또는 포크 각각은 제 1 암 (arm) 및 제 2 암을 포함하고, 암 각각은 페데스탈 (140) 의 측면 각각의 일부 둘레에 위치된다. 이 도면에서, 스파이더 포크들 (226) 은 캐리어 링 (200) 아래에 있다는 것을 전달하기 위해 점선들로 도시되었다. 인게이지먼트 (engagement) 및 로테이션 메커니즘 (220) 을 사용하는 스파이더 포크들 (226) 은 캐리어 링들 (200) 을 스테이션들로부터 (즉, 캐리어 링들 (200) 의 하부 표면으로부터) 동시에 상승 및 리프팅하도록, 이어서 추가 플라즈마 프로세싱, 처리 및/또는 막 증착이 각각의 웨이퍼들 (101) 상에서 발생할 수 있도록 캐리어 링들 (200) (적어도 하나의 캐리어 링들이 웨이퍼 (101) 를 지지함) 을 다음 위치로 하강시키기 전 적어도 하나 이상의 스테이션들을 로테이팅시키도록 구성된다.
도 3은 인바운드 로드 록 (302) 및 아웃바운드 로드 록 (304) 을 갖는 멀티-스테이션 프로세싱 툴 또는 제어 모듈 (110) 의 실시 예의 개략도를 도시한다. 대기압에서, 로봇 (131) 이 카세트로부터 포드 (308) 를 통해 로딩된 기판들을 대기 포트 (310) 를 통해 인바운드 로드 록 (302) 으로 이동시키도록 구성된다. 인바운드 로드 록 (302) 은 대기 포트 (310) 가 폐쇄될 때, 인바운드 로드 록 (302) 이 펌핑 다운될 수도 있도록, 진공 소스 (미도시) 에 커플링된다. 인바운드 로드 록 (302) 은 또한 프로세싱 챔버 (102b) 와 인터페이싱된 챔버 이송 포트 (316) 를 포함한다. 따라서, 챔버 이송 포트 (316) 가 개방될 때, 또 다른 로봇 (미도시, 진공 이송 모듈 (190) 의 로봇 (312) 과 같음) 이 프로세싱을 위해 인바운드 로드 록 (302) 으로부터 제 1 프로세스 스테이션의 페데스탈 (140) 로 기판을 이동시킬 수도 있다.
도시된 프로세싱 챔버 (102b) 는 도 3에 도시된 실시 예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 일부 실시 예들에서, 프로세싱 챔버 (102b) 는 진공 브레이크 및/또는 공기 노출을 경험하지 않고 기판들이 프로세스 스테이션들 사이에서 캐리어 링 (200) 을 사용하여 이송될 수도 있도록 저압 분위기를 유지하도록 구성될 수도 있다. 도 3에 도시된 프로세스 스테이션 각각은 프로세스 스테이션 기판 홀더 (스테이션 1에 대해 318로 도시됨) 및 프로세스 가스 전달 라인 유입구들을 포함한다.
도 3은 또한 프로세싱 챔버 (102b) 내에서 기판들을 이송하기 위한 스파이더 포크들 (226) 을 도시한다. 스파이더 포크들 (226) 은 로테이팅하고, 일 스테이션으로부터 다른 스테이션으로 웨이퍼들의 이송을 인에이블한다. 이송은 웨이퍼를 리프팅하고, 웨이퍼와 캐리어를 함께 다음 스테이션으로 로테이팅시키는, 외측 하부면으로부터 캐리어 링들 (200) 을 리프팅하도록 스파이더 포크들 (226) 을 인에이블함으로써 발생한다. 일 구성에서, 스파이더 포크들 (226) 은 프로세싱 동안 고 레벨의 열을 견디도록 세라믹 재료로 이루어진다.
반도체 웨이퍼 (이하 "웨이퍼") 제조에서, 코어 재료의 층이 웨이퍼 상에 증착될 수 있고 그리고 웨이퍼 상의/웨이퍼 내부에 하나 이상의 하부 재료들을 프로세싱할 때 마스크로서 사용하기 위해 패터닝될 수 있다. 다양한 실시 예들에서, 웨이퍼는 형태, 형상, 및/또는 사이즈가 가변할 수도 있다. 예를 들어, 일부 실시 예들에서, 본 명세서에 참조된 웨이퍼는 200 ㎜ (밀리미터) 직경 반도체 웨이퍼, 300 ㎜ 직경 반도체 웨이퍼, 또는 450 ㎜ 직경 반도체 웨이퍼에 대응할 수도 있다. 또한, 일부 실시 예들에서, 본 명세서에 참조된 웨이퍼는 다른 형상들 중에서, 평판 디스플레이를 위한 직사각형 기판 등과 같은, 비원형 형상을 가질 수도 있다.
코어 재료의 층은 코어 재료가 미리 규정된 (prescribe) 패턴의 피처들로 현상될 (develop) 수 있도록 레티클 (reticle) 을 통해 그리고 포토리소그래피 (photolithography) 프로세스에서 웨이퍼 상으로 투과된 광의 패턴에 노출되고, 코어 피처들의 일부는 임계 치수를 갖는다. 이 맥락에서 임계 치수는 특정한 제조 프로세스에서 제어될 가장 작은 치수를 지칭한다. 코어 피처들의 임계 치수는 코어 재료의 층을 패터닝하도록 사용되는 포토리소그래피 프로세스의 능력들에 의해 제어된다. 표준 포토리소그래피 프로세스를 사용하여, 예를 들어, 193 ㎚ 광에 기초한 포토리소그래피를 사용하여 코어 피처들의 임계 치수가 얼마나 감소될 수 있는지에 대한 한계가 있다. 너무 작은 임계 치수를 갖는 코어 피처들을 생성하려고 시도하는 것은 표준 포토리소그래피 프로세스들을 사용하여 코어 재료의 층을 패터닝하는데 문제들을 야기할 수 있다. 따라서, 표준 포토리소그래피 프로세스들은 코어 피처들의 임계 치수가 얼마나 작아질 수 있는지를 효과적으로 제한한다. 그러나, 일단 포토리소그래피 프로세스가 정확하게 제공할 수 있는 가장 작은 임계 치수로 코어 피처들이 형성되면, 포토리소그래피-패터닝된 코어 피처들에 기초한 피처들을 갖는 마스크들을 생성하도록 일련의 에칭 프로세스들을 수행함으로써 훨씬 보다 작은 임계 치수 피처들을 제조하도록 코어 피처들을 사용할 수 있다. 이러한 에칭 프로세스들은 자가-정렬 멀티플 패터닝 (self-aligned multiple patterning; SAMP) 프로세스들을 포함하고, 자가-정렬 더블 패터닝 (self-aligned double patterning; SADP) 프로세스들 및 자가-정렬 4중 패터닝 (self-aligned quadruple patterning; SAQP) 프로세스들을 포함할 수 있다.
도 4a 내지 도 4h는 일부 실시 예들에 따른, SADP 프로세스들에서 다수의 동작들을 겪는 웨이퍼 (101) 의 일부를 통한 수직 단면의 도면들을 도시한다. 도 4a 내지 도 4c는 SADP 프로세스들의 제 1 패터닝을 도시하고 도 4d 내지 도 4h는 제 2 패터닝을 도시하고, 패터닝 프로세스들 각각은 상이한 챔버들에서 수행될 수도 있다.
도 4a는 하나 이상의 포토레지스트 (photoresist; PR) 맨드릴들 (mandrels) (403) 을 형성하도록 포토리소그래피가 수행되는 웨이퍼 (101) 의 일부를 통한 수직 단면의 도면을 도시한다. 특히, 에칭될 타깃 재료 (405) 층은 웨이퍼 (101) 위에, 즉, 웨이퍼 (101) 의 아래에 놓인 재료들 (407) 위에 증착된다. 코어 1 층 (401) 및 코어 2 층 (402) 과 같은, 하나 이상의 코어 재료 층들이 하부 재료들 (107) 위에 형성될 수도 있다.
PR (photoresist) 층은 스핀 코팅 프로세스를 통해, 코어 2 층 (402) 에 도포될 수도 있다. 포토레지스트 층은 광 (예를 들어, 자외선 광) 또는 포토-마스크를 통해 다른 노출 복사선에 노출되고, 일부 포토레지스트는 광에 노출된다. 리소그래피 (lithography) 는 보호되지 않은 포토레지스트가 에칭될 수도 있도록 수행된다. 도시된 바와 같이, 포토레지스트가 현상되고 그리고 노출된 포토레지스트가 제거되어, 코어 1 층 (401) 상에 형성된 PR 맨드릴들 (403) 의 패턴을 드러낸다. 다양한 실시 예들에서, 피처들을 규정하는 PR 맨드릴들 (403) 은, 그 중에서도, 스핀-온 탄소, CVD (chemical vapor deposition) 탄소, PECVD (plasma enabled chemical vapor deposition) 탄소, 또는 유동성 (flowable) 탄소 혼합물과 같은 포토레지스트 재료 또는 탄소 재료로 형성될 수 있다. PR 맨드릴들 (403) 각각은 웨이퍼 (101) 의 하단 표면 (412) 에 실질적으로 평행한 수평 방향으로 측정될 때 임계 치수 ADI를 갖는다. 일부 맥락들에서, 패터닝된 PR 맨드릴 (403) 은 코어 맨드릴, 또는 에칭 및 증착 프로세스들을 위해 챔버 내로 도입되는 인입 PR 맨드릴로 지칭될 수 있다. 일부 실시 예들에서, PR 맨드릴들 (403) 의 임계 치수 ADI는 포토리소그래피 프로세스가 정확하게 제공할 수 있는 가장 작은 사이즈로 제조될 수 있다.
도 4b는 PR 맨드릴들 (403) 의 패턴을 사용하여 아래에 놓인 코어 1 층 (401) 으로 마스크의 전사를 예시한다. 특히, PR 맨드릴들 (403) 에 의해 보호되지 않는 코어 1 층 (401) 의 영역들이 등방성으로 (isotropically) 에칭될 수 있도록 에칭이 수행될 수도 있다. 에칭은 습식 화학 물질들 (예를 들어, 습식 에칭 프로세스에서 산들) 을 사용하여 수행될 수도 있다. 에칭 프로세스 동안, 코어 1 층 (401) 은 또한 웨이퍼 (101) 의 하단 표면 (412) 에 실질적으로 평행한 수평 방향으로 측정될 때, 임계 치수 ADT를 갖는 코어 1 피처들 (401A) 을 드러내도록 측 방향으로 트리밍될 (trim) 수도 있다. 코어 1 피처들 (401A) 의 임계 치수 ADT는 PR 맨드릴들 (403) 의 임계 치수 ADI 이하일 수도 있다 (즉, ADT = ADI 또는 ADT < ADI). 에칭이 완료될 때, PR 맨드릴들 (403) 내의 포토레지스트는 스트립핑될 수도 있고, 이에 따라 목표된 마스크 패턴의 코어 1 피처들 (401A) 을 드러낸다.
도 4c에서, SADP 프로세스들의 제 1 패터닝은 코어 1 층 (401) 위의 마스크 재료 (예를 들어, 옥사이드 재료) 또는 스페이서 1 DEP (415) 의 컨포멀한 (conformal) 증착으로 계속된다. 일부 실시 예들에서, 마스크 재료는 원자 층 증착 프로세스를 사용하여 증착된다. 마스크 재료는 옥사이드 층이 스페이서 1 DEP (415) 로서 코어 1 피처들 (401A) 에 컨포멀하도록 (conform) 선택적으로 에칭될 수도 있고, 그리고 마스크 재료는 코어 2 층 (402) 을 드러내기 위해 약간의 간격을 두고 코어 1 층 (401) 사이에서 제거될 수도 있다. 컨포멀한 스페이서 1 DEP (415) 는 웨이퍼 (101) 의 하단 표면 (412) 에 실질적으로 평행한 수평 방향으로 측정될 때, 임계 치수 ASD에 의해 규정된다.
도 4d에서, 제 1 패터닝 프로세스는 (예를 들어, 제 2 패터닝 프로세스들에서) 스페이서 1 DEP (415) 의 상단 부분들이, 후속하여 에칭되는 (예를 들어, 코어 1 층 (401) 뽑아냄 (pull)), 코어 1 피처들 (401A) 을 드러내도록 선택적으로 에칭되는 플라즈마 에칭 프로세스로 계속된다. 일부 실시 예들에서, 플라즈마 에칭 프로세스는 별도의 챔버에서 수행된다. 마스크 재료 (415) 의 상단 부분 및 코어 1 피처들 (401A) 을 제거하기 위한 플라즈마 에칭 프로세스는 등방성 또는 이방성 (anisotropic) 에칭 프로세스일 수 있다. 도시된 바와 같이, 스페이서 1 DEP (415) 의 측면-스페이서들 (415A) 은 웨이퍼 (101) 상에 남아 있고, 코어 2 층 (402) 내로 피처들을 플라즈마 에칭하기 위한 마스크로서 사용된다. 일부 실시 예들에서, 측면-스페이서들 (415A) 은 정사각형 스페이서들이다.
도 4e는 (코어 1 층 (401) 의 재료로 형성된) 측면 스페이서들 (415A) 의 패턴으로 형성된 마스크의 하부 코어 2 층 (402) 으로의 전사를 예시한다. 특히, 측면 스페이서들 (415A) 에 의해 보호되지 않는 코어 2 층 (402) 의 영역들이 등방성으로 에칭될 수 있도록 에칭이 수행될 수도 있다. 또한, 코어 2 층은 에칭 프로세스 동안 측 방향으로 트리밍될 수도 있다. 이에 더하여, 측면 스페이서들 (415A) 은 또한 선택적으로 에칭될 수도 있다. 에칭은 건식 에칭 프로세스를 사용하여 (예를 들어, 건식 플라즈마 환경에서) 이방성 방식 (예를 들어, 하향식 (top-down) 에칭) 으로 수행될 수도 있다. 도시된 바와 같이, 트리밍될 수도 있는 코어 2 피처들 (402A) 은 웨이퍼 (101) 상에 남는다.
도 4f에서, SADP 프로세스들의 제 2 패터닝은 코어 2 피처들 (402A) 위의 스페이서 2 DEP (425) (예를 들어, 옥사이드 재료) 의 컨포멀한 증착으로 계속된다. 일부 실시 예들에서, 옥사이드 재료 증착 층은 원자 층 증착 프로세스를 사용하여 증착된다. 증착 층은 옥사이드 층이 스페이서 2 DEP (425) 로서 코어 2 피처들 (402A) 에 컨포멀하도록 선택적으로 에칭될 수도 있다.
도 4g에서, 제 2 패터닝 프로세스는 (예를 들어, 제 2 패터닝 프로세스들에서) 스페이서 2 DEP (425) 의 상단 부분들이, 또한 에칭되고 트리밍될 수도 있는 (예를 들어, 코어 2 뽑아냄), 코어 2 피처들 (402A) 을 드러내도록 선택적으로 에칭되는 플라즈마 에칭 프로세스로 계속된다. 스페이서 2 DEP (425) 의 상단 부분 및 코어 2 피처들 (402A) 을 제거하기 위한 플라즈마 에칭 프로세스는 재료들을 제거하도록 규정된 등방성 또는 이방성 에칭 프로세스일 수 있다. 도시된 바와 같이, 스페이서 2 DEP (425) 의 측면-스페이서들 (425A) 은 웨이퍼 (101) 상에 남아 있고, 그리고 타깃 재료 (405) 내로 피처들을 플라즈마 에칭하기 위한 마스크로서 사용된다.
도 4h는 최종 피처들 (405A) 을 형성하기 위한 최종 플라즈마 에칭 프로세스에 이은 웨이퍼 (101) 의 일부를 통한 수직 단면의 도면을 도시하고, 아래에 놓인 타깃 재료 (405) 에 (코어 2 층 (402) 의 재료로 형성된) 측면 스페이서들 (425A) 의 패턴으로 형성된 마스크의 전사를 예시한다. 특히, 측면 스페이서들 (425A) 에 의해 보호되지 않는 타깃 재료 (405) 의 영역들이 등방성으로 에칭될 수 있도록 에칭이 수행될 수도 있다. 또한, 타깃 재료 (405) 는 에칭 프로세스 동안 측 방향으로 트리밍될 수도 있다. 이에 더하여, 측면 스페이서들 (425A) 은 또한 선택적으로 에칭될 수도 있다. 에칭은 건식 에칭 프로세스를 사용하여 (예를 들어, 건식 플라즈마 환경에서) 등방성 또는 이방성 방식 (예를 들어, 하향식 에칭) 으로 수행될 수도 있다. 도시된 바와 같이, 최종 피처들 (405A) 은 웨이퍼 (101) 상에 남는다.
최종 피처들 (405A) 의 임계 치수는 웨이퍼 (101) 의 하단 표면 (412) 에 실질적으로 평행한 수평 방향으로 측정되는 라인 폭 또는 "라인 CD"에 의해 규정될 수도 있다. 최종 피처들 (405A) 사이의 간격은 복수의 인자들에 종속된다. 예를 들어, 상이한 최종 피처들 (405A) 사이의 간격의 변동은 코어 1 피처들 (401A), 코어 2 피처들 (402A), 측면-스페이서들 (415A), 및 측면 스페이서들 (425A) 의 형성에 종속될 수도 있다. 간격은 최종 피처들 (405A) 사이에서 균일한 것이 목표될 수도 있다. 최종 피처들 (405A) 의 라인 폭 또는 라인 CD가 웨이퍼 (101) 에 걸쳐 균일한 것이 또한 목표될 수도 있다. 웨이퍼에 걸친 최종 피처들 (405A) 의 라인 CD 사이의 변동은 임계 치수 비-균일성 (critical dimension non-uniformity; CDNU) 으로 지칭된다. CDNU는 웨이퍼 (101) 에 걸쳐 최소화되는 것이 목표된다. CDNU는 웨이퍼 (101) 상에 형성된 일부 다이 (die) 에 대한 디바이스 고장 및/또는 동일한 웨이퍼 또는 상이한 웨이퍼들 상에 형성된 상이한 다이에 대한 디바이스 성능의 변동을 야기할 수 있다. 본 개시의 실시 예들은 도입된 가변성을 관리하기 위해 (예를 들어, CDNU를 최소화하기 위해) 더블 패터닝 프로세스들에 대한 자동화된 피드포워드 및/또는 피드백 제어를 수행하기 위해 제공된다.
도 5는 본 개시의 일 실시 예에 따른, 멀티-패터닝 프로세스들의 각각의 단계 동안 도입된 가변성을 관리하기 위해 기판 상에서 수행된 멀티-패터닝 프로세스들에 대한 자동화된 피드포워드 및/또는 피드백 제어를 수행할 목적을 위해 하나 이상의 민감도 인자들을 포함하는 수학적 (mathematical) 모델을 생성하기 위한 방법을 예시하는 흐름도이다. 예를 들어, 수학적 모델은 프로세스 가변성에 의해 유발될 수도 있는 멀티-패터닝 프로세스들의 출력 (예를 들어, 웨이퍼 상의 최종 피처들의 치수들) 의 변동을 결정함으로써 피드백 제어를 위해 사용될 수도 있다. 또 다른 예에서, 수학적 모델은 출력 (예를 들어, 웨이퍼 상의 최종 피처들의 치수들) 이 타깃을 달성하도록 멀티-패터닝 프로세스들을 수행하는 동안 프로세스 단계들에 대한 입력 변수들의 변동들 (예를 들어, 목표된 치수로부터 인입 PR 맨드릴 치수의 변동) 을 조정함으로써 피드포워드 제어를 위해 사용될 수도 있다.
수학적 모델은 온도 및 시간에 대한 피처 치수들 (예를 들어, 최종 피처들의 치수, 트리밍, 증착) 의 민감도들을 제공하는 하나 이상의 민감도 인자들을 포함한다. 이들 민감도 인자들은 프로세스 변동들 또는 교란들 (disturbances) 의 측정들과 같은 실증적 (empirical) 테스트를 통해 결정될 수도 있다.
(510) 에서, 방법은 제 1 복수의 테스트 웨이퍼들에 대해 일련의 프로세스 단계들을 수행하는 단계를 포함하고, 제 1 복수의 테스트 웨이퍼들 각각은 복수의 피처들을 형성한다. 테스트 웨이퍼들은 블랭킷 (blanket) 웨이퍼들 및/또는 (예를 들어, 피처들을 갖는) 토포그래픽 (topographic) 웨이퍼들일 수도 있다. 예를 들어, 일련의 프로세스 단계들은 웨이퍼 상에서 수행된 멀티-패터닝 프로세스들 (예를 들어, SADP 프로세스들) 에 포함될 수도 있고, 그리고 적어도 하나의 프로세스 단계 (예를 들어, SADP 프로세스들의 제 1 패터닝) 를 포함한다. 일련의 프로세스 단계들 중 제 1 프로세스 단계는 제 1 프로세스 조건 하에서 수행된다. (520) 에서, 방법은 제 2 복수의 테스트 웨이퍼들에 대해 일련의 프로세스 단계들을 수행하는 단계를 포함하고, 제 2 복수의 테스트 웨이퍼들 각각은 복수의 피처들을 형성한다. 보다 구체적으로, 제 1 프로세스 단계는 제 2 프로세스 조건 하에서 수행된다.
이러한 방식으로, 일련의 프로세스 단계들 내의 중간 (intermediate) 위치에서, 또는 일련의 프로세스 단계들을 수행하는 단계의 종료 시 결정된 (예를 들어, 측정된) 제 1 복수의 테스트 웨이퍼들과 제 2 복수의 테스트 웨이퍼들 사이의 변동은, 예를 들어, 수학적 모델을 구축하기 (build) 위한, 하나 이상의 민감도 인자들을 생성하기 위해 사용될 수도 있다. 특히, (530) 에서, 방법은, 제 1 복수의 테스트 웨이퍼들 상에 위치된 복수의 피처들의 제 1 치수를 측정하는 단계를 포함한다. 또한, (540) 에서, 방법은, 제 2 복수의 테스트 웨이퍼들 상에 위치된 복수의 피처들의 제 2 치수를 측정하는 단계를 포함한다. 제 1 치수 또는 제 2 치수의 측정들은 복수의 치수들을 결정하기 위해 각각의 복수의 테스트 웨이퍼들 상의 복수의 피처들 각각을 측정함으로써 달성될 수도 있다. 복수의 치수들의 평균은 제 1 치수 또는 제 2 치수가 된다. 복수의 피처들은 중간 지점에서 또는 일련의 프로세스 단계들의 종료 시 측정될 수도 있다.
(550) 에서, 방법은, 치수의 변화 및 프로세스 조건의 변화와 관련하여 복수의 피처들에 대한 민감도 인자를 결정하는 단계를 포함한다. 일 실시 예에서, 민감도 인자는 제 1 프로세스 조건과 제 2 프로세스 조건 사이의 차에 기초하고, 그리고 제 1 치수와 제 2 치수 사이의 차에 기초한다.
일 실시 예에서, 제 1 프로세스 조건은 페데스탈 및/또는 페데스탈의 ESC의 제 1 온도이고, 페데스탈은 웨이퍼 지지를 위해 구성된다. 제 1 프로세스 단계는 에칭 프로세스 단계일 수 있고, 에칭 프로세스는 트리밍 프로세스를 포함할 수도 있다. 이 경우, 제 2 프로세스 조건은 페데스탈 및/또는 ESC의 제 2 온도이다. 상기를 고려하면, 민감도 인자는 복수의 피처들의 치수의 변화 및 ESC 및/또는 페데스탈의 온도의 변화와 관련된 트리밍 민감도 인자일 수도 있다. 일 실시 예에서, 민감도 인자는 멀티-패터닝 프로세스들의 종료 시 형성된 최종 피처들로부터 결정된 치수들로 변환될 때, 온도에 대한 (제 1 프로세스 단계로서) 에칭 및/또는 트리밍 프로세스의 민감도를 나타낼 수도 있다. 또 다른 실시 예에서, 치수는 멀티-패터닝 프로세스들 동안 형성된 중간 피처들로부터 결정된다.
또 다른 실시 예에서, 제 1 프로세스 조건은 제 1 프로세스 단계로서 에칭 프로세스 단계를 수행하기 위한 제 1 시간 기간이고, 에칭 프로세스는 트리밍 프로세스를 포함할 수도 있다. 제 2 프로세스 조건은 에칭 및/또는 트리밍 프로세스 단계를 수행하기 위한 제 2 시간 기간이다. 상기를 고려하면, 민감도 인자는 복수의 피처들의 치수의 변화 및 에칭 및/또는 트리밍 프로세스 단계를 수행하기 위한 시간의 변화와 관련된 트리밍 민감도 인자일 수도 있다. 일 실시 예에서, 민감도 인자는 멀티-패터닝 프로세스들의 종료 시 형성된 최종 피처들로부터 결정된 치수들로 변환될 때, 시간에 대한 (제 1 프로세스 단계로서) 에칭 및/또는 트리밍 프로세스의 민감도를 나타낼 수도 있다. 또 다른 실시 예에서, 치수는 멀티-패터닝 프로세스들 동안 형성된 중간 피처들로부터 결정된다.
또 다른 실시 예에서, 제 1 프로세스 조건은 웨이퍼 지지를 위해 구성된 ESC 및/또는 페데스탈의 제 1 온도이다. 제 1 프로세스 단계는 증착 단계일 수 있다. 제 2 프로세스 조건은 ESC 및/또는 페데스탈의 제 2 온도이다. 상기를 고려하면, 민감도 인자는 복수의 피처들의 치수의 변화 및 ESC 및/또는 페데스탈의 온도의 변화와 관련된 증착 민감도 인자일 수도 있다. 일 실시 예에서, 민감도 인자는 멀티-패터닝 프로세스들의 종료 시 형성된 최종 피처들로부터 결정된 치수들로 변환될 때, 온도에 대한 (제 1 프로세스 단계로서) 증착 프로세스의 민감도를 나타낼 수도 있다. 또 다른 실시 예에서, 치수는 멀티-패터닝 프로세스들 동안 형성된 중간 피처들로부터 결정된다.
또 다른 실시 예에서, 제 1 프로세스 조건은 제 1 프로세스 단계인 증착 단계 (예를 들어, ALD) 의 제 1 수의 사이클들이다. 제 2 프로세스 조건은 증착 단계의 제 2 수의 사이클들이다. 상기를 고려하면, 민감도 인자는 제 1 프로세스 단계로서 증착 프로세스 단계를 수행할 때 복수의 피처들의 치수의 변화 및 원자 층 증착 (atomic layer deposition; ALD) 사이클들의 수의 변화와 관련된 증착 민감도 인자이다. 일 실시 예에서, 민감도 인자는 멀티-패터닝 프로세스들의 종료 시 형성된 최종 피처들로부터 결정된 치수들로 변환될 때, 온도에 대한 (제 1 프로세스 단계로서) 증착 프로세스의 민감도를 나타낼 수도 있다. 또 다른 실시 예에서, 치수는 멀티-패터닝 프로세스들 동안 형성된 중간 피처들로부터 결정된다.
도 6a는 본 개시의 일 실시 예에 따른, 멀티-패터닝 프로세스들의 하나 이상의 단계들 동안 도입된 가변성을 관리하기 위해 기판 상에서 수행된 멀티-패터닝 프로세스들을 위한 자동화된 피드백 제어를 위한 방법을 예시하는 흐름도 (600A) 이다. 예를 들어, 멀티-패터닝 프로세스들은 SADP (self-aligned double patterning) 프로세스들일 수도 있다. 피드백 제어는 멀티-패터닝 프로세스들을 수행한 후 웨이퍼 상에 형성된 최종 피처들의 치수들을 관찰하는 단계를 포함하고, 그리고 후속 웨이퍼 상의 타깃 또는 목표된 치수로부터 이들 치수들의 변동들을 보정하기 위해 하나 이상의 프로세스들에 대한 조정들을 한다.
일 실시 예에서, 멀티-패터닝 프로세스들은 SADP 프로세스들이다. 피드백 제어는 트리밍 프로세스를 포함할 수도 있는 습식 에칭 프로세스, 및 증착 프로세스를 포함하도록, SADP 프로세스들의 제 1 패터닝에서 적용된다. 제 1 패터닝은 제 1 챔버에서 수행될 수도 있는 한편, SADP 프로세스들의 제 2 패터닝은 제 2 챔버에서 수행된다. 피드백 제어는 제 1 챔버에서 수행될 때 제 1 패터닝의 프로세스들을 튜닝하도록 구현될 수도 있다.
(610) 에서, 방법은, 복수의 피처들을 획득하기 위해 웨이퍼 상에서 일련의 프로세스 단계들을 수행하는 단계를 포함하고, 프로세스 단계는 프로세스 조건 하에서 수행된다. 예를 들어, 일련의 프로세스 단계들은 SADP 프로세스들과 같은 멀티-패터닝 프로세스들일 수도 있다. 프로세스 단계는 SADP 프로세스들의 제 1 패터닝 내에 포함될 수도 있다. 또한, 피처들은 도 4h의 최종 피처들 (405A) 과 같은 일련의 프로세스 단계들의 종료 시 형성된 최종 피처들일 수도 있다.
(620) 에서, 방법은, 일련의 프로세스 단계들을 수행한 후 복수의 피처들의 치수를 측정하는 단계를 포함한다. 특히, 복수의 피처들의 치수들 각각은 복수의 치수들을 획득하도록 측정된다. 치수들은 SADP 프로세스들과 같은 일련의 프로세스 단계들을 마친 후 형성된 최종 피처들로부터 측정될 수도 있다. 예를 들어, 치수들은 도 4h의 최종 피처들 (405A) 에 대한 라인 CD들일 수도 있다. 복수의 치수들의 평균은 복수의 피처들의 치수가 된다.
(630) 에서, 방법은, 복수의 피처들에 대한 측정된 치수와 타깃 치수 사이의 차를 결정하는 단계를 포함한다. 예를 들어, 타깃 치수는 SADP 프로세스들을 통해 형성된 최종 피처들 (405A) 의 고객 지정 (customer specified) 라인 CD일 수도 있다.
피드백 제어는 후속하는 웨이퍼들이 타깃 치수와 매칭하는 최종 피처들의 치수들을 달성할 수 있도록 멀티-패터닝 (예를 들어, SADP) 프로세스들의 제 1 패터닝에서 적어도 하나의 프로세스 단계를 조정하도록 사용된다. 특히, (640) 에서, 방법은, 치수의 변화 및 프로세스 조건의 변화와 관련된 복수의 피처들에 대한 민감도 인자 및 차에 기초하여 프로세스 단계에 대한 프로세스 조건을 수정하는 단계를 포함한다. 이전에 기술된 바와 같이, 민감도 인자는 출력 (예를 들어, 웨이퍼 상의 최종 피처들의 치수들) 의 변동을 프로세스 가변성과 관련시키기 위해 사용된 수학적 모델일 수도 있다. 이러한 방식으로, 출력의 변동이 주어지면, 프로세스 조건들의 변화는 타깃 치수들을 갖는 출력을 달성하기 위해 민감도 인자로부터 결정될 수도 있다. 이와 같이, 후속하는 웨이퍼가 타깃 치수인 최종 피처들의 치수들을 달성하도록, 또 다른 적어도 하나의 후속 웨이퍼 상에서, 수정되는 프로세스 단계를 포함하여, 일련의 프로세스 단계들이 수행된다.
일 실시 예에서, 프로세스 조건은 웨이퍼 지지를 위해 구성된 ESC 및/또는 페데스탈의 온도이다. 예를 들어, 프로세스 조건은 ESC 및/또는 페데스탈의 하나 이상의 히터 존들에 적용되는 하나 이상의 온도들일 수 있다. 프로세스 단계는 트리밍 프로세스를 포함할 수도 있는 에칭 프로세스 단계일 수 있다. 이 경우, 민감도 인자는 복수의 피처들의 치수의 변화 및 ESC 및/또는 페데스탈의 온도의 변화, 또는 ESC 및/또는 페데스탈의 히터 존에 대한 온도의 변화와 관련된 트리밍 민감도 인자일 수도 있다. 이는, 민감도 인자는 멀티-패터닝 프로세스들의 종료 시 형성된 최종 피처들로부터 결정된 치수들로 변환될 때, 온도에 대한 에칭 및/또는 트리밍 프로세스의 민감도를 나타낸다. 이와 같이, 온도는 후속 웨이퍼들에 대해 에칭 프로세스 단계를 수행할 때 수정될 수도 있다.
또 다른 실시 예에서, 프로세스 조건은 웨이퍼 지지를 위해 구성된 ESC 및/또는 페데스탈의 온도이다. 예를 들어, 프로세스 조건은 ESC 및/또는 페데스탈의 하나 이상의 히터 존들에 적용되는 하나 이상의 온도들일 수 있다. 프로세스 단계는 증착 단계일 수 있다. 이 경우, 민감도 인자는 복수의 피처들의 치수의 변화 및 ESC 및/또는 페데스탈의 온도의 변화, 또는 ESC 및/또는 페데스탈의 하나 이상의 히터 존들에 대한 온도들의 변화들과 관련된 증착 민감도 인자일 수도 있다. 이는, 민감도 인자는 멀티-패터닝 프로세스들의 종료 시 형성된 최종 피처들로부터 결정된 치수들로 변환될 때, 온도에 대한 증착 프로세스의 민감도를 나타낼 수도 있다. 이와 같이, 온도는 후속 웨이퍼들에 대해 증착 프로세스 단계를 수행할 때 (예를 들어, 하나 이상의 히터 존들에 대해) 수정될 수도 있다. 또 다른 실시 예에서, 프로세스 단계는 트리밍 프로세스 및 증착 단계를 포함할 수도 있는 에칭 프로세스 단계의 조합일 수 있다. 이 경우, 민감도 인자는 최종 피처들로부터 결정된 치수들로 변환될 때, 에칭 프로세스 및 증착 프로세스 모두의 민감도를 나타내고, 민감도 인자는 복수의 피처들의 치수의 변화 및 ESC 및/또는 페데스탈의 온도의 변화와 관련된다. 이와 같이, 후속하는 웨이퍼들에 대해 에칭 및 증착 프로세스 단계들을 수행할 때 정상 상태 (steady state; SS) 온도가 수정될 수도 있다.
일 실시 예에서, 프로세스 조건은 프로세스 단계를 수행하기 위한 시간이고, 프로세스 단계는 트리밍 프로세스를 포함할 수도 있는 에칭 프로세스이다. 이 경우, 민감도 인자는 에칭 프로세스를 수행하기 위한 복수의 피처들의 치수의 변화 시간의 변화와 관련된 트리밍 민감도 인자일 수도 있다. 이는, 민감도 인자는 멀티-패터닝 프로세스들의 종료 시 형성된 최종 피처들로부터 결정된 치수들로 변환될 때, 시간에 대한 에칭 및/또는 트리밍 프로세스의 민감도를 나타낸다. 이와 같이, 에칭 및/또는 트리밍 프로세스들을 수행하기 위한 시간 기간은 후속 웨이퍼들 상에서 수정될 수도 있다.
또 다른 실시 예에서, 프로세스 조건은 프로세스 단계인 증착 단계의 사이클들의 수이다. 이 경우, 민감도 인자는 증착 단계를 수행할 때 복수의 피처들의 치수의 변화 및 원자 층 증착 사이클들의 수의 변화와 관련된 증착 민감도 인자일 수도 있다. 이와 같이, ALD 사이클들의 수는 후속 웨이퍼들 상에서 수정될 수도 있다. 또 다른 경우에서, 증착 민감도 인자는 복수의 피처들의 치수의 변화 및 증착 단계를 수행하기 위한 전체 시간 기간의 변화와 관련된다. 이와 같이, 증착을 수행하기 위한 전체 시간 기간은 후속 웨이퍼들 상에서 수정될 수도 있다.
도 6b는 도 4a 내지 도 4h에 도시된 바와 같이, 웨이퍼 상에서 SADP 프로세스들을 수행한 후 복수의 최종 피처들의 치수들의 분포 (예를 들어, 라인 CD) 의 불균일성을 예시한다. 예를 들어, SADP 프로세스들은 히터 존들 각각에 걸쳐 50 ℃의 온도를 갖는 페데스탈 상에 배치된 웨이퍼 상에서 수행된다. 도시된 바와 같이, 외측 방사상 존 (660) 에서 최종 피처들의 라인 CD들의 치수들은 약 192 Å이다. 또한, 내측 방사상 존 (650) 에서 최종 피처들의 라인 CD들의 치수들은 약 194 Å이다. 즉, 웨이퍼 상의 최종 피처들은 라인 CD들에 대한 치수들의 돔형 (domed) 분포를 갖고, 내측 방사상 존 (650) 에서의 최종 피처들은 외측 방사상 존 (660) 에서의 최종 피처들보다 보다 넓다. 내측 방사상 존과 외측 방사상 존에 위치된 최종 피처들의 라인 CD들의 치수들 사이에 약 2 Å의 차가 존재한다. 예를 들어, 온도의 변화가 내측 방사상 존 (650) 에 대응하는 하나 이상의 히터 존들에 적용되도록, 예를 들어, 외측 방사상 존 (660) 에서 발견되는 치수들과 유사한 치수들을 갖는 웨이퍼에 걸쳐 편평한 프로파일을 달성하는 것이 목표된다면, 트리밍 민감도 인자는 피드백 제어를 제공하도록 선택될 수도 있다. 이와 같이, 전체 웨이퍼에 걸친 최종 피처들에 대한 라인 CD들의 치수들이 편평하도록 (예를 들어, 치수들은 웨이퍼에 걸쳐 약 192 Å이도록), (예를 들어, 2 Å만큼) 내측 방사상 존 (650) 의 치수들을 감소시키도록 수정된 온도가 하나 이상의 히터 존들에 인가된다. 이전에 기술된 바와 같이, 민감도 인자는 멀티-패터닝 프로세스들의 종료 시 형성된 최종 피처들로부터 결정된 라인 CD들의 치수들로 변환될 때, 온도에 대한 에칭 및/또는 트리밍 프로세스의 민감도를 나타낸다. 트리밍 민감도 인자는 식 1에 규정된다.
Figure pct00001
도 7은 본 개시의 일 실시 예에 따른, 멀티-패터닝 프로세스들의 하나 이상의 단계들 동안 도입된 가변성을 관리하기 위해 기판 상에서 수행된 멀티-패터닝 프로세스들을 위한 자동화된 피드포워드 제어를 위한 방법을 예시하는 흐름도이다. 피드포워드 제어는 제어 파라미터들 및/또는 프로세스 조건들의 가변성을 보정함으로써 웨이퍼에 걸친 변동을 최소화하는 국부화된 미세 튜닝을 인에이블하기 위해 독점적인 (proprietary) 하드웨어 기술과 함께 발전된 소프트웨어 알고리즘들을 채용한다. 예를 들어, 피드포워드 제어는 웨이퍼 상에서 수행된 멀티-패터닝 프로세스들의 프로세스들 중 하나의 제어 파라미터를 측정하고 그리고/또는 결정하는 단계, 및 웨이퍼에 대한 멀티-패터닝 프로세스들의 출력 (예를 들어, 웨이퍼의 최종 라인 CD 치수들) 상의 가변성의 효과들을 감소시키기 위해 목표된 값으로부터 제어 파라미터의 가변성에 응답하는 단계를 포함한다. 피드포워드 제어는 특정한 웨이퍼에 대한 멀티-패터닝 프로세스들의 출력에 대한 제어 파라미터들의 가변성들의 효과들을 정확하게 예측하는 수학적 모델을 필요로 한다. 특히, 피드포워드 제어를 위해, SADP 프로세스들에서 프로세스 단계들의 프로세스 조건들에 대한 수정들은 프로세스들의 지식에 기초한다.
피드포워드 시스템에서, 제어 파라미터의 가변성들을 설명하는 것은 민감도 인자들을 포함하는 수학적 모델의 형태의 멀티-패터닝 프로세스들, 및 프로세스 변화들에 대한 지식 또는 프로세스 변화들의 측정 값들에 기초한다. 일 실시 예에서, 멀티-패터닝 프로세스들의 종료 시 형성된 최종 피처들의 임계 치수들은 목표된 치수들이다. 또 다른 실시 예에서, 최종 피처들의 임계 치수들은 목표된 것과 상이할 수도 있다.
일 실시 예에서, 멀티-패터닝 프로세스들은 SADP 프로세스들이다. 피드포워드 제어는 트리밍 프로세스를 포함할 수도 있는 습식 에칭 프로세스, 및 증착 프로세스를 포함하도록, SADP 프로세스들의 제 1 패터닝에서 적용될 수도 있다. 제 1 패터닝은 제 1 챔버에서 수행될 수도 있는 한편, SADP 프로세스들의 제 2 패터닝은 제 2 챔버에서 수행된다. 피드포워드 제어는 제 1 챔버에서 수행될 때 제 1 패터닝의 프로세스들을 튜닝하도록 구현될 수도 있다.
710에서, 방법은, 웨이퍼 상의 맨드릴의 인입 ADI 치수를 측정하는 단계를 포함한다. 특히, 인입 웨이퍼 상의 복수의 PR 맨드릴들의 ADI 치수들이 측정될 수도 있고, 웨이퍼는 SADP 프로세스들의 제 1 패터닝을 수행하도록 구성된 챔버 내로 도입된다. ADI 치수들의 평균은 대표적인 맨드릴의 인입 ADI 치수를 결정하도록 취해질 수도 있고, 인입 ADI 치수는 피드포워드 제어를 위해 사용된다. 예를 들어, 도 4a는 PR 맨드릴 (403) 의 ADI 치수를 예시한다.
SADP 프로세스들에 대해, 달성될 때 SADP 프로세스들의 단계들을 수행할 때 도입된 가변성을 최소화하는 PR 맨드릴의 목표된 인입 ADI 치수가 있다. 720에서, 방법은, 맨드릴의 인입 ADI 치수와 목표된 ADI 치수 사이의 차를 결정하는 단계를 포함하고, 차는 가변성이다. 목표된 ADI 치수로부터 PR 맨드릴의 인입 ADI 치수의 임의의 차 또는 가변성은 프로세스 조건들이 목표된 ADI 치수인 인입 ADI 치수를 갖는 것을 기초로 하는 SADP 프로세스들의 후속 단계들에 영향을 줄 것이다. 본 개시의 실시 예들은 (예를 들어, SADP 프로세스들의 수행 동안 형성된 피처들의 라인 CD 치수들이 목표된 치수들과 유사하도록) SADP 프로세스들의 가변성의 효과들을 감소시키도록 인입 ADI 치수들의 가변성을 설명할 수 있다. 예를 들어, 가변성은 목표된 ADI 치수로부터 인입 ADI 치수의 증가를 포함할 수도 있고, 또는 가변성은 목표된 ADI 임계 치수로부터 인입 ADI 임계 치수의 감소를 포함할 수도 있다. 이에 응답하여, SADP 프로세스들의 제 1 패터닝에서 프로세스 조건들의 변화는 PR 맨드릴의 인입 ADI 치수의 가변성을 설명하기 위해 피드포워드 제어를 통해 구현될 수도 있다.
730에서, 방법은, 목표된 ADI 치수로부터 PR 맨드릴의 인입 ADI 치수의 차 또는 가변성 및 에칭 프로세스 단계의 에칭 레이트에 기초하여 에칭 프로세스 단계를 수행하기 위한 시간을 수정하는 단계를 포함하고, 에칭 프로세스 단계는 트리밍 프로세스 단계를 포함할 수도 있다. 예를 들어, 에칭 레이트는 ADI 임계 치수의 변화 및 (예를 들어, 단위 시간 당 그리고 미리 결정된 온도에 대한) 시간의 변화와 관련된 민감도 인자에 기초할 수도 있다. 시간의 수정은 인입 ADI 치수에 기초하여 코어 피처의 목표된 ADT 치수를 달성하도록 구현된다. 코어 피처는 SADP (self-aligned double patterning) 프로세스의 제 1 패터닝 프로세스를 수행할 때 형성된다.
예를 들어, 320 Å의 인입 ADI 치수는 300 Å의 목표된 ADI 임계 치수보다 보다 클 수도 있다. 도 4b는 ADT 임계 치수를 갖는 코어 1 피처들 (401A) 을 형성하기 위한 습식 에칭 및 트리밍 프로세스들의 성능을 예시한다. 인입 ADI 임계 치수의 가변성 때문에, 인입 ADI 임계 치수가 가변성을 갖지 않는다고 (즉, 목표된 ADI 치수라고) 가정하는 후속 프로세스 단계들은 또한 목표되는 것보다 보다 큰 코어 피처의 ADT 임계 치수를 생성할 것이다. 예시의 목적을 위해, 코어 피처의 ADT 임계 치수는 또한 목표된 ADT 임계 치수로부터 20 Å만큼 보다 클 수도 있다. 인입 ADI 임계 치수의 가변성 (예를 들어, 20 Å의 증가) 이 주어지면, 에칭 및/또는 트리밍 프로세스 단계들을 수행하기 위한 시간은 (인입 PR 맨드릴이 목표된 ADI 임계 치수라고 가정한다면) 코어 피처들 각각에 대해 목표된 ADT 임계 치수를 달성하기 위해 정상 시간으로부터 증가될 수도 있다. 즉, 에칭 및/또는 트리밍 프로세스 단계들을 수행하기 위한 시간을 연장하는 것은 목표된 ADT 임계 치수를 달성하기 위해 코어 피처들 상에서 보다 측 방향으로 에칭이 발생하도록 PR 맨드릴의 인입 ADI 임계 치수의 가변성을 설명한다. 특히, 에칭을 위해 증가된 시간은 대표적인 코어 피처의 측면 각각으로부터 10 Å 측 방향으로 에칭할 수도 있다. 이러한 방식으로, SADP 프로세스들 동안 형성된 코어 피처의 ADT 임계 치수는 코어 피처의 목표된 ADT 치수와 유사할 것이다. 이와 같이, 프로세스 조건의 가변성의 효과 (즉, 목표된 ADI 임계 치수로부터 인입 ADI 임계 치수의 증가) 는 후속 프로세스 단계의 프로세스 조건을 조정함으로써 (즉, 에칭 및/또는 트리밍 프로세스 단계들의 시간을 조정함으로써) 피드포워드 제어를 통해 최소화된다.
피드포워드 제어는 하나 이상의 후속하는 프로세스 단계들에 대해 설계된 프로세스 조건들로부터 프로세스 조건들의 변화들을 설명하도록 구현될 수도 있다. 특히, (740) 에서, 방법은, 본 개시의 일 실시 예에 따른, SADP 프로세스들의 제 2 패터닝 프로세스들의 프로세스 단계의 변화를 수신하는 단계를 포함한다. 예를 들어, 제 2 패터닝 프로세스들은 건식 에칭 프로세스를 위한 대응하는 프로세스 조건이 변화될 수도 있도록, 건식 에칭 프로세스를 포함할 수도 있다.
(750) 에서, 방법은, 제 2 패터닝 프로세스의 변화에 기초하여 코어 피처 위의 옥사이드 층에 대한 수정된 ASD 치수를 결정하는 단계를 포함한다. 즉, ASD 치수는 제 2 패터닝 프로세스 동안 건식 에칭 프로세스를 수행할 때 예상되는 원래의 프로세스 조건들에 기초하여 목표된 치수를 가질 수도 있다. 도 4c는 코어 1 피처들 (401A) 위에 스페이서 1 DEP (415) 를 형성하기 위한 컨포멀한 증착 및 선택적인 에칭 프로세스들의 성능을 예시하고, 스페이서 1 DEP (415) 는 웨이퍼 (101) 의 하단 표면 (412) 에 실질적으로 평행한 수평 방향으로 측정된 ASD 임계 치수를 갖는다. 그러나, 제 2 패터닝 프로세스의 변화는 피드포워드 제어를 통해 옥사이드 층에 대한 ASD 치수의 변화를 요구할 수도 있고, 변화는 수정된 ASD 치수와 연관된다.
이전에 기술된 바와 같이, 코어 1 피처들 (401A) 에 컨포멀하는 스페이서 1 DEP (415) 로서 옥사이드 층은 원자 층 증착을 통해 형성될 수도 있다. 수정된 ASD 치수가 공지되기 때문에, 760에서, 방법은, 옥사이드 층이 (예를 들어, 측 방향으로) 증착될 때 원자 층 증착 프로세스의 증착 레이트 및 코어 피처의 목표된 ADT 치수에 기초하여 수정된 ASD 치수를 달성하기 위해 ALD 프로세스를 수행할 때 증착 사이클들의 수를 결정하는 단계를 포함한다. 예를 들어, 증착 레이트는 (예를 들어, 미리 결정된 온도에 대해) 증착 사이클 당 ASD 치수의 변화와 관련된 민감도 인자에 기초할 수도 있다. 이와 같이, 후속 프로세스 단계에서 프로세스 조건으로의 변화 (예를 들어, SADP 프로세스들의 제 2 패터닝에서의 건식 에칭) 는 이전 프로세스 단계의 프로세스 조건을 조정함으로써 (즉, 대응하는 코어 피처 위의 옥사이드 층에 대한 수정된 ASD 치수를 달성하기 위해 SADP 프로세스들의 제 1 패터닝에서 원자 층 증착을 수행할 때 증착 사이클들의 수를 조정함으로써) 피드포워드 제어를 통해 설명되었다.
도 8a는 본 개시의 일 실시 예에 따른, 민감도 인자들을 결정하고 멀티-패터닝 프로세스들을 수행할 때 피드백 및/또는 피드포워드 제어를 수행하기 위한 워크플로우 (800A) 를 예시한다. 워크플로우 (800A) 는 이전에 기술되고 또한 도 8b 내지 도 8d에 기술된 민감도 인자들을 사용하여 도 4 내지 도 7에서 이전에 도입된 임의의 멀티-패터닝 프로세스들로 구현될 수 있다.
예시의 목적을 위해, 워크플로우 (800A) 에 도시된 멀티-패터닝 프로세스들은, 도 4a 내지 도 4h에서 이전에 기술된, SADP 프로세스들과 같이, 제 1 패터닝 프로세스들 (804) 및 제 2 패터닝 프로세스들 (806) 을 포함하지만, 임의의 멀티-패터닝 프로세스들의 프로세스 단계들의 임의의 수는 피드백 및/또는 피드포워드 제어를 수행할 목적을 위해 수행될 수도 있다는 것이 이해되어야 한다. 예를 들어, 제 1 패터닝 프로세스들 (804) 은 증착 프로세스들뿐만 아니라 에칭 및 트리밍 (예를 들어, 습식 에칭 및/또는 트리밍) 을 포함하고, 그리고 도 4a 내지 도 4h와 관련하여 이전에 기술된 바와 같이, 제 2 패터닝 프로세스들 (806) 은 에칭 및/또는 트리밍 (예를 들어, 건식 에칭 및/또는 트리밍) 뿐만 아니라 증착 프로세스들을 포함한다.
실증적 테스트는 이전에 기술된 바와 같이 피드백 및/또는 피드포워드 제어를 위해 사용될 수도 있는 수학적 모델을 구축할 목적을 위해 수행된다. 예를 들어, 피드백 제어는 제어 파라미터들 또는 멀티-패터닝 프로세스들의 프로세스 조건들에 대해 조정될 수도 있다. 또 다른 예에서, 수학적 모델은 출력 (예를 들어, 웨이퍼 상의 최종 피처들의 치수들) 이 타깃을 달성하도록 멀티-패터닝 프로세스들을 수행하는 동안 프로세스 단계들에 대한 입력 변수들의 변동들 (예를 들어, 목표된 치수로부터 인입 PR 맨드릴 치수의 변동 또는 제 2 패터닝에서 후속하는 프로세스 단계들에 대한 변화들) 을 조정함으로써 피드포워드 제어를 위해 사용될 수도 있다. 수학적 모델은 온도 및 시간에 대한 피처 치수들 (예를 들어, 최종 피처들의 치수, 트리밍, 증착) 의 민감도들을 제공하는 하나 이상의 민감도 인자들을 포함한다. 이들 민감도 인자들은 프로세스 변동들 또는 교란들의 측정들과 같은 실증적 테스트를 통해 결정될 수도 있다.
도 5와 관련하여 이전에 기술된 바와 같이, 테스트는 민감도 인자들을 결정할 목적을 위해 복수의 테스트 웨이퍼들 (802) (예를 들어, 블랭킷 웨이퍼들 또는 피처/토포그래픽 웨이퍼들) 에 대해 2 개의 프로세스 조건들 하에서 수행될 수도 있다. 예시의 목적을 위해, 제 1 프로세스 조건은 제 1 정상 상태 (SS) 온도를 포함하고, 제 2 프로세스 조건은 제 1 패터닝 프로세스들 (804) 에서 제 2 정상 상태 온도를 포함한다. 다른 프로세스 조건들은 이전에 기술되었고, 또한 도 8b 내지 도 8d와 관련하여 더 기술된다.
이와 같이, 프로세스 조건들을 사용하는 증착 프로세스들뿐만 아니라 제 1 패터닝 프로세스들 (804) 및/또는 제 2 패터닝 프로세스들 (806) 동안 복수의 테스트 웨이퍼들 (802) 상에서 에칭 및 트리밍이 수행된다. 특히, 제 1 패터닝 프로세스들 (804) 의 증착 프로세스들뿐만 아니라 에칭 및 트리밍 프로세스들은 SADP 프로세스들의 제 1 패터닝 프로세스들 (804) 을 포함하도록 웨이퍼들 (예를 들어, 피처/토포그래픽 웨이퍼들) 상에서 수행될 수도 있고, ADI 임계 치수들을 갖는 피처들 및 ASD 임계 치수들을 갖는 피처들, 뿐만 아니라 다른 임계 치수들을 갖는 다른 피처들이 결정될 (예를 들어, 계측 (metrology) 툴에 의해 측정될) 수도 있다. 이에 더하여, ADI 임계 치수 및 ASD 임계 치수에 대한 차 또는 델타 (delta) 데이터가 2 개의 프로세스 조건들 사이에서 수집될 수도 있다. 피처들의 다른 측정 값들은 제 1 패터닝 프로세스들 (804) 및/또는 제 2 패터닝 프로세스들 (806) 동안, 뿐만 아니라 제 2 패터닝 프로세스들 (806) 의 종료 시 형성된 최종 피처들의 치수들의 측정 값들을 취하는 임의의 지점에서 취해질 수도 있다. 또한, 온도 판독 값들 (readings) 은 웨이퍼 상의 위치들에 대응하는 웨이퍼 상의 온도 대 x-y 좌표 데이터의 세트를 포함할 수도 있다.
일 실시 예에서, 과도 (transient) 온도 조건들은 민감도 인자들 (810) 을 결정할 때 고려된다. 특히, 통상적으로 웨이퍼가 ESC의 히터 존들에 대응하는 온도에 도달하는 (hit) 것과 같이 정상 상태 온도에 도달한 후 증착이 수행되는 동안, 에칭 및 트리밍 프로세스들은 웨이퍼가 정상 상태 온도까지 상승하는 동안과 같이 과도 온도 조건들 하에서 수행된다. 과도 온도 조건들은 정상 상태 온도에 도달하기 전에 에칭 및/또는 트리밍 프로세스들 동안 온도의 실제 전개를 규정한다. 이와 같이, 과도 온도 조건들은 2 개의 프로세스 조건들 하에서 프로세스 단계들을 수행하는 동안 웨이퍼들의 피처들의 측정 값들의 차들을 결정할 때 고려될 수도 있다.
수집된 데이터에 기초하여, 트리밍 및 증착 민감도 인자들 (810) 이 이전에 기술된 바와 같이 결정될 수도 있다. 예를 들어, 2 개의 프로세스 조건들에 대해 측정된 피처 임계 치수들 및 온도 조건들 사이의 차들은 증착 민감도 인자들 (810) 뿐만 아니라 에칭 및/또는 트리밍을 생성하도록 사용될 수도 있다. 또한, 블랭킷 증착 프로파일 데이터 및/또는 측정 값들이 증착 민감도 인자들 (810) 을 결정하기 위해 사용될 수도 있다. 예를 들어, 블랭킷 증착 프로세스가 웨이퍼들 상에서 수행될 수도 있고, 블랭킷 증착 프로파일 데이터 및/또는 측정 값들은 민감도 인자들 (예를 들어, 증착 레이트, 등) 을 결정하기 위해 (예를 들어, 계측 툴로부터) 결정된다. 이에 더하여, 증착 두께의 차 데이터는 증착 민감도 인자들을 결정하기 위해 제 1 패터닝 프로세스들 (804) 동안 2 개의 프로세스 조건들 사이에서 수집될 수도 있다. 민감도 인자들 (810) 은 도 8b 내지 도 8d와 관련하여 더 기술된다.
민감도 인자들 (810) 은 멀티-패터닝 프로세스들 동안 그리고/또는 프로세스들의 종료 시 취해진 제어 파라미터들의 하나 이상의 측정 값들에 기초하여 피드백 및/또는 피드포워드 제어의 목적을 위해 사용될 수 있다. 즉, 피드백 제어 (812) 는 도 6a와 관련하여 이전에 기술된 바와 같이, 현재 웨이퍼에 대해 취해진 측정 값들에 기초하여 후속 웨이퍼들을 프로세싱하기 위한 프로세스 조건들을 조정하도록 사용될 수도 있다. 또한, 도 7과 관련하여 이전에 기술된 바와 같이, 가변성이 후속하는 프로세스들에 영향을 주지 않도록 제 1 패터닝 프로세스들을 수행하는 동안 측정된 피처들의 임계 치수들의 가변성을 조정하도록 피드포워드 제어 (814) 가 현재 웨이퍼 상에서 수행될 수도 있다. 또한, 도 7과 관련하여 이전에 기술된 바와 같이, 피드포워드 제어 (816) 는 후속 프로세스들에 대해 이루어진 프로세스 파라미터들 및/또는 프로세스 조건들의 변화들 (예를 들어, 제 2 패터닝 프로세스들 (806) 에서 프로세스에 대한 변화들) 을 조정하도록 현재 웨이퍼 상에서 수행될 수도 있다.
예를 들어, 피드백 제어 (812) 에 대해, 멀티-패터닝 프로세스들 (예를 들어, SADP 프로세스들) 을 수행한 후 웨이퍼에 걸쳐 편평한 프로파일을 갖는 것이 목표될 수도 있다. 프로세스 웨이퍼 (808) 상의 최종 피처들의 임계 치수들이 (예를 들어, 계측 툴로부터) 결정될 수도 있고, 측정 값들은 웨이퍼 상의 x-y 좌표 데이터를 포함할 수도 있다. 측정 값들이 임계 치수들이 목표된 설정들과 상이하다는 것을 나타낼 때, 프로세스 조건들에 대한 조정들은 대응하는 민감도 인자 및 측정된 치수와 목표된 치수 사이의 차에 기초하여 결정될 수도 있다. 예를 들어, 측정 값들은 프로세스 웨이퍼 (808) 에 대한 돔형 프로파일을 나타낼 수도 있고, 최종 피처들 (예를 들어, 라인 CD들) 의 치수들은 외측 방사상 존에서 최종 피처들의 치수들보다 내측 방사상 존에서 보다 크다. 피드백 제어는 대응하는 민감도 인자를 사용하여 측정된 치수와 목표된 치수 (예를 들어, 멀티-패터닝 프로세스들을 수행한 후 최종 피처들의 치수들) 사이의 평균 차 를 설명하도록 히터 존에 대한 조정 (예를 들어, 히터 존에 대한 새로운 온도) 을 제공함으로써 구현될 수도 있다. 이러한 방식으로, 후속하는 프로세스 웨이퍼 (808) 에 대한 프로세스 조건들을 조정한 후, 내측 방사상 존 및 외측 방사상 존 모두에 걸쳐 최종 피처들의 치수들의 편평한 프로파일이 있다.
피드포워드 제어 (814) 는 가변성이 후속 프로세스들 (예를 들어, 제 2 패터닝 프로세스들) 에 영향을 주지 않고 후속하여 형성된 피처는 목표된 치수와 매칭하도록 제 1 패터닝 프로세스들을 수행하는 동안 측정된 피처들 (예를 들어, 인입 PR 맨드릴) 의 임계 치수들 (예를 들어, ADI) 의 가변성을 조정하도록 수행될 수도 있다. 이전에 기술된 바와 같이, 측정된 인입 ADI 임계 치수가 목표된 임계 치수로부터 오프셋될 (offset) 때, 제 1 패터닝 프로세스들로부터의 출력이 예상된 치수들과 매칭하도록 제 1 패터닝 프로세스들의 프로세스들에 대한 조정이 이루어질 수도 있다. 예를 들어, 인입 ADI 임계 치수가 너무 클 때, 에칭 및/또는 트리밍 프로세스들의 증가는 가변성이 후속 프로세스들에 대해 가질 수도 있는 임의의 효과를 중화하도록 (neutralize) 수행될 수도 있다. 이러한 방식으로, 피드포워드 제어를 사용하여 멀티-패터닝 프로세스들을 수행할 때 형성된 현재 웨이퍼에 대한 중간 피처 및/또는 최종 피처 (예를 들어, 라인 CD) 의 치수들은 목표된 치수들과 매칭할 수도 있다.
이에 더하여, 피드포워드 제어 (816) 는 현재 웨이퍼를 프로세싱할 때 후속 프로세스들에 대해 이루어진 프로세스 파라미터들 및/또는 프로세스 조건들의 변화들 (예를 들어, 제 2 패터닝 프로세스들 (806) 에서 프로세스에 대한 변화들) 을 조정하도록 수행될 수도 있다. 즉, 피드포워드 제어는 이전 프로세스 단계들 (예를 들어, 제 1 패터닝 프로세스들 (804)) 에서 변화를 필요로 하는 후속 프로세스 단계들 (예를 들어, 건식 에칭과 같은 제 2 패터닝 프로세스들 (806)) 에 대해 이루어진 변화들을 조정하도록 구현될 수도 있다. 예를 들어, 제 1 패터닝 프로세스들 (804) 의 하나 이상의 단계들에서 프로세스 단계들에 대한 조정은 새롭게 결정된 타깃 ASD 치수들 (예를 들어, 온도, 시간, 등) 에 도달하기 위한 에칭 및/또는 트리밍 프로세스들에 대한 변화들 및/또는 새롭게 결정된 타깃 ASD 치수들에 도달하기 위한 증착 프로세스들 (예를 들어, 블랭킷 두께) 에 대한 변화들, 등을 포함할 수도 있다.
도 8b는 본 개시의 일 실시 예에 따른, 멀티-패터닝 프로세스들에 대한 피드백 및/또는 피드포워드 제어를 수행할 때 사용 온도에 대한 트리밍 (trim) 민감도를 결정하기 위해 2 개의 상이한 정상 상태 온도 조건들에 대한 에칭 프로세스 및/또는 트리밍 프로세스에 대한 온도 프로파일 전개들을 예시하는 도면 (800B) 이다. y-축은 온도를 나타내고, x-축은 에칭 및/또는 트리밍 프로세스들을 수행하기 위해 경과된 (elapse) 시간이다. 제 1 온도 (Temp 1) 및 제 2 온도 (Temp 2) 를 포함하는 2 개의 상이한 프로세스 조건들이 도면 (800B) 에 제시된다. 온도 프로파일 (830) 은 제 1 프로세스 조건 (Temp 1) 을 사용하여 멀티-패터닝 프로세스들 (예를 들어, SADP 프로세스들) 의 제 1 패터닝 (에칭 및/또는 트리밍 프로세스들) 을 수행할 때 웨이퍼 상의 온도의 전개를 도시한다. 온도 프로파일 (835) 은 제 2 프로세스 조건 (Temp 2) 을 사용하여 멀티-패터닝 프로세스들 (예를 들어, SADP 프로세스들) 의 제 1 패터닝 (에칭 및/또는 트리밍 프로세스들) 을 수행할 때 웨이퍼 상의 온도의 전개를 도시한다. 시간 (t1) 까지 온도 프로파일 각각의 아래의 영역은 대응하는 웨이퍼에 인가된 총 열 입력에 대응하고, 인가된 총 열은 또한 웨이퍼 상에서 수행된 트리밍 양에 대응한다. 도시된 바와 같이, 시간 (t1) 은 통상적으로 ESC 상에 웨이퍼를 배치한 후 처음 30 내지 60 초 내에 발생하는 에칭 및/또는 트리밍 프로세스들 동안 발생한다. 이와 같이, 시간 (t1) 까지, 2 개의 프로세스 조건들 사이의 차 또는 델타 열 입력은 영역 (837) 에 의해 나타난다. 이에 더하여, 온도 프로파일들 (830 및 835) 각각에 도시된 바와 같이 2 개의 상이한 프로세스 조건들을 사용하여 에칭 및/또는 트리밍 프로세스들을 겪는 웨이퍼들에 대응하는 트리밍 양들이 측정될 수 있다. 또 다른 실시 예에서, 측정 값들은 이전에 기술된 바와 같이 멀티-패터닝 프로세스들의 종료 시 형성된 최종 피처들로부터 결정된 라인 CD들에 대해 취해진다. 온도에 대한 에칭 및/또는 트리밍 프로세스들의 민감도와 관련된 트리밍 민감도 인자가 결정될 수도 있고, 그리고 이하의 식 2에 규정된다. 일부 경우들에서, 식 2는 이전에 제시된 식 1과 밀접하게 관련된다.
Figure pct00002
도 8c는 본 개시의 일 실시 예에 따른, 멀티-패터닝 프로세스들에 대한 피드백 및/또는 피드포워드 제어를 수행할 때 사용 시간에 대한 트리밍 민감도를 결정하기 위해 2 개의 상이한 시간 제약들 (예를 들어, 프로세스 조건들) 하에서 동작하는 정상 상태 온도 조건에 대한 에칭 및/또는 트리밍 프로세스에 대한 온도 프로파일 전개를 예시하는 도면 (800C) 이다. y-축은 온도를 나타내고, x-축은 에칭 및/또는 트리밍 프로세스들을 수행하기 위해 경과된 시간이다. 제 1 시간 (t1) 및 제 2 시간 (t2) 을 포함하는, 2 개의 상이한 프로세스 조건들이 도면 (800B) 에 제시된다. 온도 프로파일 (830) 은 2 개의 상이한 프로세스 조건들 (예를 들어, t1 및 t2) 을 사용하여 멀티-패터닝 프로세스들 (예를 들어, SADP 프로세스들) 의 제 1 패터닝 (예를 들어, 에칭 및/또는 트리밍 프로세스들) 을 수행할 때 웨이퍼 상의 온도의 전개를 도시한다. 특정한 시간 (예를 들어, 시간 (t1) 또는 시간 (t2) 까지의 온도 프로파일 (830) 아래 영역은 대응하는 웨이퍼에 인가된 총 열 입력에 대응하고, 인가된 총 열은 또한 웨이퍼 상에서 수행된 트리밍 양에 대응한다. 이와 같이, 2 개의 시간 (t1) 과 시간 (t2) 사이에, 2 개의 프로세스 조건들 사이의 차 또는 델타 열 입력은 영역 (839) 에 의해 나타난다. 이에 더하여, 시간 (t1) 까지 그리고 시간 (t2) 까지 (2 개의 상이한 프로세스 조건들) 에칭 및/또는 트리밍 프로세스들을 겪는 웨이퍼들에 대응하는 트리밍 양들이 측정될 수 있다. 또 다른 실시 예에서, 측정 값들은 이전에 기술된 바와 같이 멀티-패터닝 프로세스들의 종료 시 형성된 최종 피처들로부터 결정된 라인 CD들에 대해 취해진다. 시간에 대한 에칭 및/또는 트리밍 프로세스들의 민감도와 관련된 트리밍 민감도 인자가 결정될 수도 있고, 그리고 이하의 식 3에 규정된다.
Figure pct00003
도 8d는 본 개시의 일 실시 예에 따른, 증착 레이트가 온도에 대한 증착 감도에 기초하고 그리고 멀티-패터닝 프로세스들에 대한 피드백 및/또는 피드포워드 제어를 수행할 때 사용될 수도 있는, 2 개의 상이한 정상 상태 온도 조건들에 대해 수행된 증착 프로세스에 대한 증착 레이트의 결정을 예시하는 도면 (800D) 이다. y-축은 온도를 나타내고, x-축은 에칭 및/또는 트리밍 프로세스들을 수행하기 위해 경과된 시간이다. 제 1 온도 (Temp 1) 및 제 2 온도 (Temp 2) 를 포함하는 2 개의 상이한 프로세스 조건들이 도면 (800D) 에 제시된다. 온도 프로파일 (840) 은 제 1 프로세스 조건 (Temp 1) 을 사용하여 멀티-패터닝 프로세스들 (예를 들어, SADP 프로세스들) 의 제 1 패터닝 (에칭 및/또는 트리밍 프로세스들) 을 수행할 때 웨이퍼 상의 온도의 전개를 도시한다. 온도 프로파일 (845) 은 제 2 프로세스 조건 (Temp 2) 을 사용하여 멀티-패터닝 프로세스들 (예를 들어, SADP 프로세스들) 의 제 1 패터닝 (에칭 및/또는 트리밍 프로세스들) 을 수행할 때 웨이퍼 상의 온도의 전개를 도시한다. 증착 프로세스들은 통상적으로 경계선 (demarcation line) (847) 에 의해 나타낸 바와 같이, 웨이퍼가 정상 상태 온도에 도달한 후 발생한다. 에칭 및 트리밍 프로세스들이 30 초까지 걸리지만, 증착 프로세스는 보다 긴 시간 기간 (예를 들어, 200 초) 에 걸쳐 수행될 수도 있고, 그리고 대부분 웨이퍼가 온도에 대해 정상 상태에 있을 때 발생한다. 시간 (t1) 까지 온도 프로파일 각각의 아래의 영역은 대응하는 웨이퍼에 인가된 총 열 입력에 대응하고, 인가된 총 열은 또한 웨이퍼 상에서 형성된 증착 양에 느슨하게 (loosely) 대응한다. 도시된 바와 같이, 증착 프로세스는 경계선 (847) 후에 발생하는 시간 (t1) 까지 수행된다. 2 개의 프로세스 조건들 사이의 차 또는 델타 열 입력은 영역 (849) 에 의해 나타난다. 이에 더하여, 온도 프로파일들 (840 및 845) 각각에 도시된 바와 같이 2 개의 상이한 프로세스 조건들을 사용하여 에칭 및/또는 트리밍 프로세스들을 겪는 웨이퍼들에 대응하는 증착 층 두께가 측정될 수 있다. 또 다른 실시 예에서, 측정 값들은 이전에 기술된 바와 같이 멀티-패터닝 프로세스들의 종료 시 형성된 최종 피처들로부터 결정된 라인 CD들에 대해 취해진다. 온도에 대한 증착 프로세스의 민감도와 관련된 증착 민감도 인자 (또는 증착 레이트) 가 결정될 수도 있고, 그리고 이하의 식 4에 규정된다.
Figure pct00004
이에 더하여, 증착 두께는 수행될 ALD 사이클들의 수에 대한 증착 프로세스의 민감도와 관련된 증착 민감도 인자 (또는 증착 레이트) 를 통해 결정될 수도 있다. 즉, 증착 두께는 (예를 들어, 주어진 온도에서) 수행된 ALD 사이클들의 수와 밀접하게 관련된다. 특히, 수행된 ALD 사이클들의 수에 대한 증착 프로세스의 민감도와 관련된 증착 민감도 인자 (예를 들어, 증착 레이트) 가 결정될 수도 있고, 그리고 이하의 식 5에 규정된다.
Figure pct00005
도 9는 상기 기술된 시스템들을 제어하기 위한 제어 모듈 (900) 을 도시한다. 일 실시 예에서, 도 1a의 제어 모듈들 (110) 은 제어 모듈 (900) 의 예시적인 컴포넌트들 중 일부를 포함할 수도 있다. 예를 들어, 제어 모듈 (900) 은 프로세서, 메모리 및 하나 이상의 인터페이스들을 포함할 수도 있다. 제어 모듈 (900) 은 부분적으로 센싱된 값들에 기초하여 시스템의 디바이스들을 제어하도록 채용될 수도 있다. 단지 예를 들면, 제어 모듈 (900) 은 센싱된 값들 및 다른 제어 파라미터들에 기초하여 밸브들 (902), 필터 히터들 (904), 펌프들 (906), 히터 존들 (930) 및 기타 디바이스들 (908) 중 하나 이상을 제어할 수도 있다. 제어 모듈 (900) 은 단지 예를 들면, 압력 마노미터들 (910), 플로우 미터들 (912), 및/또는 기타 센서들 (916) 로부터 센싱된 값들을 수신한다. 제어 모듈 (900) 은 또한 전구체 전달 및 막의 증착 동안 프로세스 조건들을 제어하도록 채용될 수도 있다. 제어 모듈 (900) 은 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다.
제어 모듈 (900) 은 전구체 전달 시스템 및 증착 장치의 액티비티들을 제어할 수도 있다. 제어 모듈 (900) 은 프로세스 타이밍, 전달 시스템 온도, 및 필터들에 걸친 압력 차들, 밸브 위치들, 가스들의 혼합물, 챔버 압력, 챔버 온도, 기판 온도, RF 전력 레벨들, 기판 척 또는 페데스탈 포지션, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 컴퓨터 프로그램들을 실행한다. 제어 모듈 (900) 은 또한 압력 차를 모니터링할 수도 있고 하나 이상의 경로들로부터 하나 이상의 다른 경로들로 증기 전구체 전달을 자동으로 스위칭할 수도 있다. 제어 모듈 (900) 과 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시 예들에서 채용될 수도 있다.
통상적으로 제어 모듈 (900) 과 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 (918) (예를 들어, 장치 및/또는 프로세스 조건들의 디스플레이 스크린 및/또는 그래픽 소프트웨어 디스플레이들), 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들 (920) 을 포함할 수도 있다.
프로세스 시퀀스에서 전구체의 전달, 증착 및 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램들은 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리 언어, C, C++, Pascal, Fortran 또는 다른 것들로 작성될 수 있다. 컴파일링된 (compiled) 객체 코드 또는 스크립트는 프로그램에서 식별된 태스크들을 수행하기 위해 프로세서에 의해 실행된다.
제어 모듈 파라미터들은 프로세스 조건들, 예를 들어, 필터 압력 차들, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, RF 전력 레벨들 및 저 주파수 RF 주파수와 같은 플라즈마 조건들, 냉각 가스 압력, 및 챔버 벽 온도와 같은 프로세스 조건들에 관련된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계될 수도 있거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들은 본 발명의 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드, 히터 제어 코드, 및 플라즈마 제어 코드를 포함한다.
기판 포지셔닝 프로그램이 기판을 페데스탈 또는 척 상으로 로딩하도록 그리고 가스 유입구 및/또는 타깃과 같은 챔버의 다른 부분들과 기판 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택 가능하게 챔버 내 압력을 안정화시키기 위해 증착 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 필터 모니터링 프로그램은 측정된 차(들)를 미리 결정된 값(들)과 비교하는 코드 및/또는 경로들을 스위칭하기 위한 코드를 포함한다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템 내의 쓰로틀 밸브를 조절함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 전구체 전달 시스템, 기판 및/또는 시스템의 다른 부분들 내의 컴포넌트들을 가열하기 위해 가열 유닛들로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판 척으로의 헬륨과 같은 열 전달 가스의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 센서들의 예들은 이로 제한되는 것은 아니지만, 질량 유량 제어 모듈들, 압력 마노미터들 (910) 과 같은 압력 센서들, 및 전달 시스템 내에 위치된 열전대들 (thermocouple), 페데스탈 또는 척을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들은 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다. 전술한 바는 단일 또는 멀티-챔버 반도체 프로세싱 툴에서 본 개시의 실시 예들의 구현 예를 기술한다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들, 및/또는 특정한 프로세싱 컴포넌트들 (기판 페데스탈, 가스 플로우 시스템, 등) 을 포함하는 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치와 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, RF 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 포지션 및 동작 설정들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 기판 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 기판 상에서 또는 반도체 기판에 대한 특정한 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들의 제조 동안 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합인 컴퓨터에 커플링되거나 일부일 수도 있다. 예를 들어, 제어기는 기판 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부의 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현재 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 메트릭들을 조사하고, 현재 프로세싱의 파라미터들을 변경하고, 현재 프로세싱에 후속하는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스 시작하도록 시스템에 대한 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 시스템에 프로세스 레시피들을 제공할 수 있다.
원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공통 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (physical vapor deposition; PVD) 챔버 또는 모듈, 화학적 기상 증착 (chemical vapor deposition; CVD) 챔버 또는 모듈, 원자 층 증착 (atomic layer deposition; ALD) 챔버 또는 모듈, 원자 층 에칭 (atomic layer etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
실시 예들의 전술한 기술은 예시 및 기술의 목적을 위해 제공되었다. 이는 본 개시를 포괄하거나 제한하도록 의도되지 않는다. 특정한 실시 예의 개별적인 엘리먼트들 또는 피처들은 일반적으로 특정한 실시 예로 제한되지 않고, 구체적으로 도시되거나 기술되지 않더라도, 적용 가능한 경우, 상호 교환 가능하고, 선택된 실시 예에서 사용될 수 있다. 동일하게 또한 많은 방식들로 가변될 수도 있다. 이러한 변형들은 본 개시로부터 벗어나는 것으로 간주되지 않고, 모든 이러한 수정들은 본 개시의 범위 내에 포함되도록 의도된다.
전술한 실시 예들이 이해의 명확성의 목적을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 따라서, 본 실시 예들은 제한적이지 않고 예시적인 것으로 간주되어야 하고, 실시 예들은 본 명세서에 제공된 상세들로 제한되지 않고, 이들의 범위 및 청구항들의 등가물 내에서 수정될 수도 있다.

Claims (33)

  1. 제 1 복수의 테스트 웨이퍼들에 대해 일련의 프로세스 단계들을 수행하는 단계로서, 상기 제 1 복수의 테스트 웨이퍼들 각각은 복수의 피처들을 형성하고, 상기 일련의 프로세스 단계들 중 제 1 프로세스 단계는 제 1 프로세스 조건 하에서 수행되고, 상기 일련의 프로세스 단계들은 적어도 하나의 프로세스 단계를 포함하는, 상기 제 1 복수의 테스트 웨이퍼들에 대해 일련의 프로세스 단계들을 수행하는 단계;
    제 2 복수의 테스트 웨이퍼들에 대해 상기 일련의 프로세스 단계들을 수행하는 단계로서, 상기 제 2 복수의 테스트 웨이퍼들 각각은 상기 복수의 피처들을 형성하고, 상기 제 1 프로세스 단계는 제 2 프로세스 조건 하에서 수행되는, 상기 제 2 복수의 테스트 웨이퍼들에 대해 상기 일련의 프로세스 단계들을 수행하는 단계;
    상기 제 1 복수의 테스트 웨이퍼들 상에 위치된 상기 복수의 피처들의 제 1 치수를 측정하는 단계;
    상기 제 2 복수의 테스트 웨이퍼들 상에 위치된 상기 복수의 피처들의 제 2 치수를 측정하는 단계; 및
    프로세스 조건의 변화 및 치수의 변화와 관련하여 상기 복수의 피처들에 대한 민감도 인자를 결정하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 결정하는 단계는,
    상기 제 1 프로세스 조건과 상기 제 2 프로세스 조건 사이의 차에 기초하여, 그리고 상기 제 1 치수와 상기 제 2 치수 사이의 차에 기초하여 상기 민감도 인자를 결정하는 단계를 포함하는, 방법.
  3. 제 1 항에 있어서,
    상기 제 1 프로세스 조건은 테스트 웨이퍼를 지지하도록 구성된 페데스탈의 제 1 온도이고, 상기 제 1 프로세스 단계는 에칭 프로세스 단계이고,
    상기 제 2 프로세스 조건은 상기 페데스탈의 제 2 온도인, 방법.
  4. 제 3 항에 있어서,
    상기 민감도 인자는 상기 제 1 프로세스 단계로서 에칭 프로세스 단계를 수행할 때 상기 복수의 피처들의 치수의 변화 및 테스트 웨이퍼를 지지하도록 구성된 페데스탈의 온도의 변화와 관련된 트리밍 (trim) 민감도 인자인, 방법.
  5. 제 1 항에 있어서,
    상기 제 1 프로세스 조건은 상기 제 1 프로세스 단계로서 에칭 프로세스 단계를 수행하기 위한 제 1 시간 기간이고,
    상기 제 2 프로세스 조건은 트리밍 프로세스 단계를 수행하기 위한 제 2 시간 기간인, 방법.
  6. 제 5 항에 있어서,
    상기 민감도 인자는 상기 제 1 프로세스 단계로서 에칭 프로세스 단계를 수행할 때 상기 복수의 피처들의 치수의 변화 및 시간의 변화와 관련된 트리밍 민감도 인자인, 방법.
  7. 제 1 항에 있어서,
    상기 제 1 프로세스 조건은 웨이퍼 지지를 위해 구성된 페데스탈의 제 1 온도이고, 상기 제 1 프로세스 단계는 증착 단계이고,
    상기 제 2 프로세스 조건은 상기 페데스탈의 제 2 온도인, 방법.
  8. 제 7 항에 있어서,
    상기 민감도 인자는 상기 제 1 프로세스 단계로서 증착 프로세스 단계를 수행할 때 상기 복수의 피처들의 치수의 변화 및 테스트 웨이퍼를 지지하도록 구성된 페데스탈의 온도의 변화와 관련된 증착 민감도 인자인, 방법.
  9. 제 1 항에 있어서,
    상기 제 1 프로세스 조건은 상기 제 1 프로세스 단계인 증착 단계의 제 1 수의 사이클들이고,
    상기 제 2 프로세스 조건은 상기 증착 단계의 제 2 수의 사이클들인, 방법.
  10. 제 9 항에 있어서,
    상기 민감도 인자는 상기 제 1 프로세스 단계로서 증착 프로세스 단계를 수행할 때 상기 복수의 피처들의 치수의 변화 및 원자 층 증착 (atomic layer deposition; ALD) 사이클들의 수의 변화와 관련된 증착 민감도 인자인, 방법.
  11. 제 1 항에 있어서,
    상기 제 1 치수를 측정하는 단계는,
    복수의 치수들을 결정하기 위해 상기 제 1 복수의 테스트 웨이퍼들 상의 상기 복수의 피처들 각각을 측정하는 단계; 및
    상기 제 1 치수인 상기 복수의 치수들의 평균을 결정하는 단계를 포함하는, 방법.
  12. 제 11 항에 있어서,
    상기 복수의 피처들 각각은 상기 일련의 프로세스 단계들의 종료 시 측정되고,
    상기 일련의 프로세스 단계들은 자가-정렬 더블 패터닝 (self-aligned double patterning; SADP) 프로세스이고,
    상기 제 1 프로세스 단계는 상기 SADP 프로세스의 제 1 패터닝에 포함되는, 방법.
  13. 제 11 항에 있어서,
    상기 복수의 피처들 각각은 상기 제 1 프로세스 단계의 종료 시 측정되는, 방법.
  14. 제 1 항에 있어서,
    상기 제 2 치수를 측정하는 단계는,
    복수의 치수들을 결정하기 위해 상기 제 2 복수의 테스트 웨이퍼들 상의 상기 복수의 피처들 각각을 측정하는 단계; 및
    상기 제 2 치수인 상기 복수의 치수들의 평균을 결정하는 단계를 포함하는, 방법.
  15. 제 14 항에 있어서,
    상기 복수의 피처들 각각은 상기 일련의 프로세스 단계들의 종료 시 측정되고,
    상기 일련의 프로세스 단계들은 SADP (self-aligned double patterning) 프로세스이고,
    상기 제 1 프로세스 단계는 상기 SADP 프로세스의 제 1 패터닝에 포함되는, 방법.
  16. 제 14 항에 있어서,
    상기 복수의 피처들 각각은 상기 제 1 프로세스 단계의 종료 시 측정되는, 방법.
  17. 제 1 항에 있어서,
    상기 제 1 복수의 테스트 웨이퍼들 각각 및 상기 제 2 복수의 테스트 웨이퍼들 각각은 블랭킷 (blanket) 웨이퍼인, 방법.
  18. 제 1 항에 있어서,
    상기 제 1 복수의 테스트 웨이퍼들 각각 및 상기 제 2 복수의 테스트 웨이퍼들 각각은 토포그래픽 (topographic) 웨이퍼인, 방법.
  19. 복수의 피처들을 획득하기 위해 웨이퍼 상에서 일련의 프로세스 단계들을 수행하는 단계로서, 프로세스 단계는 프로세스 조건 하에서 수행되는, 상기 일련의 프로세스 단계들을 수행하는 단계;
    상기 일련의 프로세스 단계들을 수행한 후 상기 복수의 피처들의 치수를 측정하는 단계;
    상기 복수의 피처들에 대한 상기 측정된 치수와 타깃 치수 사이의 차를 결정하는 단계; 및
    치수의 변화 및 프로세스 조건의 변화와 관련된 상기 복수의 피처들에 대한 민감도 인자 및 상기 차에 기초하여 상기 프로세스 단계에 대한 상기 프로세스 조건을 수정하는 단계를 포함하는, 방법.
  20. 제 19 항에 있어서,
    또 다른 웨이퍼 상의 상기 프로세스 단계에 대해 수정된 상기 프로세스 조건을 사용하여 상기 일련의 프로세스 단계들을 수행하는 단계를 더 포함하는, 방법.
  21. 제 19 항에 있어서, 상기 프로세스 조건을 수정하는 단계는,
    웨이퍼 지지를 위해 구성된 페데스탈의 온도를 수정하는 단계로서, 상기 온도는 에칭 프로세스 단계인 상기 프로세스 단계를 수행할 때 사용되는, 상기 페데스탈의 온도를 수정하는 단계를 포함하고,
    상기 민감도 인자는 상기 에칭 프로세스 단계를 수행할 때 상기 복수의 피처들의 치수의 변화 및 상기 페데스탈의 온도의 변화와 관련된 트리밍 민감도 인자인, 방법.
  22. 제 19 항에 있어서,
    상기 프로세스 조건을 수정하는 단계는,
    에칭 프로세스 단계인 상기 프로세스 단계를 수행하기 위한 시간을 수정하는 단계를 포함하고,
    상기 민감도 인자는 상기 에칭 프로세스 단계를 수행할 때 상기 복수의 피처들의 치수의 변화 및 시간의 변화와 관련된 트리밍 민감도 인자인, 방법.
  23. 제 19 항에 있어서,
    상기 프로세스 조건을 수정하는 단계는,
    웨이퍼 지지를 위해 구성된 페데스탈의 온도를 수정하는 단계로서, 상기 온도는 증착 단계인 상기 프로세스 단계를 수행할 때 사용되는, 상기 페데스탈의 온도를 수정하는 단계를 포함하고,
    상기 민감도 인자는 상기 증착 프로세스 단계를 수행할 때 상기 복수의 피처들의 치수의 변화 및 상기 페데스탈의 온도의 변화와 관련된 증착 민감도 인자인, 방법.
  24. 제 19 항에 있어서,
    상기 프로세스 조건을 수정하는 단계는,
    상기 프로세스 단계인 증착 단계의 사이클들의 수를 수정하는 단계를 포함하고,
    상기 민감도 인자는 상기 증착 단계를 수행할 때 상기 복수의 피처들의 치수의 변화 및 원자 층 증착 사이클들의 수의 변화와 관련된 증착 민감도 인자인, 방법.
  25. 제 19 항에 있어서,
    상기 치수를 측정하는 단계는,
    복수의 치수들을 결정하기 위해 상기 복수의 피처들 각각을 측정하는 단계; 및
    상기 복수의 치수들의 평균을 결정하는 단계로서, 상기 평균은 상기 치수인, 상기 복수의 치수들의 평균을 결정하는 단계를 포함하는, 방법.
  26. 제 25 항에 있어서,
    상기 복수의 피처들 각각은 상기 일련의 프로세스 단계들의 종료 시 측정되고,
    상기 일련의 프로세스 단계들은 SADP (self-aligned double patterning) 프로세스이고,
    상기 프로세스 단계는 상기 SADP 프로세스의 제 1 패터닝에 포함되는, 방법.
  27. 웨이퍼 상의 맨드릴 (mandrel) 의 인입 (incoming) ADI 치수를 측정하는 단계;
    상기 맨드릴의 인입 ADI 치수와 목표된 ADI 치수 사이의 차를 결정하는 단계;
    상기 인입 ADI 치수에 기초하여 코어 피처의 목표된 ADT 치수를 달성하도록 에칭 프로세스 단계의 에칭 레이트 및 상기 차에 기초하여 상기 에칭 프로세스 단계를 수행하기 위한 시간을 수정하는 단계로서, 상기 코어 피처는 SADP (self-aligned double patterning) 프로세스의 제 1 패터닝 프로세스를 수행할 때 형성되는, 상기 에칭 프로세스 단계를 수행하기 위한 시간을 수정하는 단계;
    상기 SADP 프로세스의 제 2 패터닝 프로세스의 변화를 수신하는 단계;
    상기 제 2 패터닝 프로세스의 변화에 기초하여 상기 코어 피처 위의 옥사이드 층에 대한 수정된 ASD 치수를 결정하는 단계; 및
    원자 층 증착 프로세스의 증착 레이트 및 상기 코어 피처의 상기 목표된 ADT 치수에 기초하여 상기 수정된 ASD 치수를 달성하기 위해 상기 ALD 프로세스를 수행할 때 증착 사이클들의 수를 결정하는 단계를 포함하는, 방법.
  28. 제 27 항에 있어서,
    상기 제 1 패터닝 프로세스 내에서 수행되는 상기 ALD 프로세스에서 다수의 증착 사이클들을 수행하는 단계를 더 포함하는, 방법.
  29. 제 27 항에 있어서,
    상기 제 2 패터닝 프로세스의 상기 변화는 건식 에칭 프로세스의 변화인, 방법.
  30. 제 27 항에 있어서,
    상기 차를 결정하는 단계는,
    상기 인입 ADI 치수가 상기 목표된 ADI 치수보다 보다 크다고 결정하는 단계를 포함하는, 방법.
  31. 제 27 항에 있어서,
    상기 차를 결정하는 단계는,
    상기 인입 ADI 치수가 상기 목표된 ADI 치수보다 보다 작다고 결정하는 단계를 포함하는, 방법.
  32. 제 27 항에 있어서,
    상기 에칭 레이트를 결정하기 위해 ADI 치수의 변화 및 시간의 변화와 관련된 민감도 인자에 기초하여 상기 에칭 레이트를 결정하는 단계를 더 포함하는, 방법.
  33. 제 27 항에 있어서,
    증착 사이클 당 ASD 치수의 변화와 관련된 민감도 인자에 기초하여 ALD 프로세스의 상기 증착 레이트를 결정하는 단계를 더 포함하는, 방법.
KR1020227043420A 2020-05-11 2021-05-04 패터닝 임계 치수 (critical dimension) 제어를 위한 자동화된 피드포워드 및 피드백 (feedforward and feedback) 시퀀스 KR20230009491A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063023157P 2020-05-11 2020-05-11
US63/023,157 2020-05-11
PCT/US2021/030731 WO2021231138A1 (en) 2020-05-11 2021-05-04 Automated feedforward and feedback sequence for patterning cd control

Publications (1)

Publication Number Publication Date
KR20230009491A true KR20230009491A (ko) 2023-01-17

Family

ID=78524795

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227043420A KR20230009491A (ko) 2020-05-11 2021-05-04 패터닝 임계 치수 (critical dimension) 제어를 위한 자동화된 피드포워드 및 피드백 (feedforward and feedback) 시퀀스

Country Status (5)

Country Link
US (1) US20230170195A1 (ko)
JP (1) JP2023525710A (ko)
KR (1) KR20230009491A (ko)
CN (1) CN115605979A (ko)
WO (1) WO2021231138A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11709477B2 (en) 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
CN116313876B (zh) * 2023-05-25 2023-08-04 粤芯半导体技术股份有限公司 离子注入工艺中衬底温度的监测方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9229326B2 (en) * 2014-03-14 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9991132B2 (en) * 2015-04-17 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique incorporating varied pattern materials
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US11078570B2 (en) * 2018-06-29 2021-08-03 Lam Research Corporation Azimuthal critical dimension non-uniformity for double patterning process
CN110379706B (zh) * 2019-07-17 2021-08-13 上海华力微电子有限公司 一种优化NAND flash双重曝光关键尺寸的方法

Also Published As

Publication number Publication date
CN115605979A (zh) 2023-01-13
WO2021231138A1 (en) 2021-11-18
US20230170195A1 (en) 2023-06-01
JP2023525710A (ja) 2023-06-19

Similar Documents

Publication Publication Date Title
US11424103B2 (en) Control of on-wafer cd uniformity with movable edge ring and gas injection adjustment
US20210183687A1 (en) Edge ring arrangement with moveable edge rings
US10388485B2 (en) Inter-electrode gap variation methods for compensating deposition non-uniformity
US10879092B2 (en) Fault detection using showerhead voltage variation
KR102490237B1 (ko) 기울어진 한정 링들을 갖는 플라즈마 프로세싱 시스템들 및 구조체들
US20230170195A1 (en) Automated feedforward and feedback sequence for patterning cd control
CN113491003A (zh) 利用边缘环升降的动态鞘控制
TWI794501B (zh) 電漿鞘輪廓偵測系統
US10655226B2 (en) Apparatus and methods to improve ALD uniformity
US20220172925A1 (en) Electrostatic chuck heater resistance measurement to approximate temperature
US11322416B2 (en) Controller for controlling core critical dimension variation using flash trim sequence
KR20210016473A (ko) 더블 패터닝 프로세스를 위한 방위각 임계 치수 불균일성 개선
US20220305601A1 (en) Use of vacuum during transfer of substrates
US20220243323A1 (en) Use of rotation to correct for azimuthal non-uniformities in semiconductor substrate processing

Legal Events

Date Code Title Description
A201 Request for examination