CN105992836B - 改进的等离子体增强ald系统 - Google Patents

改进的等离子体增强ald系统 Download PDF

Info

Publication number
CN105992836B
CN105992836B CN201480064498.6A CN201480064498A CN105992836B CN 105992836 B CN105992836 B CN 105992836B CN 201480064498 A CN201480064498 A CN 201480064498A CN 105992836 B CN105992836 B CN 105992836B
Authority
CN
China
Prior art keywords
precursor
vacuum
effluent
reative cell
ald
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201480064498.6A
Other languages
English (en)
Other versions
CN105992836A (zh
Inventor
M·索瓦
R·凯恩
M·瑟沈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micro Instrument Co
Original Assignee
Ultratech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ultratech Inc filed Critical Ultratech Inc
Publication of CN105992836A publication Critical patent/CN105992836A/zh
Application granted granted Critical
Publication of CN105992836B publication Critical patent/CN105992836B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文公开了改进的等离子体增强原子层沉积(PEALD)系统和相关的操作方法。真空反应室包括真空系统,所述真空系统从反应室分离包含未反应的第一前体的第一流出物,从反应室分离出第二流出物,其包含第二前体和所述第二前体与涂布表面反应的任何反应副产物。提供包含捕集器材料表面的捕集器以在第一前体与捕集器材料表面反应时从第一流出物移除第一前体。在第二前体包含等离子体产生的材料时,第二前体不通过捕集器。替代第二前体源将适合的第二前体注入到捕集器中以完成捕集器表面上的材料沉积层,由此使捕集器材料表面准备与第一前体在下一个材料沉积周期时反应。

Description

改进的等离子体增强ALD系统
发明背景
发明领域
本发明涉及气体沉积系统,其被构造成实施可用于将薄膜材料层沉积到固体衬底的暴露表面上的等离子体增强原子层沉积(PEALD)气体沉积周期。特别是,该PEALD系统包括反应室、用于在非等离子体前体沉积周期过程中在反应室中建立第一真空压力的主真空泵、和用于在等离子体前体沉积周期过程中在反应室中建立第二较低真空压力的第二真空泵。
相关技术
常规ALD和前体捕集
常规原子层沉积(ALD)系统作为可用于将薄膜材料层沉积到一个或多个衬底的暴露表面上的气体或蒸气沉积系统而操作。更具体地,原子层沉积(ALD)是通过使沉积衬底相继暴露于多个不同的化学和/或活性环境而进行的薄膜沉积技术。典型方法将藉以将与衬底表面上预先存在的化学部分化学吸附的蒸气相的含金属-原子的前体的引入而进行。在除去过量的前体和反应产物的吹扫(purge)周期之后,第二前体被引入到反应器中,其对第一反应物的化学吸附的部分具有反应性。第二吹扫周期除去过量的前体和反应产物。对于成功的ALD方法,第二前体周期使衬底表面再次准备用于另一次暴露于第一前体。表面相继暴露于:前体1、吹扫、前体2、吹扫、重复,使得共形膜(conformal film)受亚原子层厚度控制地沉积。
在操作中,衬底置于基本上密封的反应室内部,所述反应室一般排空到低沉积压力,例如0.1至10毫托,并加热到反应温度,例如75至750℃之间。第一前体或反应物被引入到反应空间中以与衬底的暴露表面或涂布表面反应。惰性载气可以在递送第一前体的过程中与第一前体混合。在期望的暴露时间之后,然后从反应室除去或吹扫掉第一前体。吹扫周期一般包括从反应室通过与真空泵流体连通的出口端口抽气。之后,第二前体或反应物被引入到反应空间中以与衬底涂布表面的暴露表面反应期望的暴露时间。惰性载气可以在递送第二前体的过程中与第二前体混合。然后从反应室通过如上所述的出口端口除去或吹扫掉第二前体。通常,上述两个前体涂布周期将单一材料厚度层施加到暴露表面上,层厚度为0.5至约通常,重复这两个前体涂布周期以一个叠一个地施加多个单一材料厚度层以获得期望的材料厚度。
常规ALD系统包括设置在出口端口和真空泵之间的捕集器(trap)。该捕集器从流出物除去未反应的前体以避免损坏真空泵。特别是,在没有捕集器的情况下,用于将材料层施加到容纳在反应室内部的衬底的暴露表面上的相同的两个前体涂布周期可以合并以将相同的薄膜材料层形成于排气导管和真空泵的内表面上,最后导致不期望的表面污染和最终的真空泵故障。虽然已知从气体或蒸气沉积系统的流出物除去未反应的前体和反应副产物的各种各样的捕集器机理,但ALD系统中常规使用的一个特别有用的捕集机理是将捕集器室内部的大表面区域的加热金属板提供给前体以在它们通过捕集器室中时与之反应。一种这样的捕集器在题为VAPOR DEPOSITION SYSTEMS AND METHODS、2012年6月19日授权于Monsma等人的美国专利第8,202,575B2号中公开。在该公开中,Monsma等人描述了串联的ADL反应室、捕集器和真空泵,其中来自反应室的流出物在经真空泵抽出之前通过捕集器。捕集器特别构造成提供小的气流阻力和高的真空气传导率(conductance),同时允许在捕集器内部提供的金属表面上发生和在反应室内部涂布的衬底的暴露表面上发生的相同的沉积过程。此外,Monsma等人表明期望提供具有与沉积材料相似的热膨胀系数的捕集器材料,以防止捕集器材料表面上形成的涂布层破裂(cracking)或剥落(flacking)和被带到真空泵中。然而,Monsma等人提出的捕集器系统所具有的一个问题是,为了捕集器材料与流出物中的前体反应,两种前体都必须可以参与与捕集器材料表面的反应,并且如果两种前体不在流出物中,则捕集器内部的ALD反应未能形成材料层,捕集器的操作发生故障,且未反应的前体材料传递到真空泵。
常规PEALD和前体捕集
常规等离子体增强原子层沉积(PEALD)系统作为可用于将薄膜材料层沉积到一个或多个衬底的暴露表面上的气体或蒸气沉积系统而操作。在操作中,将衬底置于基本上密封的反应室中,所述反应室一般排空到低沉积压力,例如0.1至10毫托,并加热到反应温度,例如75至750℃之间。将第一前体或反应物(例如分子化学物质(如蒸气相含金属-原子的分子))作为蒸气引入到反应空间中以与衬底涂布表面的暴露表面反应。惰性载气可以在递送第一前体的过程中与第一前体混合。在期望的暴露时间之后,然后从反应室除去或吹扫掉第一前体。吹扫周期一般包括从反应室通过与真空泵流体连通的出口端口抽气。之后,包含通过等离子体源或其他自由基产生技术解离分子气体进料而产生的自由基通量的第二前体或反应物被引入到反应空间中以与衬底涂布表面的暴露表面反应。
在PEALD系统中,第二前体包含通过使气体前体激发到等离子体状态或通过其他自由基产生技术产生的自由基。特别是,分子气体如氧气、氮气、氢气和其他分子气体或气体混合物通过等离子体发生器进行激发,并且自由基的通量被递送到反应室中以与衬底的暴露表面反应。自由基包含具有一个或多个自由电子的一个或多个原子或原子团,因此自由基是非常反应性的,但由于预期它们快速重组为相对惰性的分子物质,它们的寿命倾向于较短。
和常规ALD系统一样,惰性载气可以在其递送过程中与等离子体前体混合。然后从反应室通过如上所述的出口端口除去或吹扫掉第二前体。通常,上述两个前体等离子体涂布周期将单一材料厚度层施加到设置在反应室内部的衬底的暴露表面上,单层材料厚度为0.5至约通常,重复这两个前体周期以一个叠一个地施加多个单一材料厚度层以获得期望的材料厚度。
常规PEALD系统的实例在题为PLASMA ATOMIC LAYER DEPOSITION SYSTEM ANDMETHOD、2010年7月22日公布的Becker等人的US20100183825A1中公开。在该公开中,Becker等人描述了等离子体反应室,其包括用于将非等离子体前体引入到反应室中的第一前体端口和将等离子体激发的前体材料引入到反应室中的第二前体端口或顶部孔。Becker等人在图10中公开了真空系统,其包括操作以通过图6中示出的捕集器组件将流出物从反应室除去的涡轮真空泵。该公开将该捕集器组件描述为受热以与从气体沉积室离开的气体流出物中的前体和/或等离子体气体反应。设置在捕集器内部的暴露的捕集器材料表面与来自流出物的任何剩余未反应的前体蒸气和/或未反应的等离子体自由基之间的反应从流出物除去了未反应的前体,并且将与沉积在反应室内部的衬底上的相同的材料沉积在暴露的捕集器材料表面上。
自由基衰变
然而,Becker等人提出的PEALD系统所具有的一个问题涉及等离子体激发的前体自由基的高反应性以及快速衰变到非激发态,并且这些因素以两种方式影响系统操作。在第一个相关问题中,等离子体前体的自由基可以衰变到非激发态或者在与被涂布的衬底的暴露表面反应之前与反应室内部的其他表面反应。结果,预期在反应室内部发生的期望的自限式ALD反应可能无法继续直至结束,因为暴露表面处缺乏等离子体自由基,使得衬底的暴露表面没有被完全涂布。
在第二个相关问题中,等离子体前体的自由基可以衰变到非激发态或者在与捕集器内部的暴露的捕集器材料表面反应之前与反应室内部的其他表面反应。结果,与用于从流出物除去未反应的前体的捕集器材料的相同的期望自限式ALD反应可能无法继续直至结束,使得捕集器内部的暴露表面没有被完全涂布,其最终导致第一和第二前体与捕集器内部的暴露表面之间的任何反应的中断。
发明内容
鉴于与上文所述的常规方法和装置相关的问题,本发明提供了用于采用等离子体或以其他方式产生的自由基来与涂布表面反应的原子层沉积系统的各种各样的操作方法。
具体而言,每次沉积包括进行反应室中容纳的一个或多个衬底的多个材料沉积涂布周期。在每个沉积周期过程中,第一前体被递送到反应室中以与一个或多个衬底的暴露表面反应,并且反应室通过从反应室移除第一流出物来吹扫,第一流出物包含未反应的第一前体。第一流出物还包含惰性气体和反应副产物。第一流出物然后通过捕集器。捕集器包含适合于与未反应的第一前体反应的大面积的捕集器材料表面。然而,由于被递送到反应室中的第二前体是在与捕集器材料表面反应之前将可能衰变到非激发态的自由基,所以第二替代(alternate)前体源被提供并通过捕集器。替代第二前体适合于与捕集器材料表面以致使捕集器材料表面能够与来自下一个涂布周期的第一流出物的未反应的第一前体反应并将其移除而完成的方式进行反应。具体而言,替代第二前体是单独的前体源并且不从反应室抽出。此外,由于第一前体与反应室内部的暴露表面之间的反应在特定反应温度下发生,操作方法还包括将捕集器材料表面保持在反应温度下。
在后续步骤中,在从反应室移除第一流出物之后,包含自由基的第二前体被递送到反应室中以与暴露的衬底表面反应。此后,第二流出物从反应室移除,然而,第二流出物不通过捕集器。
可用于上述方法的原子层沉积设备包括构造成支撑在其中的用于沉积材料周期的一个或多个衬底的反应室。第一前体导管将第一前体递送到反应室中以与衬底的暴露表面反应。真空系统被提供成从反应室吹扫或移除包含未反应的第一前体的第一流出物。第一流出物在第一前体与衬底表面之间的期望的暴露时间之后移除,所述期望的暴露时间可以短至移除一个或两个等于反应室体积的气体体积所需要的时间或更长。
包含捕集器材料表面的捕集器被设置成接收穿过捕集器的第一流出物。捕集器材料表面适合与来自第一流出物的基本上全部的未反应的第一前体反应并将其移除。替代第二前体源被提供成将替代第二前体递送到捕集器中。替代第二前体是适合于与捕集器材料表面以使在捕集器材料表面上形成材料层的由第一前体开始的反应完成的方式反应、同时还使捕集器材料表面准备与第一前体在下一个沉积周期反应的前体材料。捕集器包括用于将反应表面加热到期望反应温度的加热器。
第二前体导管被提供成在暴露表面已经与第一前体反应之后,将第二前体递送到反应室中以与衬底的暴露表面反应。等离子体发生器与第二前体导管联结以激发第二前体来产生第二前体的自由基。在与衬底表面的反应之后,真空系统从反应室移除第二流出物。第二流出物从反应室移除基本上全部的第二前体而不使第二流出物通过捕集器。然而,大部分或全部的第二流出物的自由基可能在离开反应室之前已经衰变到较低能级。
真空系统包括经与反应室连接的真空法兰(flange)与反应室流体连通的主真空泵。第一真空导管从真空法兰延伸到主真空泵,并且捕集器沿着第一真空导管设置,使得流经第一真空导管的气体通过捕集器。可控的第一真空阀沿着在真空法兰和捕集器之间的第一真空导管设置。第二涡轮真空泵与真空法兰连接以在反应室中实现较低的真空压力,特别是用于等离子体增强原子层沉积和从反应室移除流出物。第二真空导管在涡轮真空泵和主真空泵之间延伸而不通过捕集器。第二真空阀沿着在涡轮真空泵和主真空泵之间的第二真空导管设置。主真空泵是可操作以将反应室泵吸到约10毫托的真空压力的粗抽泵(roughing pump)。第二涡轮真空泵是能够将反应室泵吸到约1.0微托的真空压力的终止泵(finishing pump)。
附图简要说明
本发明的特征将从本发明及其出于说明的目的而选择并在随附附图中示出的示例性实施方式的详细描述来更好地理解,其中:
图1描述了根据本发明的PEALD系统的示例性示意图。
具体实施方式
概述
本发明描述了等离子体增强原子层沉积(PEALD)系统的非限制性示例性实施方式和相关的操作方法以及由所述方法涂布的衬底。特别是,该系统包括适合于在位于反应室内部的衬底的暴露表面上的薄膜生长的反应室。反应室是由两个不同的真空泵泵吸到真空压力的真空室。每个真空泵经不同的出口端口和不同的真空导管路径连接到真空室。特别是,不同的流出物管线和/或前级管线(fore line)与各个真空泵联结,每个单独的真空导管路径包括可通过电子控制器操作以使气体流出物改变和从反应室改向至沿着不同的真空导管路径流出系统的一个或多个阀。特别是,用于移除和捕获非等离子体前体的第一真空导管路径包括常规ALD捕集器;然而,用于移除等离子体前体的第二真空导管路径不包括捕集器。结果,只有第一前体进入ALD捕集器。然而,本发明包括替代第二前体源,其将第二前体(例如水蒸气)递送到ALD捕集器中以完成与设置在捕集器室内部的捕集器材料的暴露表面的ALD反应。因此,本发明的替代第二前体源被用来继续使捕集器内部的暴露的捕集器材料表面准备与下一个ALD涂布周期的第一前体反应。适用于本发明的非限制性实例PEALD反应室在题为PLASMA ATOMIC LAYER DEPOSITION SYSTEM AND METHOD、公布于2010年7月22日的Becker等人的US20100183825A1中公开,其出于全部目的以全文并入本文。
定义
除非另有具体说明,以下定义贯穿全文使用。
项目编号列表
除非另有具体说明,以下项目编号贯穿全文使用。
# 描述 # 描述
100 PEALD系统 170 第一真空阀
105 反应室 175 ALD捕集器
110 衬底 180 涡轮分子真空泵
115 衬底涂布表面 185 真空闸阀
120 气体和前体供应模块 190 第二真空导管
125 电子控制器 195 第二真空阀
130 第一前体端口和导管 196 压力传感器
135 第二前体端口和导管 198 捕集器加热器
140 等离子体发生器 200 替代第二前体供应器
145 第二脉冲阀 202 气化器
146 质量流控制器 205
150 第一脉冲阀 210 惰性气体进料管线
155 主真空泵 211 惰性气体入口端口
160 真空法兰 215
165 第一真空导管 220
示例性系统架构
现参照图1,示意性描述根据本发明的非限制性示例性PEALD系统(100)。PEALD系统(100)包括容纳支撑在由加热的卡盘(111)提供的衬底支撑表面上的衬底(110)的PEALD构造的反应室(105),衬底支撑在这样的涂布位置,使得递送到反应室中的前体蒸气和/或等离子体自由基撞击到面朝上的涂布表面(115)上。在其他非限制性示例性实施方式中,反应室可以在由加热的卡盘(111)提供的支撑表面上支撑多个衬底(110),各个衬底具有面朝上的涂布表面(115),而不偏离本发明。
气体供应模块
气体和前体供应模块(120)包括储存在用于储存液体和固体前体材料的密封罐中和/或储存在用于储存气体前体如反应性等离子体气体(包括但不限于O2、N2、H2、NH3等)、和气态第一前体以及可用做载气和/或吹扫气体的惰性气体的增压气瓶中的各种各样的工艺蒸气和气体的供应器。气体模块(120)还包括可通过电子控制器(125)操作以打开、关闭或建立如将所选前体、载气和/或吹扫气体递送到反应室(105)中所需要的输入气体混合物的期望质量流速的可控阀或质量流调节器(145)和(150)。
液体和气体前体罐可包括可用于气化或以其他方式增加前体蒸气压和/或促使快速蒸气流入反应室中的气体鼓泡器或其他气化设备。气体和前体模块(120)还可进一步包括可通过如混合、引导和/或调节从液体或固体前体收集的前体蒸气材料和/或可用做载气和/或吹扫气体或二者的惰性气体所需要的电子控制器(125)控制的其他气体和蒸气流调节元件。
在本实施方式中,气体和前体供应模块(120)还包括前体加热器(未示出),其被提供以如增加蒸气压和在期望的前体温度下递送各个前体所需要的那样预热前体罐或以其他方式加热前体材料。在一个非限制性示例性实施方式中,前体被加热到约70℃温度,优选低于前体蒸气的热分解温度。此外,气体和前体模块(120)可以如向电子控制器(125)提供反馈信号和以多个不同操作模式操作PEALD系统所需要的那样包括一个或多个压力调节器、质量流调节器或限流器和各种各样的压力、温度和流速传感器等(未示出),所述多个不同操作模式包括如进行多个不同材料涂布周期所需要的那样提供不同前体组合,以用一个或多个不同固体材料层涂布一个或多个不同衬底表面材料。
非限制性示例性PEALD系统(100)包括如下所述的两个前体递送路径到达反应室(105),然而,可使用一个递送路径而不偏离本发明。第一前体端口和输入导管(130)将非等离子体前体递送到反应室(105)中。当衬底(110)通过由加热的卡盘(111)提供的衬底支撑表面支撑时,第一前体端口和输入导管(130)设置在衬底涂布表面(115)上方的位置,并且第一前体端口和输入导管(130)优选以使前体输入流撞击到接近衬底涂布表面(115)的中心的输入角来引导前体输入材料的角度进行定向。第二前体端口和输入导管(135)通过包括设置在等离子体发生器(140)内部的等离子体激发管(未示出)的等离子体发生器(140)。等离子体发生器(140)由电子控制器(125)控制以激发或不激发在等离子体激发管内部的第二前体。因此,仅仅通过不操作等离子体发生器(140),非等离子体前体和/或吹扫气体也可以经第二前体端口和输入导管(135)递送到反应室(105)中。
第一前体端口和导管(130)与第二前体端口和导管(135)各自包括可控气体调节元件或与可控气体调节元件联结,所述可控气体调节元件例如是用于调节穿过第一前体端口和输入导管(130)的第一前体的流的第一脉冲阀(150),用于调节穿过第二前体端口和输入导管(135)的等离子体前体的流的质量流控制器(146),和/或任选的第二脉冲阀(145)。在优选的实施方式中,第一脉冲阀(150)、质量流控制器(146)和任选的第二脉冲阀(145)各自被容纳在接近前体供应容器的气流模块(120)中。然而为了清楚,图1显示第一脉冲阀(150)沿着第一输入前体端口和导管(130)设置以调节流经其的气流。第一前体脉冲阀(150)可通过电子控制器(125)控制以打开或关闭对应于选择递送到反应室(105)中的期望体积的第一前体气体的脉冲持续时间。在等离子体前体的情形下,为了清楚,图1显示质量流控制器(146)沿着第二前体端口和导管(135)设置,然而,其优选设置在气体供应模块(120)内部。质量流控制器(146)用于调节通过输入第二前体端口和导管(135)的等离子体气体前体的质量流速,以递送期望质量流速的选择递送到反应室(105)中的等离子体前体。质量流控制器(146)可通过电子控制器(125)控制并且可操作以改变通过其的气体的质量流速。
PEALD系统(100)可还包括任选的可通过电子控制器(125)控制的第二脉冲阀(145)。第二脉冲阀(145)可用于进行(preform)使用非等离子体第二前体以与衬底(110)的暴露表面反应的热ALD沉积周期。在热ALD沉积周期过程中,质量流控制器(146)不用于调节第二前体的质量流速。相反,任选的第二脉冲阀(145)可通过电子控制器(125)控制以打开或关闭对应于选择递送到反应室(105)中的期望体积的第二前体气体的脉冲持续时间。
如图1中所描述的,任选的第二脉冲阀(145)显示与第二前体端口和输入导管(135)联结,以强调第二非等离子体前体可以经第二前体端口和输入导管(135)递送到反应室(105)中,然而优选任选的第二脉冲阀(145)设置在气体和前体供应模块(120)内部。或者,任选的第二脉冲阀(145)可以与第一前体端口和输入导管(130)联结以将第二、非等离子体前体经第一前体端口和输入导管(130)递送到反应室(105)中。因此,在一个任选的热ALD操作实施方式中,第一非等离子体前体经第一前体端口和输入导管(130)递送到反应室(105)中并且由第一脉冲阀(150)调节;并且第二非等离子体前体经第二前体端口和导管(135)递送到反应室(105)中并且由任选的第二脉冲阀(145)调节,但没有等离子体激发。
在另一个任选的热ALD实施方式中,第一非等离子体前体经第一前体端口和输入导管(130)递送到反应室(105)中并且由第一脉冲阀(150)调节;并且第二非等离子体前体经第一前体端口和导管(130)递送到反应室(105)中并且由任选的第二脉冲阀(145)调节。在一个非限制性示例性实施方式中,第一脉冲阀(150)和任选的第二脉冲阀(145)的脉冲持续时间在1至15毫秒范围内。此外,第一和第二脉冲阀(150)和(145)各自的脉冲阀持续时间可独立地通过电子控制器(125)、通过用户输入或通过脉冲阀(150)和(145)的机械调整来控制。
第二和第一脉冲阀(145)和(150)和质量流控制器(146)各自可包括连接到惰性气体进料管线(210)的惰性气体入口(211)。提供在第二和第一脉冲阀(145)和(150)的和质量流控制器(146)上的每一个的惰性气体入口(211)接收来自惰性气体进料管线(210)的惰性气体并将惰性气体经与第一前体端口和导管(130)或第二前体端口和导管(135)对应的一者递送到反应室(105)中。在优选的实施方式中,惰性气体入口端口(211)总是打开的,由此允许惰性气体的连续流通过各个惰性气体端口(211)到达反应室(105)。在其他实施方式中,第一脉冲阀(150)和第二脉冲阀(145)可操作以关闭对应的惰性气体端口(211),除了当对应的脉冲阀由控制模块(125)启动时。无论哪种情况,经惰性气体入口端口(211)进入的惰性气体与流至反应室(105)的前体混合。
真空系统
非限制性示例性PEALD系统(100)包括主真空泵(155)和涡轮分子真空泵(180)。主真空泵(155)通过在真空法兰(160)和主真空泵(155)之间延伸的第一真空导管(165)流体地连接到真空法兰(160)。第一真空阀(170)可操作以在电子控制器(125)的控制下打开或关闭第一真空导管(165)。常规的ALD捕集器(175)沿着第一真空导管(165)设置,使得通过第一真空导管(165)的来自反应室(105)的任何流出物也在到达主真空泵(155)之前通过ALD捕集器(175)。特别是,ALD捕集器(175)包括设置在捕集器室内部的大的内部材料表面区域,例如多个紧密间隔的金属板或箔,或者提供由捕集器和大的内部表面区域的材料形成的流动导管来与通过第一真空导管(165)的流出物中含有的任何未反应的前体反应,由此在流出物到达主真空泵(155)之前基本上消除来自流出物的未反应的前体。在本示例性实施方式中,主真空泵(155)是能够将反应室泵吸到约10毫托(10-2托)的较低成本的粗抽泵。
涡轮分子真空泵(180)经真空闸阀(185)流体地连接到真空法兰(160)。涡轮分子真空泵(180)在真空闸阀(185)打开时从反应室(105)抽出流出物。涡轮分子真空泵(180)操作以在反应室(105)中提供比可以由主真空泵(155)提供的更低的真空压力。该更低的压力倾向于通过改善等离子体激发的第二前体递送到衬底的暴露表面来改善等离子体增强原子层沉积的性能。真空闸阀(185)可通过电子控制器(125)操作以打开和关闭由真空法兰(160)形成的反应室(105)出口端口,并且与涡轮分子泵(180)联结的反应室(105)出口端口明显大于通过与第一真空导管(165)以其连接物联结的真空法兰(160)的出口端口。如将在下文中进一步描述的,关闭真空闸阀(185)以从反应室(105)经ALD捕集器(175)移除第一流出物。第二真空导管(190)或前级管线从涡轮分子真空泵(180)经第二真空导管(190)延伸到主真空泵(155),所述第二真空导管(190)包括可操作以在电子控制器(125)的控制下打开或关闭第二真空导管(190)的第二真空阀(195)。在本示例性实施方式中,涡轮分子真空泵(180)是能够将反应室泵吸到约1.0微托(10-6托)的较高成本的终止泵。此外,与常规PEALD系统相比较,涡轮分子真空泵(180)不经捕集器抽真空,这因此使本发明的涡轮分子真空泵(180)比经捕集器抽真空的常规PEALD真空系统更有效。而且,如将在下文中进一步详述的,根据本发明的一个方面,第一前体从不通过涡轮分子真空泵(180),这允许使用不必构造成在前体污染的环境中操作的较低成本的涡轮分子真空泵(180)。
操作模式
非限制性示例性PEALD系统(100)可操作以将多个材料层自动沉积在一个或多个衬底(110)的暴露表面、特别是衬底涂布表面(115)上。单层沉积周期是指将单层的沉积材料沉积在衬底(110)上。涂布过程或涂布工艺是指多个沉积周期。在一个非限制性实例涂布过程中,使用相同的涂布材料进行多个沉积周期,将具有相同沉积材料的许多单层沉积到衬底(110)上直到获得期望的涂布厚度或个体材料层的数量。在第二非限制性实例涂布过程或工艺中,进行多个第一沉积周期以将多个层厚度的第一沉积材料沉积到涂布表面上。之后,PEALD系统(100)自动再构造以进行多个第二沉积周期,进行所述多个第二沉积周期以将多个层厚度的第二沉积材料沉积到在第一沉积材料的层之上的涂布表面上。在涂布行程或工艺的最后,移除衬底(110)并用另一个未涂布的衬底代替。在各种各样的室实施方式中,可以支撑多个衬底以进行涂布,并且所有的衬底(110)都可以通过涂布过程或工艺涂布。
如上文所述,单层沉积周期包括四个基本步骤,1)使涂布表面暴露于第一前体,2)从反应室(105)吹扫第一前体,3)使涂布表面暴露于第二前体,和4)从反应室(105)吹扫第二前体。在常规的热ALD和PEALD系统中,单个涡轮真空泵连续操作以从反应室移除流出物并经ALD捕集器抽出全部流出物。在任意PEALD系统的特定情况下,第二前体是包含高能量自由基的等离子体前体。
根据本发明的一个非限制性操作实施方式,只有来自上文步骤1和2的流出物(其中流出物含有第一前体)由主真空泵(155)经ALD捕集器(175)抽出,并且这通过关闭真空闸阀(185)以从反应室(105)经主真空泵(155)专门抽出流出物来进行。否则,在其中流出物含有等离子体前体的步骤3和4的过程中,流出物不经ALD捕集器(175)抽出,而是在两个泵同时操作时由涡轮分子真空泵(180)抽出反应室(105),然后由主真空泵(155)排出系统。具体而言,在步骤3和4的过程中,真空闸阀(185)是打开的,而第一真空阀(170)是关闭的。而且,含有等离子体前体的流出物完全不通过捕集器,因为等离子体自由基倾向于足够快速地衰变,使得它们在它们到达真空法兰(160)时基本上是非反应性的。
与本发明的改进操作相关的方法步骤如下:1)使涂布表面(115)暴露于经第一前体端口和输入导管(130)递送的第一前体;和2)在真空闸阀(185)和第二真空阀(195)是关闭的并且第一真空阀(170)是打开的同时,从反应室(105)吹扫第一前体,使得从真空法兰(160)离开的全部流出物转向到第一真空导管(165)并经ALD捕集器(175)抽走。具体而言,第一非等离子体前体被引导通过ADL捕集器(175),这导致设置在ALD捕集器(175)内的捕集器材料的表面与来自流出物的未反应的第一前体反应并将其移除。这还导致压力增加和穿过反应室(105)的蒸气流速降低,因为在第一前体暴露步骤过程中,流出物专门由主真空泵(155)牵引通过ALD捕集器(175)而抽出反应室(105)。在通过主真空泵(155)之后,来自第一真空导管(165)的流出物排放到排气装置。
在1)使涂布表面(115)暴露于第一前体之后;和2)在真空闸阀(185)和第二真空阀(195)是打开的并且第一真空阀(170)是关闭的同时,从反应室(105)吹扫第一前体,使得来自反应室(105)的全部流出物改向穿过涡轮分子真空泵(180)、然后穿过第二真空导管(190)、穿过主真空泵(155)到达排气出口。因此,在第二前体暴露步骤的过程中,未使用捕集器来捕集未反应的前体,因为在等离子体前体自由基到达真空法兰(160)时,基本上全部的高反应性自由基材料已经与反应室内部的表面反应或者衰变到非反应性状态。因此,申请人已经发现在等离子体前体的情况下,由于基本上没有未反应的等离子体前体保留在来自反应室的流出物中,所以步骤3和4的等离子体前体暴露所产生的离开反应室的流出物可以被泵送穿过涡轮分子真空泵(180)而不损坏涡轮分子真空泵(180)并且可以最终由主真空泵(155)排放到排气装置。而且,由于本发明的构造,即使通过涡轮分子真空泵(180)的流出物中有未反应的等离子体前体,因为泵在步骤1和2中未暴露于第一前体,涡轮分子真空泵(180)的表面未准备与第二前体反应,因此在涡轮分子真空泵(180)的内部表面上或者在第二真空导管(190)的内部表面上不发生不利的膜沉积反应,因此使得能够使用较廉价的涡轮泵。
第二前体源
虽然上面描述的真空系统改善了涡轮分子真空泵(180)的泵送效率并允许由于低风险的污染而使用较低成本的涡轮真空泵,但是双真空泵真空系统不允许由ALD捕集器(175)连续移除第一前体而不增加被提供来将第二前体注入到ALD捕集器(175)中的替代第二前体供应(200)。具体而言,如上所述,第一前体由ALD捕集器(175)从通过第一真空导管(165)的流出物移除。这在第一前体与捕集器材料表面反应并从流出物移除时发生。此外,从流出物移除第一前体的同一反应使捕集器材料表面准备与第二前体反应,同时还使捕集器材料表面对于第一前体是非反应性的。结果,在其中只有第一前体通过第一真空导管(165)和ALD捕集器(175)的后续沉积周期中,不会从流出物移除进一步的第一前体,因为没有第二前体流到ALD捕集器(175)以完成捕集器内部的目前具有反应性的捕集器材料表面与第二前体之间的反应。因此,替代第二前体供应器(200)如下所述提供。
本发明包括填充有一种或多种适合的第二前体的供应器的替代第二前体供应模块(200),以在第二前体被递送到ALD捕集器(175)中时完成与ALD捕集器(175)内部的捕集器材料表面的反应。特别是,第二前体供应模块(200)可操作以将第二前体蒸气注入到ALD捕集器(175)中,并且第二前体与捕集器材料表面反应以完成由第一前体开始的ALD反应。因此,第二前体与捕集器表面之间的反应在捕集器材料表面上形成材料层,同时还使捕集器材料表面准备与下一个沉积周期的第一前体反应。
在一个非限制性实例实施方式中,替代第二前体供应模块(200)包括水蒸气(H2O)的源。替代第二前体供应模块(200)构造成将替代第二前体经可控阀(205)递送到ALD捕集器(175)中,所述可控阀(205)通过电子控制器(125)操作。打开阀(205)以将替代第二前体释放到在第一真空阀(170)和ALD捕集器(175)之间的位置处的第一真空导管(165)中。当阀(205)打开时,优选第一真空阀(170)关闭,以防止替代第二前体污染反应室(105)。此外,当阀(205)打开时,主真空泵(155)正在操作,由此经第一真空导管(165)抽出流出物并且经ALD捕集器(175)抽出替代第二前体。
替代第二前体供应模块(200)优选构造成将从气体和替代第二前体供应模块(120)接收的替代第二前体与惰性载气(例如氮气或氩气)混合,所述气体和替代第二前体供应模块(120)在惰性气体进料管线(210)之上,所述进料导管惰性气体进料管线(210)与在位于第一真空阀(170)和ALD捕集器(175)之间的位置处的第一真空导管(165)配合。可控阀(215)或其他气流调节元件沿着在气体和前体供应模块(120)和第一真空导管(165)之间的惰性气体进料管线(210)设置,以调节载气流。
在需要时,替代第二前体供应模块(200)可包括可操作以气化替代第二前体或增加其蒸气压的鼓泡器或气化器(202)。此外,替代第二前体供应器(200)可容纳储存在不同前体容器中以与可能需要的不同沉积化学物质使用的多个不同的替代第二前体。优选地,各个替代前体容器包括一个或多个气流控制元件,其可以通过电子控制器(125)操作以选择与所用的特定沉积化学物质的第一前体相匹配的替代第二前体,并且将所选的替代第二前体在各个气体沉积周期的适当时期递送到ALD捕集器(175)。此外,替代第二前体供应模块(200)可包括一部分气体和前体模块(120)并且被容纳在气体和前体模块(120)中。在这个构造中,替代第二前体可以与惰性载气在气体和前体供应模块(120)处混合,并且混合物经惰性气体进料管线(210)递送到ALD捕集器(175)并由阀(215)调节而不偏离本发明。
在沉积周期的前两个步骤的过程中的操作中:1)使涂布表面(115)暴露于第一非等离子体前体;和2)从反应室(105)吹扫第一前体非等离子体,第一真空阀(170)是打开的,并且真空闸阀(185)和第二真空阀(195)是关闭的。这允许第一前体流出物通过ALD捕集器(175)并经主真空泵(155)离开系统到排气装置而不通过第二涡轮分子真空泵(180)。同时,通过与ALD捕集器(175)内部提供的捕集器材料表面反应,将任何未反应的第一前体从流出物移除。
在沉积周期的第三和第四步骤的过程中:3)使涂布表面(115)暴露于第二(等离子体)前体,和4)从反应室(105)吹扫第二(等离子体)前体,第一真空阀(170)是关闭的,而真空闸阀(185)和第二真空阀(195)是打开的。这允许第二前体流出物通过涡轮分子真空泵(180),然后通过第二真空导管(190),并且经主真空泵(155)离开系统到达排气出口。或者,第二真空导管(190)可以构造成将被移除的排气材料从反应室(105)直接排到排气出口但不通过主真空泵(155),而不偏离本发明。同时,在沉积周期的步骤3)和4)的过程中,打开阀(205)以将替代第二前体递送到ALD捕集器(175)中以与提供在捕集器内部的捕集器材料表面反应,并且还打开阀(215)以将惰性载气提供到第一真空导管(165)中以将替代第二前体运载到ALD捕集器(175)中。或者,惰性气体的连续流可以流经惰性气体进料管线(210)但不需要阀(215)(即阀215可以删除或保持打开),而不偏离本发明。在进一步可替代的实施方式中,阀(215)可以替代地包括质量流控制器、限制器或者适合于调节经过其的气体流速的其他元件。
其他任选元件包括可用于将捕集器与主真空泵(155)隔离的可操作的或手动的阀(220)以及可通过电子控制器(125)读取的设置成在不同位置传感气体压力的一个或多个压力传感元件(196)。此外,虽然第一和第二真空导管(165)和(190)描述成在两个位置连接到主真空泵(155),但这只是示意性表示,实际构造可以不同而不偏离本发明。特别是,第二真空导管(190)可以连接在ALD捕集器(175)和主真空泵(155)之间的第一真空导管(165),只有第一真空导管(165)连接到主真空泵(155)的单个法兰。
捕集器构造
ALD捕集器组件(175)包括常规ALD捕集器或过滤器,例如公开于Monsma等人的美国专利第8,202,575号(提交于2005年6月27日,题为VAPOR DEPOSITION SYSTEMS ANDMETHODS,授权于2012年6月19日)中的那种,该文献通过全文援引加入本文。ALD捕集器组件(175)包括填充了捕集器材料的流体流动导管。理想地,ALD捕集器(175)组件构造成提供小的气流阻力和高的真空传导率。
ALD捕集器组件(175)包括各自与电子控制器(125)电连通的捕集器加热器(198)和相关的温度传感器。捕集器材料包括形成有足以与引入到其中的第一前体和第二前体反应达延长的操作时段(例如上万个沉积周期)的表面区域的多个不同元件或单个元件。随着时间,在捕集器表面区域上积聚的材料层可以降低捕集器性能,因此捕集器元件可以根据需要移除或替换以保持良好的捕集器性能。
优选地,捕集器材料表面区域被加热到与涂布表面(115)基本上相同的温度,以使第一和第二前体与捕集器材料表面区域反应并在捕集器材料表面上形成与通过在气体沉积反应室(105)中进行的涂布过程涂布在衬底表面(115)上的相同的材料层。在操作过程中,ALD捕集器(175)通常保持在升高的温度下。在一些实施方式(例如在ALD捕集器(175)至少部分安置在出口端口中)中,ALD捕集器(175)由来自反应室(105)(其例如由管式加热器加热)的热传导加热到足够的温度。因此,在这些实施方式中,ALD捕集器(175)不需要具有单独的加热器(198)。
虽然各种形式的金属捕集器材料是优选的,但可以使用其他适合的捕集器材料。捕集器材料形式包括薄金属箔,所述薄金属箔被定向为高百分比的表面区域平行于气流方向以减小气流阻力。也可以使用起褶皱的金属箔。在使用箔时,基本上全部(即大于99%)的ALD捕集器(175)的表面区域平行于气流方向。箔捕集器的表面区域可以通过增加长度、直径和起褶皱的或辊式箔元件的褶皱来增加。在选择ALD捕集器(175)材料方面的考虑是使捕集器材料的热膨胀系数与涂布材料匹配以避免使ALD捕集器(175)内部的涂布材料破裂和剥落。因此,捕集器材料可包括工程金属如科瓦铁镍钴合金(kovar)、殷钢(invar)和其他耐热且耐腐蚀的合金的箔。
捕集器材料的其他形式包括包含不锈钢、铝或铜的金属棉或网筛。也可以使用颗粒状材料,如活性碳和活性氧化铝;然而这些增加气流阻力。本领域技术人员也将认识到,虽然本发明已经基于优选实施方式进行描述,但其不限于此。上述发明的各种各样的特征和方面可以单独或共同使用。进一步地,虽然本发明已经在其在特定环境中且针对特定应用(例如ALD和PEALD气体沉积系统)实现的上下文中进行描述,但本领域技术人员将认识到其可用性不限于此,并且本发明可以在其期望与未反应的前体反应以从流出物移除它们的多种环境和实践中有益地利用。因此,下文所述的权利要求应基于本文公开的本发明的整个范围和精神来解释。

Claims (20)

1.一种原子层沉积方法,其包括:
-在反应室中支撑一个或多个衬底以进行沉积运行,其中所述沉积运行包括进行多个材料沉积涂布周期;
-对于每个沉积涂布周期:
-将第一前体递送到所述反应室中以与所述一个或多个衬底的暴露表面反应;
-从所述反应室移除第一流出物,其中所述第一流出物包含未反应的第一前体;
-使所述第一流出物通过ALD捕集器,其中所述ALD捕集器包含适合于与所述未反应的第一前体反应的捕集器材料表面;
-使替代第二前体通过所述ALD捕集器,其中所述替代第二前体适合于与所述捕集器材料表面以使所述捕集器材料表面能够与来自下一个涂布周期的第一流出物的未反应的第一前体反应并将其移除的方式进行反应,并且其中所述替代第二前体不从所述反应室抽出。
2.权利要求1所述的方法,其中所述第一前体与所述一个或多个衬底的暴露表面之间的反应在反应温度下发生,所述方法还包括将所述捕集器材料表面维持在所述反应温度下的步骤。
3.权利要求2所述的方法,其中从所述反应室移除所述第一流出物的步骤包括操作主真空泵以通过包括所述ALD捕集器的第一真空导管抽出所述第一流出物。
4.权利要求3所述的方法,其还包括:
-对于每个沉积涂布周期,在从所述反应室移除所述第一流出物之后:
-将包含自由基的第二前体递送到所述反应室中以与所述一个或多个衬底的暴露表面反应;
-从所述反应室移除第二流出物;
-其中所述第二流出物不通过所述ALD捕集器。
5.权利要求4所述的方法,其中从所述反应室移除第二流出物的步骤包括操作所述主真空泵以通过第二真空导管抽出所述第二流出物。
6.权利要求5所述的方法,其中使所述替代第二前体通过所述ALD捕集器以及将所述包含自由基的第二前体递送到所述反应室中的步骤同时进行。
7.权利要求6所述的方法,其中使所述替代第二前体通过所述ALD捕集器的步骤发生在从所述第一流出物移除所述未反应的第一前体之后和将所述第一前体递送到所述反应室中以启动下一个涂布沉积周期之前。
8.权利要求4所述的方法,其还包括在从所述反应室移除所述第二流出物之前,关闭沿着在所述ALD捕集器和所述反应室之间的所述第一真空导管设置的第一真空阀,打开真空闸阀以提供通过涡轮分子真空泵移除所述第二流出物的通路,所述涡轮分子真空泵与在所述涡轮分子真空泵和所述主真空泵之间延伸的第二真空导管流体连通,并且打开沿着所述第二真空导管设置的第二真空阀。
9.权利要求4所述的方法,其还包括在从所述反应室移除所述第一流出物之前,关闭真空闸阀以阻止通过涡轮分子真空泵移除所述第一流出物的通路,所述涡轮分子真空泵与在所述涡轮分子真空泵和所述主真空泵之间延伸的第二真空导管流体连通,并且关闭沿着在所述反应室和所述主真空泵之间的所述第二真空导管设置的第二真空阀。
10.一种原子层沉积周期,其包括以下步骤:
-使在反应室中支撑的一个或多个衬底的暴露表面暴露于第一非等离子体前体;
-操作主真空泵以从所述反应室抽出第一流出物,其中所述第一流出物包含保留在所述反应室中的基本上全部的未反应的第一前体;
-其中所述第一流出物通过在所述反应室和所述主真空泵之间延伸的第一真空导管抽出;
-其中包含与未反应的第一前体具有反应性的捕集器材料表面的ALD捕集器沿着所述第一真空导管设置,以从所述第一流出物移除基本上全部的所述未反应的第一前体;
-使所述暴露表面暴露于包含自由基的第二等离子体激发前体;
-操作涡轮分子真空泵以从所述反应室抽出第二流出物,其中所述第二流出物从所述反应室吹扫基本上全部的第二前体;
-其中所述第二流出物通过所述涡轮分子真空泵且通过在所述涡轮分子真空泵和所述主真空泵之间延伸的第二真空导管抽出,其中所述第二流出物不经所述ALD捕集器抽出;和
-将替代第二前体递送到所述ALD捕集器中以与所述捕集器材料表面反应;
-其中所述替代第二前体适合于与所述捕集器材料表面以使所述捕集器材料表面能够与来自所述第一流出物的未反应的第一前体反应并将其移除的方式反应。
11.一种用于进行材料沉积周期的原子层沉积设备,其包括:
-反应室,其被构造成支撑在其中的一个或多个衬底;
-第一前体导管和端口,其被提供成将第一前体递送到所述反应室中以与所述一个或多个衬底的暴露表面反应;
-真空系统,其被构造成从所述反应室移除第一流出物,其中移除所述第一流出物从所述反应室移除基本上全部的未反应的第一前体;
-包含捕集器材料表面的ALD捕集器,其被设置成接收经过其的所述第一流出物,其中所述捕集器材料表面适合于与来自所述第一流出物的基本上全部的未反应的第一前体反应并将其移除;
-替代第二前体源,其与所述ALD捕集器流体连通,用于将替代第二前体递送到所述ALD捕集器中;
-其中所述替代第二前体包含材料,所述材料适合于与所述捕集器材料表面以使所述捕集器材料表面适合于与所述第一前体反应的方式反应。
12.权利要求11所述的原子层沉积设备,其中所述一个或多个衬底在所述材料沉积周期过程中保持在反应温度下,所述设备还包括与电子控制器连通的捕集器加热器和温度传感元件,其中所述捕集器加热器和温度传感器可操作以将所述捕集器材料表面保持在所述反应温度下。
13.权利要求11所述的原子层沉积设备,其中所述捕集器材料包含一个或多个薄金属箔,所述薄金属箔被定向为使大于99%的箔表面区域设置成平行于通过所述ALD捕集器的气流方向。
14.权利要求11所述的原子层沉积设备,其还包括:
-第二前体导管和端口,其被提供成在所述暴露表面已经与所述第一前体反应之后,将第二前体递送到所述反应室中以与所述一个或多个衬底的所述暴露表面反应;
-等离子体发生器,其与所述第二前体导管和端口联结,用于激发所述第二前体和产生所述第二前体的自由基;
-其中所述真空系统从所述反应室移除第二流出物,其中移除所述第二流出物从所述反应室移除基本上全部的所述第二前体,但不使所述第二流出物通过所述ALD捕集器。
15.权利要求14所述的原子层沉积设备,其中所述真空系统包括:
-主真空泵,其与所述反应室经真空法兰流体连通;
-第一真空导管,其被设置在所述真空法兰和所述主真空泵之间,其中所述ALD捕集器沿着所述第一真空导管设置;
-第一真空阀,其被沿着在所述真空法兰和所述ALD捕集器之间的所述第一真空导管设置。
16.权利要求15所述的原子层沉积设备,其还包括:
-涡轮分子真空泵,其与所述反应室经所述真空法兰流体连通;
-真空闸阀,其设置在所述反应室和所述涡轮分子真空泵之间,其中所述真空闸阀可操作以阻止或允许气流通过所述真空法兰;
-第二真空导管,其被设置在所述涡轮分子真空泵和所述主真空泵之间,但不通过所述ALD捕集器;
-第二真空阀,其沿着在所述涡轮分子真空泵和所述主真空泵之间的所述第二真空导管设置。
17.权利要求16所述的原子层沉积设备,其中所述主真空泵包括能够将所述反应室泵吸到10毫托的真空压力的粗抽泵,和所述涡轮分子真空泵包括能够将所述反应室泵吸到1.0微托的真空压力的终止泵。
18.权利要求11所述的原子层沉积设备,其还包括沿着在所述替代第二前体源和所述ALD捕集器之间延伸的流体导管设置的可控制阀。
19.权利要求11所述的原子层沉积设备,其还包括与所述替代第二前体源联结的惰性气体供应器,用于将所述替代第二前体与通过所述惰性气体供应器递送的惰性气体混合。
20.权利要求14所述的原子层沉积设备,其还包括:
-第一可控脉冲阀,其可操作以调节通过所述第一前体导管和端口的第一前体流;
-可控质量流控制器,其可操作以在所述第二前体是等离子体前体时,调节通过所述第二前体导管和端口的第二前体流;
-第二可控脉冲阀,其可操作以在所述第二前体不是等离子体前体时,调节通过所述第二前体导管和端口的第二前体流;
-其中所述原子层沉积设备可操作以进行等离子体增强原子层沉积周期,其中所述第二前体由所述等离子体发生器激发,并且只有所述第一流出物经所述捕集器移除;和
-其中所述原子层沉积设备可操作以进行热原子层沉积周期,其中所述第一前体和所述第二前体都不由所述等离子体发生器激发,并且所述第一流出物和所述第二流出物二者都经所述ALD捕集器移除。
CN201480064498.6A 2013-11-26 2014-11-21 改进的等离子体增强ald系统 Expired - Fee Related CN105992836B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361909121P 2013-11-26 2013-11-26
US61/909,121 2013-11-26
PCT/US2014/066916 WO2015080979A1 (en) 2013-11-26 2014-11-21 Improved plasma enhanced ald system

Publications (2)

Publication Number Publication Date
CN105992836A CN105992836A (zh) 2016-10-05
CN105992836B true CN105992836B (zh) 2018-10-09

Family

ID=53199572

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480064498.6A Expired - Fee Related CN105992836B (zh) 2013-11-26 2014-11-21 改进的等离子体增强ald系统

Country Status (10)

Country Link
US (2) US10351950B2 (zh)
JP (1) JP6195671B2 (zh)
KR (1) KR101733370B1 (zh)
CN (1) CN105992836B (zh)
DE (1) DE112014005386B4 (zh)
FI (2) FI20195228A1 (zh)
GB (1) GB2538167B (zh)
SG (1) SG11201603347WA (zh)
TW (1) TWI588286B (zh)
WO (1) WO2015080979A1 (zh)

Families Citing this family (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016182648A1 (en) * 2015-05-08 2016-11-17 Applied Materials, Inc. Method for controlling a processing system
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US20170241019A1 (en) 2016-02-22 2017-08-24 Ultratech, Inc. Pe-ald methods with reduced quartz-based contamination
US20170260629A1 (en) 2016-03-08 2017-09-14 Ultratech, Inc. Quartz crystal microbalance assembly for ALD systems
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
FI127503B (en) * 2016-06-30 2018-07-31 Beneq Oy Method of coating a substrate and device
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102659195B1 (ko) 2016-07-11 2024-04-19 삼성전자주식회사 플라즈마 화학기상증착 장치 및 이를 이용한 Li 기반의 박막 형성방법
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2018067235A1 (en) * 2016-10-05 2018-04-12 Roche Diabetes Care, Inc. Detection reagents and electrode arrangements for multi-analyte diagnostic test elements, as well as methods of using the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
EP3574126A4 (en) 2017-01-27 2020-10-28 Veeco Instruments Inc. TIGHTENING SYSTEMS AND METHODS WITH IMPROVED ELECTRICAL INSULATION FOR SUBSTRATE POLARIZED ALD
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US9984869B1 (en) * 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
JP6811146B2 (ja) * 2017-06-23 2021-01-13 東京エレクトロン株式会社 ガス供給系を検査する方法
JP6811147B2 (ja) * 2017-06-23 2021-01-13 東京エレクトロン株式会社 ガス供給系を検査する方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP6902991B2 (ja) * 2017-12-19 2021-07-14 株式会社日立ハイテク プラズマ処理装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
KR20200106090A (ko) 2018-01-31 2020-09-10 램 리써치 코포레이션 복수의 전구체들을 위한 매니폴드 밸브
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP6946248B2 (ja) * 2018-09-26 2021-10-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN109609931B (zh) * 2018-12-27 2021-05-07 北京北方华创微电子装备有限公司 原子层沉积装置及方法
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR102334472B1 (ko) 2019-05-30 2021-12-03 주식회사 지에스티에스 원자층 증착을 위한 다이아프램 밸브의 응답 산출 장치
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022102768A1 (de) 2022-02-07 2023-08-10 Stephan Wege Symmetrischer Prozessreaktor
WO2024193763A1 (de) 2023-03-17 2024-09-26 Stephan Wege Prozessreaktor und vefahren für die herstellung von strukturen auf einem substrat

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6354241B1 (en) * 1999-07-15 2002-03-12 Applied Materials, Inc. Heated electrostatic particle trap for in-situ vacuum line cleaning of a substrated processing
CN101040060A (zh) * 2004-06-28 2007-09-19 剑桥纳米科技公司 气相沉积系统和方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3287730B2 (ja) * 1995-04-20 2002-06-04 東京エレクトロン株式会社 混入物の除去装置、これを用いた処理装置の真空排気系及びそのメンテナンス方法
JP3544604B2 (ja) * 1996-12-16 2004-07-21 株式会社荏原製作所 切替式トラップ装置
US6099649A (en) * 1997-12-23 2000-08-08 Applied Materials, Inc. Chemical vapor deposition hot-trap for unreacted precursor conversion and effluent removal
US6197119B1 (en) * 1999-02-18 2001-03-06 Mks Instruments, Inc. Method and apparatus for controlling polymerized teos build-up in vacuum pump lines
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
JP2004305950A (ja) 2003-04-09 2004-11-04 Tokyo Electron Ltd 排気トラップ、排気トラップのクリーニング方法、及び、反応処理装置
WO2004094694A2 (en) 2003-04-23 2004-11-04 Genus, Inc. Collection of unused precursors in ald
CN1788106B (zh) 2003-05-13 2011-06-08 东京毅力科创株式会社 使用原料气体和反应性气体的处理装置
DE602005016933D1 (de) * 2004-06-28 2009-11-12 Cambridge Nanotech Inc Atomlagenabscheidungssystem und -verfahren
US7455720B2 (en) 2005-02-16 2008-11-25 Mks Instruments, Inc. Method and apparatus for preventing products of TiCL4 and NH3 or other feed gas reactions from damaging vacuum pumps in TiN or other deposition systems
US8679287B2 (en) * 2005-05-23 2014-03-25 Mks Instruments, Inc. Method and apparatus for preventing ALD reactants from damaging vacuum pumps
US20060276049A1 (en) 2005-06-06 2006-12-07 Bailey Christopher M High efficiency trap for deposition process
JP5036354B2 (ja) * 2006-04-04 2012-09-26 東京エレクトロン株式会社 成膜装置の排気系構造、成膜装置、および排ガスの処理方法
JP2008288281A (ja) 2007-05-15 2008-11-27 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP2009020548A (ja) * 2007-07-10 2009-01-29 Brother Ind Ltd コンテンツ配信システム、閲覧端末およびコンテンツ配信要求制御プログラム
JP2012504834A (ja) * 2008-10-06 2012-02-23 ヴェルジェンス エンターテインメント エルエルシー 音楽的に相互作用する化身のためのシステム
JP2010141248A (ja) 2008-12-15 2010-06-24 Toshiba Corp 成膜装置及び成膜方法
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US20110183079A1 (en) * 2009-08-31 2011-07-28 Penn State Research Foundation Plasma enhanced atomic layer deposition process
JP2011181681A (ja) 2010-03-01 2011-09-15 Mitsui Eng & Shipbuild Co Ltd 原子層堆積方法及び原子層堆積装置
JP2012126977A (ja) * 2010-12-16 2012-07-05 Ulvac Japan Ltd 真空成膜装置及び成膜方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6354241B1 (en) * 1999-07-15 2002-03-12 Applied Materials, Inc. Heated electrostatic particle trap for in-situ vacuum line cleaning of a substrated processing
CN101040060A (zh) * 2004-06-28 2007-09-19 剑桥纳米科技公司 气相沉积系统和方法

Also Published As

Publication number Publication date
KR101733370B1 (ko) 2017-05-08
KR20160089375A (ko) 2016-07-27
FI20165435A (fi) 2016-05-25
GB2538167A (en) 2016-11-09
TW201527582A (zh) 2015-07-16
US10351950B2 (en) 2019-07-16
US20160281223A1 (en) 2016-09-29
FI128223B (en) 2019-12-31
DE112014005386T5 (de) 2016-08-18
US20190284689A1 (en) 2019-09-19
CN105992836A (zh) 2016-10-05
GB201607549D0 (en) 2016-06-15
GB2538167B (en) 2017-11-29
WO2015080979A1 (en) 2015-06-04
SG11201603347WA (en) 2016-05-30
JP2016540124A (ja) 2016-12-22
FI20195228A1 (en) 2019-03-26
DE112014005386B4 (de) 2018-12-20
TWI588286B (zh) 2017-06-21
JP6195671B2 (ja) 2017-09-13

Similar Documents

Publication Publication Date Title
CN105992836B (zh) 改进的等离子体增强ald系统
JP4397188B2 (ja) 気化液体反応物のパルス的供給の方法および装置
JP5616591B2 (ja) 半導体装置の製造方法及び基板処理装置
JP5527863B2 (ja) 半導体装置の製造方法および基板処理装置
KR101978398B1 (ko) 전구체 소스 및 이를 포함하는 증착 반응기
US20100266765A1 (en) Method and apparatus for growing a thin film onto a substrate
US20050000428A1 (en) Method and apparatus for vaporizing and delivering reactant
TWI232518B (en) Substrate processing device
JP2002525430A (ja) Cvdリアクタ用ガス供給システムおよび同システムを制御する方法
KR20080100793A (ko) 실리콘 및 타이타늄 질화물의 인시츄 증착
TW201118200A (en) Method of decontamination of process chamber after in-situ chamber clean
JP2004006801A (ja) 縦型半導体製造装置
JP4150356B2 (ja) 成膜装置及び成膜方法
JP2005197376A (ja) 成膜装置と成膜方法
US20080107808A1 (en) Method and an apparatus for the coating of a base body
US12065730B2 (en) Coating of fluid-permeable materials
JP4814914B2 (ja) 基板処理装置及び半導体装置の製造方法
CN114901865A (zh) 用于沉积二维的层的cvd反应器的应用
JP7538189B2 (ja) 前駆体容器
TW202045770A (zh) 基板處理裝置之調節方法及其相關裝置
TWI854287B (zh) 前驅物容器及用以在前驅物容器中處置前驅物材料的方法
JP2006216612A (ja) 基板処理装置
KR20030085826A (ko) 액체운송장치의 퍼지방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20200131

Address after: New York State, USA

Patentee after: Micro Instrument Company

Address before: California, USA

Patentee before: Ultratech, Inc.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20181009

Termination date: 20201121

CF01 Termination of patent right due to non-payment of annual fee