KR101733370B1 - 개선된 플라즈마 강화 ald 시스템 - Google Patents

개선된 플라즈마 강화 ald 시스템 Download PDF

Info

Publication number
KR101733370B1
KR101733370B1 KR1020167013788A KR20167013788A KR101733370B1 KR 101733370 B1 KR101733370 B1 KR 101733370B1 KR 1020167013788 A KR1020167013788 A KR 1020167013788A KR 20167013788 A KR20167013788 A KR 20167013788A KR 101733370 B1 KR101733370 B1 KR 101733370B1
Authority
KR
South Korea
Prior art keywords
precursor
trap
effluent
reaction chamber
vacuum
Prior art date
Application number
KR1020167013788A
Other languages
English (en)
Other versions
KR20160089375A (ko
Inventor
마크 소와
로버트 케인
마이클 서션
Original Assignee
울트라테크 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 울트라테크 인크. filed Critical 울트라테크 인크.
Publication of KR20160089375A publication Critical patent/KR20160089375A/ko
Application granted granted Critical
Publication of KR101733370B1 publication Critical patent/KR101733370B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

개선된 플라즈마 강화 원자층 증착 (PEALD) 시스템 및 관련 동작 방법이 개시되어 있다. 진공 반응 챔버는, 미반응의 제 1 전구체를 포함하는 반응 챔버로부터의 제 1 유출물을, 제 2 전구체 및 제 2 전구체와 코팅 표면의 반응으로부터의 임의의 반응 부산물을 포함하는 반응 챔버로부터의 제 2 유출물과 분리하는 진공 시스템을 포함한다. 트랩 재료 표면을 포함하는 트랩은, 제 1 전구체가 트랩 재료의 표면과 반응할 때 제 1 유출물에서 제 1 전구체를 제거하기 위해 제공된다. 제 2 전구체가 플라즈마 생성 재료를 포함하는 경우, 제 2 전구체는 트랩을 통과하지 않는다. 교번의 제 2 전구체 공급원은 적합한 제 2 전구체를 트랩으로 주입하여 트랩 표면 상에 재료 증착층을 완료하며, 이로써 다음의 증착 사이클에서 제 1 전구체와 반응하기 위한 트랩 재료 표면을 준비한다.

Description

개선된 플라즈마 강화 ALD 시스템{IMPROVED PLASMA ENHANCED ALD SYSTEM}
본 발명은 고체 기판의 노출된 표면 상에 박막 재료 층을 증착하는데 사용가능한 플라즈마 강화 원자층 증착 (PEALD) 가스 증착 사이클을 수행하도록 구성된 가스 증착 시스템에 관한 것이다. 특히, PEALD 시스템은 반응 챔버, 비-플라즈마 전구체 증착 사이클 동안 반응 챔버에서 제 1 진공 압력을 설정하기 위한 주요 진공 펌프 및 플라즈마 전구체 증착 사이클 동안 반응 챔버에서 보다 낮은 제 2 진공 압력을 설정하기 위한 제 2 진공 펌프를 포함한다.
종래의 ALD 및 전구체 트랩핑
종래의 원자층 증착 (ALD) 시스템은 하나 이상의 기판의 노출된 표면 상에 박막 재료 층을 증착하는데 사용가능한 가스 또는 증기 증착 시스템으로서 동작한다. 보다 구체적으로, 원자층 증착 (ALD) 은 다수의 별개의 화학적 및/또는 에너지 환경과 증착 기판의 순차적 노출을 통해 진행하는 박막 증착 기술이다. 전형적인 공정은 기판 표면 상에 기존 화학적 모이어티와 화학 흡착하는 기상 금속 원자 함유 전구체의 도입을 진행할 것이다. 과량의 전구체와 반응 생성물을 제거하는 퍼지 사이클에 이어서, 제 1 반응물의 화학 흡착부와 반응하는 반응기로 제 2 전구체가 도입된다. 제 2 퍼지 사이클은 과량의 전구체 및 반응 생성물을 제거한다. 성공적인 ALD 공정의 경우, 제 2 전구체 사이클은 기판 표면이 제 1 전구체의 다른 노출을 다시 준비하게 둔다. 전구체 1, 퍼지, 전구체 2, 퍼지, 반복에 대한 기판의 순차적 노출은 서브 원자층 두께 제어를 이용하여 컨포멀 막이 증착될 수 있게 한다.
동작중에, 일반적으로 낮은 증착 압력으로, 예를 들어, 0.1 ~ 10 militorr 로 배기되고, 반응 온도로, 예를 들어, 75 ~ 750℃ 로 가열되는 실질적으로 시일링된 반응 챔버 내측에 기판이 배치된다. 제 1 전구체 또는 반응물은 기판의 노출된 표면 또는 코팅 표면과 반응하기 위해 반응 공간으로 도입된다. 비활성 캐리어 가스는 제 1 전구체의 전달시에 제 1 전구체와 혼합될 수 있다. 소망의 노출 시간 후, 제 1 전구체는 반응 챔버로부터 제거 또는 퍼지된다. 퍼지 사이클은 일반적으로 진공 펌프와 유체 연통되는 배출 포트를 통해 반응 챔버로부터 가스를 드로잉하는 것을 포함한다. 이후 제 2 전구체 또는 반응물은 소망의 노출 시간 동안 기판의 노출된 표면 또는 코팅 표면과 반응하기 위해 반응 공간으로 도입된다. 비활성 캐리어 가스는 제 2 전구체의 전달시에 제 2 전구체와 혼합될 수도 있다. 이후 제 2 전구체는 전술한 바와 같이 그 배출 포트를 통해 반응 챔버로부터 제거 또는 퍼지된다. 일반적으로 상술한 두 전구체 코팅 사이클은 노출된 표면 상에 단일 재료 두께 층을 0.5 ~ 약 2.0 Å 범위의 층 두께로 도포한다. 통상적으로 두 전구체 코팅 사이클은, 소망의 재료 두께를 달성하기 위해서 복수의 단일 재료의 두께 층을 서로의 위에 도포하도록 반복된다.
종래의 ALD 시스템은 배출 포트와 진공 펌프 사이에 배치되는 트랩을 포함한다. 트랩은 진공 펌프의 손상을 방지하기 위해 유출물 (outflow) 에서 미반응 전구체를 제거한다. 특히 트랩이 없는 경우는, 반응 챔버의 내부에 수납된 기판의 노출된 표면 상에 재료층을 도포하기 위해 사용된 동일한 두 전구체 코팅 사이클들이 결합하여 진공 펌프 및 배기 도관의 내부 표면 상에 동일한 박막 재료층을 형성할 수 있지만, 궁극적으로 바람직하지 않은 표면 오염 및 최종 진공 펌프 고장으로 이어진다. 가스 또는 기상 증착 시스템의 유출물로부터의 미반응 전구체 및 반응 부산물을 제거하기 위한 다양한 트랩 메커니즘이 알려져 있지만, ALD 시스템에서 종래 사용된 하나의 특히 유용한 트랩 메커니즘은 트랩 챔버를 통과할 때 전구체가 반응하도록 트랩 챔버 내부에 가열된 금속판의 큰 표면적을 제공하는 것이다. 그러한 트랩은, 2012년 6월 19일에 Monsma 등에게 승인되고 발명의 명칭이 VAPOR DEPOSITION SYSTEMS AND METHODS인 미국 특허 No. 8,202,575 B2에 개시되어 있다. 그 개시물에서, Monsma 등은, 반응 챔버로부터의 유출물이 진공 펌프를 통해 드로잉되기 전에 트랩을 통과하는, 직렬의 ALD 반응 챔버, 트랩 및 진공 펌프를 설명한다. 트랩은 특히, 동일한 증착 공정이 반응 챔버의 내부에 코팅되는 기판의 노출된 표면 상에 일어날 때 트랩 내부에 제공된 금속 표면 상에 일어나면서 작은 가스 흐름 저항 및 고 진공 컨덕턴스를 제공하도록 구성된다. 부가적으로 Monsma 등은, 트랩 재료의 표면에 형성되는 코팅층이 분해 또는 플래킹 (flacking) 되는 것을 방지하고 진공 펌프로 반송되는 것을 방지하기 위해서 증착된 재료와 유사한 열 팽창 계수를 갖는 트랩 재료를 제공하는 것이 바람직하다는 것을 나타낸다. 하지만 Monsma 등에 의해 제안된 트랩 시스템이 갖는 하나의 문제는, 트랩 재료가 유출물에서의 전구체와 반응하기 위해서, 두 전구체가 트랩 재료의 표면과의 반응에 참여할 수 있어야 한다는 것이고, 두 전구체가 유출물에서 사용할 수 없는 경우라면 트랩 내부의 ALD 반응은 재료층을 형성하지 못하며 트랩의 동작은 붕괴되고 미반응 전구체 재료는 진공 펌프로 지나간다.
종래의 PEALD 및 전구체 트랩핑
종래의 플라즈마 강화 원자층 증착 (ALD) 시스템은 하나 이상의 기판의 노출된 표면 상에 박막 재료 층을 증착하는데 사용가능한 가스 또는 증기 증착 시스템으로서 동작한다. 동작중에, 일반적으로 낮은 증착 압력으로, 예를 들어, 0.1 ~ 10 militorr 로 배기되고, 반응 온도로, 예를 들어, 75 ~ 750℃ 로 가열되는 실질적으로 시일링된 반응 챔버 내측에 기판이 배치된다. 제 1 전구체 또는 반응물, 예를 들어, 기상 금속 원자 함유 원자와 같은 분자 화학물질은 기판의 노출된 표면 또는 코팅 표면과 반응하기 위해 기체로서 반응 공간으로 도입된다. 비활성 캐리어 가스는 제 1 전구체의 전달시에 제 1 전구체와 혼합될 수 있다. 소망의 노출 시간 후, 제 1 전구체는 반응 챔버로부터 제거 또는 퍼지된다. 퍼지 사이클은 일반적으로 진공 펌프와 유체 연통되는 배출 포트를 통해 반응 챔버로부터 가스를 드로잉하는 것을 포함한다. 그후, 플라즈마 공급원 또는 다른 라디칼 생성 기술에 의해 공급된 분자 가스의 분해를 통해 생성된 라디칼의 플럭스를 포함하는 제 2 전구체 또는 반응물이 기판 코팅 표면의 노출된 표면과 반응하기 위해 반응 공간으로 도입된다.
PEALD 시스템에서, 제 2 전구체는 가스 전구체를 플라즈마 상태로 여기시키는 것에 의해 또는 다른 라디칼 생성 기술에 의해 생성된 자유 라디칼을 포함한다. 특히, 산소, 질소, 수소와 같은 분자 가스 및 다른 분자 가스 또는 가스 혼합물은 플라즈마 생성기에 의해 여기되고, 자유 라디칼의 플럭스는 기판의 노출된 표면과 반응하는 반응 챔버로 전달된다. 자유 라디칼은 하나 이상의 자유 전자를 갖는 하나 이상의 원자 또는 원자단을 포함하여 라디칼이 매우 반응성이 있지만, 비교적 비활성 분자 종으로 빠르게 재결합할 것으로 예상되기 때문에 그 수명은 짧은 경향이 있다.
종래의 ALD 시스템들에 의해, 비활성 캐리어 가스는 플라즈마 전구체의 전달시에 플라즈마 전구체와 혼합될 수도 있다. 이후 제 2 전구체는 전술한 바와 같이 그 배출 포트를 통해 반응 챔버로부터 제거 또는 퍼지된다. 일반적으로 상술한 두 전구체 플라즈마 코팅 사이클은 반응 챔버 내부에 배치된 기판들의 노출된 표면 상에 단일 재료 두께 층을 0.5 ~ 약 2.0 Å 범위의 단일 층 재료 두께로 도포한다. 통상적으로 두 전구체 사이클은, 소망의 재료 두께를 달성하기 위해서 복수의 단일 재료 두께 층을 서로의 위에 도포하도록 반복된다.
종래 PEALD 시스템의 일례는 Becker 등에 의한 것으로 2010년 7월 22일에 공개되고 명칭이 PLASMA ATOMIC LAYER DEPOSITION SYSTEM AND METHOD인 US20100183825A1에 개시되어 있다. 그 개시물에서, Becker 등은, 비-플라즈마 전구체를 반응 챔버 안으로 도입하기 위한 제 1 전구체 포트 및 플라즈마 여기된 전구체 재료를 반응 챔버 안으로 도입하는 제 2 전구체 포트 또는 상부 개구를 포함하는, 플라즈마 반응 챔버를 설명한다. Becker 등은, 도 6에 도시된 트랩 조립체를 통해 반응 챔버로부터 유출물을 제거하기 위해 동작하는 터보 진공 펌프를 포함하는 도 10의 진공 시스템을 설명한다. 본 개시물은, 가스 증착 챔버로부터 빠져나가는 가스 유출물에서 전구체 및/또는 플라즈마 가스와 반응하기 위해 가열되는 것으로 트랩 조립체를 설명한다. 트랩 내부에 배치된 노출된 트랩 재료의 표면과 유출물로부터의 임의의 나머지 미반응 전구체 증기 및/또는 미반응 플라즈마 라디칼 간의 반응은 유출물로부터 미반응 전구체를 제거하고, 반응 챔버 내부의 기판 상에 증착되는 것과 동일한 재료를 노출된 트랩 재료의 표면 상에 증착한다.
자유 라디칼 붕괴
하지만, Becker 등에 의해 제안된 PEALD 시스템의 한가지 문제점은 플라즈마 여기된 전구체 라디칼의 비여기 상태로의 높은 반응성 및 급속한 붕괴에 관한 것이며, 이들 요인들은 두 가지 방식으로 시스템 동작에 영향을 미친다. 제 1 관련된 문제에서, 플라즈마 전구체의 자유 라디칼은 코팅되는 기판의 노출된 표면과 반응하기 이전에 비여기된 상태로 붕괴하거나 또는 반응 챔버 내부의 다른 표면과 반응할 수도 있다. 그 결과, 반응 챔버 내부에서 발생할 것으로 예상되는 소망의 자기 제한적인 ALD 반응은 노출된 표면에서의 플라즈마 라디칼의 부족으로 인해 계속해서 완료하지 못할 수도 있어, 기판의 노출된 표면들이 완전히 코팅되지 않는다.
제 2 관련된 문제에서, 플라즈마 전구체의 자유 라디칼은, 트랩 내부의 노출된 트랩 재료의 표면과 반응하기 이전에 비여기된 상태로 붕괴하거나 또는 반응 챔버 내부의 다른 표면과 반응할 수도 있다. 그 결과, 유출물로부터 미반응 전구체를 제거하기 위해 사용되는 트랩 재료와의 동일한 소망의 자기 제한적인 ALD 반응은 계속해서 완료하지 못할 수도 있어, 트랩 내부의 노출된 표면들은 완전히 코팅되지 않아, 이는 트랩 내부의 노출된 표면과 제 1 및 제 2 전구체의 임의의 반응의 파괴로 궁극적으로 이어진다.
본 발명의 간단한 요약
상기 기재된 종래의 방법 및 장치와 관련된 문제점 측면에서, 본 발명은 코팅 표면과 반응하기 위해 플라즈마를 이용하거나 그렇지 않은 경우 생성된 자유 라라디칼을 이용하는 원자층 증착 시스템의 다양한 동작 방법을 제공한다.
구체적으로, 각각의 증착은 반응 챔버에 포함된 하나 이상의 기판의 복수의 재료 증착 코팅 사이클을 수행하는 것을 포함한다. 각각의 증착 사이클 동안 제 1 전구체는 반응 챔버 안으로 전달되어 하나 이상의 기판의 노출된 표면과 반응하고, 반응 챔버는 제 1 유출물을 반응 챔버로부터 제거하는 것에 의해 퍼지되며, 제 1 유출물은 미반응의 제 1 전구체를 포함한다. 제 1 유출물은 또한 비활성 가스와 반응 부산물을 포함한다. 이후 제 1 유출물은 트랩을 통과한다. 트랩은 미반응의 제 1 전구체와 반응하기에 적합한 대면적의 트랩 재료의 표면을 포함한다. 그러나, 반응 챔버로 전달되는 제 2 전구체는 트랩 재료의 표면과 반응하기 전에 여기되지 않은 상태로 붕괴할 가능성이 있는 자유 라디칼이기 때문에, 교번의 (alternate) 제 2 전구체 공급원이 제공되어 트랩을 통과한다. 교번의 제 2 전구체는, 트랩 재료의 표면이 반응할 수 있게 만들고 미반응의 제 1 전구체를 후속 코팅 사이클의 제 1 유출물로부터 제거할 수 있게 만드는 것을 완료하는 방식으로, 트랩 재료의 표면과 반응하기에 적합하다. 구체적으로, 교번의 제 2 전구체는 별도의 전구체 공급원이고 반응 챔버로부터 배출되지 않는다. 부가하여 제 1 전구체와 반응 챔버 내부의 노출된 표면과의 반응이 특정 반응 온도에서 일어나기 때문에, 동작 방법은 그 반응 온도에서 트랩 재료의 표면을 유지하는 것을 더 포함한다.
후속 단계들에서, 반응 챔버로부터 제 1 유출물을 제거한 후, 자유 라디칼을 포함하는 제 2 전구체는 노광된 기판 표면과 반응하기 위해 반응 챔버로 전달된다. 그후 제 2 유출물이 반응 챔버로부터 제거되지만, 제 2 유출물은 트랩을 통과하지 않는다.
상기 방법에 사용할 수 있는 원자층 증착 디바이스는, 증착 재료 사이클동안 내부에 하나 이상의 기판을 지지하도록 구성된 반응 챔버를 포함한다. 제 1 전구체 도관은 기판의 노출된 표면과 반응하기 위해 반응 챔버 안으로 제 1 전구체를 전달한다. 미반응의 제 1 전구체를 포함하는 제 1 유출물을 반응 챔버로부터 퍼지 또는 제거하기 위해 진공 시스템이 제공된다. 반응 챔버의 체적과 동일한 한가지 또는 두가지 가스 체적들을 제거하기에 필요한 시간이 짧아질 수도 있거나 더 길어질 수도 있는, 제 1 전구체와 기판 표면 상의 소망의 노출 시간 이후 제 1 유출물이 제거된다.
트랩 재료의 표면을 포함하는 트랩은 트랩을 통해 제 1 유출물을 수용하도록 배치된다. 트랩 재료의 표면은 제 1 유출물로부터의 실질적으로 모든 미반응의 제 1 전구체와 반응하고 제거하기에 적합하다. 교번의 제 2 전구체 공급원은, 교번의 제 2 전구체를 트랩으로 전달하기 위해 제공된다. 교번의 제 2 전구체는, 제 1 전구체에 의해 언급된 반응을 완료하여 트랩 재료의 표면 상에 재료층을 형성하는 방식으로 트랩 재료의 표면과 반응하고, 또한 다음 증착 사이클에서 제 1 전구체와 반응하도록 트랩 재료의 표면을 준비하기에 적합한 전구체 재료이다. 트랩은 반응 표면을 소망의 반응 온도로 가열하기 위한 히터를 포함한다.
제 2 전구체 도관은, 노출된 표면이 제 1 전구체와 반응한 이후 기판의 노출된 표면들과 반응하기 위해 반응 챔버 안으로 제 2 전구체를 전달하도록 제공된다. 플라즈마 생성기는 제 2 전구체를 여기시켜 제 2 전구체의 자유 라디칼을 생성하기 위해 제 2 전구체 도관과 연관된다. 기판 표면과의 반응이후, 진공 시스템은 반응 챔버로부터 제 2 유출물을 제거한다. 제 2 유출물은, 트랩을 통해 제 2 유출물을 통과시키지 않고도 실질적으로 모든 제 2 전구체를 반응 챔버로부터 제거한다. 하지만, 제 2 유출물의 자유 라디칼의 대부분 또는 모두는 반응 챔버에서 배출되기 전에 낮은 에너지 상태로 붕괴되었을 수도 있다.
진공 시스템은 반응 챔버와 인터페이스하는 진공 플랜지를 통해 반응 챔버와 유체 연통하는 메인 진공 펌프를 포함한다. 제 1 진공 도관은 진공 플랜지로부터 메인 진공 펌프로 연장되고, 트랩은 제 1 진공 도관을 통해 흐르는 가스가 트랩을 통과하도록 제 1 진공 도관을 따라 배치된다. 제어가능한 제 1 진공 밸브는 진공 플랜지와 트랩 사이의 제 1 진공 도관을 따라 배치된다. 제 2 터보 진공 펌프는, 특히 플라즈마 강화 원자층 증착을 위한 반응 챔버에서 낮은 진공 압력을 달성하기 위해, 그리고 반응 챔버로부터 유출물을 제거하기 위해 진공 플랜지와 인터페이스된다. 제 2 진공 도관은 트랩을 통과하지 않고 터보 진공 펌프와 메인 진공 펌프 사이에서 연장된다. 제 2 진공 밸브는 터보 진공 펌프와 메인 진공 펌프 사이의 제 2 진공 도관을 따라 배치된다. 메인 진공 펌프는 반응 챔버를 약 10 밀리토르의 진공 압력으로 펌핑하도록 동작가능한 러핑 (roughing) 펌프이다. 제 2 터보 진공 펌프는 반응 챔버를 약 1.0 μtorr의 진공 압력으로 펌핑할 수 있는 마무리 펌프이다.
본 발명의 특징은 예시를 위해 선택되고 첨부된 도면에 도시된 본 발명의 상세한 설명 및 그 예시의 실시형태로부터 최상으로 이해될 것이다.
도 1은 본 발명에 따른 PEALD 시스템의 예시적인 개략도를 도시한다.
개관
본 발명은, 플라즈마 강화 원자층 증착 (PEALD) 시스템 및 관련 동작 방법뿐만 아니라 전술한 방법에 의해 코팅된 기판의 비제한적인 예시의 실시형태를 설명한다. 특히 시스템은 반응 챔버 내부에 위치된 기판의 노출된 표면 상에 박막 성장하기에 적합한 반응 챔버를 포함한다. 반응 챔버는 두 개의 상이한 진공 펌프에 의해 진공 압력으로 펌핑된 진공 챔버이다. 각각의 진공 펌프는 상이한 배출 포트와 상이한 진공 도관 경로를 통해 진공 챔버에 연결된다. 특히 상이한 유출물 라인 또는 포어 라인은 각각의 진공 펌프와 연관되며, 각각의 개별 진공 도관 경로는 상이한 진공 도관 경로에 따른 반응 챔버로부터 시스템으로부터의 유출물까지의 가스 유출물을 수정 및 리디렉팅하기 위해 전자 제어기에 의해 동작가능한 하나 이상의 밸브들을 포함한다. 특히 비플라즈마 전구체를 제거 및 트랩하기 위해 사용되는 제 1 진공 도관 경로는 종래의 ALD 트랩을 포함하지만; 플라즈마 전구체를 제거하기 위해 사용되는 제 2 진공 도관 경로는 트랩을 포함하지 않는다. 그 결과 제 1 전구체만이 ALD 트랩에 들어간다. 하지만 본 발명은 트랩 챔버 내부에 배치된 트랩 물질의 노출된 표면과의 ALD 반응을 완료하기 위해 제 2 전구체, 예를 들어 수증기를 ALD 트랩으로 전달하는 교번의 제 2 전구체 공급원을 포함한다. 따라서, 본 발명의 교번의 제 2 전구체 공급원은 다음의 ALD 코팅 사이클에서 제 1 전구체와 반응하기 위해 트랩 내부에 노출된 트랩 재료 표면을 계속 준비하기 위해 사용된다. 본 발명에 사용하기에 적합한 비제한적인 예의 PEALD 반응 챔버는, Becker 등에 의한 것으로 2010년 7월 22일에 공개되고 명칭이 PLASMA ATOMIC LAYER DEPOSITION SYSTEM AND METHOD인 US20100183825A1에 개시되어 있으며, 이는 모든 목적을 위해 그 전체가 본원에 통합된다.
정의
달리 특별히 언급되지 않는 한, 하기의 정의가 전반에 걸쳐 사용된다.
용어 정의
PEALD 플라즈마 강화 원자층 증착
ALD 원자층 증착
PLASMA 제 4 의 물질 상태. 이온으로 이루어지고, 고체 표면, 자유 라디칼 및 중성 부산물과 물리적으로 상호작용할 수 있고, 고체 표면 및 광자와 화학적으로 반응할 수 있는, 부분적으로 이온화된 가스 혼합물
FREE RADICAL 반응하여 안정적인 분자를 생성하기 이전에 적어도 하나의 홀전자를 포함하고 짧은 시구간 동안 존재하는 원자 또는 원자단
아이템 번호 목록
달리 특별히 언급되지 않는 한, 하기의 아이템 번호가 전반에 걸쳐 사용된다.
# 설명 # 설명
100 PEALD 시스템 170 제 1 진공 밸브
105 반응 챔버 175 ALD 트랩
110 기판 180 터보 분자 진공 펌프
115 기판 코팅 표면 185 진공 게이트 밸브
120 가스 및 전구체 공급 모듈 190 제 2 진공 도관
125 전자 제어기 195 제 2 진공 밸브
130 제 1 전구체 포트 및 도관 196 압력 센서
135 제 2 전구체 포트 및 도관 198 트랩 가열기
140 플라즈마 생성기 200 교번의 제 2 전구체 공급부
145 제 2 펄스 밸브 202 기화기
146 질량 유량 제어기 205 밸브
150 제 1 펄스 밸브 210 비활성 가스 공급 라인
155 메인 진공 펌프 211 비활성 가스 유입 포트
160 진공 플랜지 215 밸브
165 제 1 진공 도관 220 밸브
예시적인 시스템 아키텍쳐
이하 도 1을 참조하면, 본 발명에 따른 비제한적인 예의 PEALD 시스템 (100) 이 개략적으로 도시된다. PEALD 시스템 (100) 은 가열된 척 (111) 에 의해 제공되는 기판 지지체 표면 상에 지지되는 기판 (110) 을 수납하는 PEALD 구성의 반응 챔버 (105) 를 포함한다. 기판은, 반응 챔버로 전달된 전구체 증기 및/또는 플라즈마 자유 라디칼이 상향 대면하는 코팅 표면 (115) 에 침입하도록 코팅 위치에서 지지된다. 다른 비제한적인 예시의 실시형태에서, 반응 챔버는 본 발명으로부터 벗어나지 않고도 각 기판이 상향 대면하는 코팅 표면 (115) 을 갖는 가열된 척 (111) 에 의해 제공되는 지지체 표면 상에서 복수의 기판 (110) 을 지지할 수 있다.
가스 공급 모듈
가스 및 전구체 공급 모듈 (120) 은 액체 및 고체 전구체 재료를 저장하는데 사용되는 밀폐 용기에 저장되고, 및/또는 가스 전구체를 저장하는데 사용되는 가압 가스 실린더에 저장되는, 다양한 공정 증기 및 가스의 공급부를 포함하며, 예컨대, O2, N2, H2, NH3에 한정되지 않지만 이들을 포함하는 반응성 플라즈마 가스와 같은 가스 전구체, 및 캐리어 가스 및/또는 퍼지 가스로서 유용한 비활성 가스 및 가스 제 1 전구체가 있다. 가스 모듈 (120) 은 또한 선택된 전구체, 캐리어 가스 및/또는 퍼지 가스를 반응 챔버 (105) 에 전달하는데 필요한 입력 가스 혼합물의 원하는 질량 유량을 개방, 폐쇄 또는 확립하기 위해 전자 제어기 (125) 에 의해 동작가능한, 제어가능 밸브 또는 질량 유량 조절기 (145) 및 (150) 을 포함한다.
액체 및 가스 전구체 캐니스터는, 기화하거나 또는 그렇지 않은 경우 전구체의 증기압을 증가시켜 반응 챔버 내로 신속하게 증기 흐름을 촉진하는데 유용한 가스 버블러 또는 기타 기화 디바이스를 포함할 수도 있다. 가스 및 전구체 모듈 (120) 은, 캐리어 가스 및/또는 퍼지 가스 또는 양자로서 유용한 비활성 가스 및/또는 액체 또는 고체 전구체로부터 얻어진 전구체 증기 재료를 혼합, 디렉팅 및/또는 조절하기 위해 필요에 따라 전자 제어기 (125) 에 의해 제어가능한 다른 가스 및 증기 흐름 조절 엘리먼트들을 더 포함할 수 있다.
본 실시형태에서, 가스 및 전구체 공급 모듈 (120) 은, 소망의 전구체 온도에서 각각의 전구체를 전달하고 증기압을 증가시키려는 필요에 따라, 전구체 캐니스터를 예열하거나 그렇지 않은 경우 전구체 재료를 가열하기 위해서 제공된 미도시의 전구체 히터를 더 포함한다. 하나의 비제한적 예의 실시형태에서, 전구체는 약 70℃의 온도로, 바람직하게는 전구체 증기의 열 파괴 온도 미만의 온도로 가열된다. 부가하여, 가스 및 전구체 모듈 (120) 은, 전자 제어기 (125) 에 피드백 신호를 제공하고 하나 이상의 상이한 고체 재료층으로 하나 이상의 상이한 기판 표면 재료를 코팅하기 위해 복수의 상이한 재료 코팅 사이클을 수행하기에 필요한 상이한 전구체 조합을 제공하는 복수의 상이한 동작 모드로 PEALD 시스템을 동작시키기 위해, 필요에 따라, 미도시된 하나 이상의 압력 조절기, 질량 유량 조절기 또는 흐름 제한기 및 각종 압력, 온도 및 유량 센서 등을 포함한다.
비제한적인 예시의 PEALD 시스템 (100) 은 후술되는 바와 같이 반응 챔버 (105) 로의 두가지 전구체 전달 경로를 포함하지만 하나의 전달 경로는 본 발명으로부터 벗어나지 않고도 사용가능하다. 제 1 전구체 포트 및 입력 도관 (130) 은 플라즈마 전구체를 반응 챔버 (105) 에 전달한다. 제 1 전구체 포트 및 입력 도관 (130) 은 기판 코팅 표면 (115) 상부 위치에 배치되고, 그리고 제 1 전구체 포트 및 입력 도관 (130) 은, 기판 (110) 이 가열된 척 (111) 에 의해 제공된 기판 지지체 표면 상에 의해 지지되는 경우, 전구체 입력 스트림으로 하여금 기판 코팅 표면 (115) 의 중심에 근접하여 침해하게 하는 입력 각도에서 전구체 입력 재료를 바람직하게 디렉팅하는 각도로 배열된다. 제 2 전구체 포트 및 입력 도관 (135) 은, 플라즈마 생성기 (140) 의 내부에 배치된 미도시된 플라즈마 여진기 튜브를 포함하는 플라즈마 생성기 (140) 를 통과한다. 플라즈마 생성기 (140) 는 플라즈마 여진기 튜브 내부의 제 2 전구체를 여기하거나 또는 여기하지 않기 위해 전자 제어기 (125) 에 의해 제어된다. 이에 따라서 비-플라즈마 전구체 및/또는 퍼지 가스도 또한, 단순히 플라즈마 생성기 (140) 를 작동하지 않음으로써 제 2 전구체 유입 포트 및 도관 (135) 을 통해 반응 챔버 (105) 로 전달가능하다.
제 1 전구체 포트 및 도관 (130) 및 제 2 전구체 포트 및 도관 (135) 의 각각은 제 1 전구체 포트 및 입력 도관 (130) 을 통한 제 1 전구체의 흐름을 조절하기 위한 제 1 펄스 밸브 (150) 와 같은 제어가능한 가스 조절 엘리먼트, 제 2 전구체 포트 및 입력 도관 (135) 을 통한 플라즈마 전구체의 흐름을 조절하기 위한 질량 유량 제어기 (146) 및/또는 선택적 제 2 펄스 밸브 (145) 를 포함하거나 또는 이들과 연관된다. 바람직한 실시형태에서, 제 1 펄스 밸브 (150), 질량 유량 제어기 (146) 및 선택적인 제 2 펄스 밸브 (145) 의 각각은 전구체 공급 용기에 근접한 가스 흐름 모듈 (120) 내부에 수납된다. 그러나 명확성을 위해, 도 1은 제 1 전구체 입력 포트 및 도관 (130) 을 따라 배치되어 통과하는 가스 흐름을 조절하는 제 1 펄스 밸브 (150) 를 도시한다. 제 1 전구체 펄스 밸브 (150) 는 반응 챔버 (105) 로 전달되도록 선택된 제 1 전구체 가스의 소망 체적에 대응하는 펄스 지속기간 동안 개방 및 폐쇄하도록 전자 제어기 (125) 에 의해 제어가능하다. 플라즈마 전구체의 경우, 명료함을 위해, 도 1은 제 2 전구체 포트 및 도관 (135) 을 따라 배치된 질량 유량 제어기 (146) 를 도시하지만, 그것은 바람직하게 가스 공급 모듈 (120) 내부에 배치된다. 질량 유량 제어기 (146) 는 반응 챔버 (105) 에 전달되도록 선택된 플라즈마 전구체의 원하는 질량 유량을 전달하기 위해 제 2 전구체 입력 포트 및 도관 (135) 을 통과하는 플라즈마 가스 전구체의 질량 유량을 조절하는데 사용된다. 질량 유량 제어기 (146) 는 전자 제어기 (125) 에 의해 제어가능하고, 통과하는 가스의 질량 유량을 변경하도록 동작가능하다.
PEALD 시스템 (100) 은 전자 제어기 (125) 에 의해 제어가능한 선택적인 제 2 펄스 밸브 (145) 를 더 포함할 수도 있다. 제 2 펄스 밸브 (145) 는 기판 (110) 의 노출된 표면과 반응하는 비-플라즈마 제 2 전구체를 사용하여 열 ALD 증착 사이클을 수행하는데 사용가능하다. 열 ALD 증착 사이클 동안 질량 유량 제어기 (146) 는 제 2 전구체의 질량 유량을 조절하는데 사용되지 않는다. 대신에 선택적인 제 2 전구체 펄스 밸브 (145) 가, 반응 챔버 (105) 로 전달되도록 선택된 제 2 전구체 가스의 소망 체적에 대응하는 펄스 지속기간 동안 개방 및 폐쇄하도록 전자 제어기 (125) 에 의해 제어가능하다.
도 1에 도시된 바와 같이, 제 2 비-플라즈마 전구체가 제 2 전구체 포트 및 입력 도관 (135) 을 통해 반응 챔버 (105) 로 전달될 수 있음을 강조하기 위해 선택적인 제 2 펄스 밸브 (145) 가 제 2 전구체 포트 및 입력 도관 (135) 과 연관되어 도시되지만, 선택적인 제 2 펄스 밸브 (145) 가 가스 및 전구체 공급 모듈 (120) 내에 배치되는 것이 바람직하다. 대안으로 선택적인 제 2 펄스 밸브 (145) 가, 제 2 의 비-플라즈마 전구체를 제 1 전구체 포트 및 입력 도관 (130) 을 통해 반응 챔버 (105) 로 전달하기 위해 제 1 전구체 포트 및 입력 도관 (130) 과 연관될 수 있다. 따라서 하나의 선택적 열 ALD 동작 실시형태에서, 제 1 의 비-플라즈마 전구체는 제 1 전구체 포트 및 입력 도관 (130) 을 통해 반응 챔버 (105) 로 전달되고, 제 1 펄스 밸브 (150) 에 의해 조절되며; 그리고, 제 2 비-플라즈마 전구체는 제 2 전구체 포트 및 도관 (135) 을 통해 반응 챔버 (105) 로 전달되고, 플라즈마 여기 없이 선택적인 제 2 펄스 밸브 (145) 에 의해 조절된다.
다른 선택적 열 ALD 실시형태에서, 제 1 의 비-플라즈마 전구체는 제 1 전구체 포트 및 입력 도관 (130) 을 통해 반응 챔버 (105) 로 전달되고, 제 1 펄스 밸브 (150) 에 의해 조절되며; 그리고, 제 2 비-플라즈마 전구체는 제 1 전구체 포트 및 도관 (130) 을 통해 반응 챔버 (105) 로 전달되고 선택적인 제 2 펄스 밸브 (145) 에 의해 조절된다. 하나의 비제한적인 예에서, 제 1 펄스 밸브 (150) 및 선택적인 제 2 펄스 밸브 (145) 의 펄스 지속기간은 1 ~ 15 밀리초 범위이다. 추가하여, 각각의 제 1 및 제 2 펄스 밸브 (150) 및 (145) 의 펄스 밸브 지속기간은 전자 제어기 (125) 에 의해, 사용자 입력에 의해 또는 펄스 밸브 (150) 및 (145) 의 기계적인 조절에 의해 독립적으로 제어가능하다.
각각의 제 1 및 제 2 펄스 밸브 (150) 및 (145), 및 질량 유량 제어기 (146) 는 활성 가스 공급 라인 (210) 에 연결된 비활성 가스 유입 포트 (211) 를 포함할 수도 있다. 각각의 제 1 및 제 2 펄스 밸브 (150) 및 (145), 및 질량 유량 제어기 (146) 에 제공된 비활성 가스 유입 포트 (211) 는 비활성 가스 공급 라인 (210) 으로부터 비활성 가스를 수용하고, 그 비활성 가스를 제 1 전구체 포트 및 도관 (130) 또는 제 2 전구체 포트 및 도관 (135) 중 대응하는 하나를 통해 반응 챔버 (105) 내로 전달한다. 바람직한 실시형태에서, 비활성 가스 유입 포트 (211) 는 항상 개방되어 있으며, 이로써 비활성 가스의 연속 흐름이 각각의 비활성 가스 포트 (211) 를 통해 반응 챔버 (105) 로 통과할 수 있게 한다. 다른 실시형태들에서, 제 1 펄스 밸브 (150) 및 제 2 펄스 밸브 (145) 는, 대응하는 펄스 밸브가 제어 모듈 (125) 에 의해 작동되는 경우를 제외하고는 대응하는 비활성 가스 포트 (211) 를 폐쇄하도록 동작가능하다. 어느 경우든, 비활성 가스 유입 포트 (211) 를 통해 유입되는 비활성 가스는 반응 챔버 (105) 로 흐르는 전구체와 혼합된다.
진공 시스템
비제한적인 예시의 PEALD 시스템 (100) 은 메인 진공 펌프 (155) 와 터보 분자 진공 펌프 (180) 를 포함한다. 메인 진공 펌프 (155) 는, 진공 플랜지 (160) 와 메인 진공 펌프 (155) 사이에서 연장되는 제 1 진공 도관 (165) 에 의해 진공 플랜지 (160) 에 유체 연결된다. 제 1 진공 밸브 (170) 는 전자 제어기 (125) 의 제어하에 제 1 진공 도관 (165) 을 개방 또는 폐쇄하도록 동작가능하다. 종래의 ALD 트랩 (175) 은 제 1 진공 도관 (165) 을 따라 배치되어, 제 1 진공 도관 (165) 을 통과하는 반응 챔버 (105) 로부터의 어떠한 유출물도 메인 진공 펌프 (155) 에 도달하기 전에 ALD 트랩 (175) 을 통과하게 한다. 특히, ALD 트랩 (175) 은 큰 내부 재료 표면적을 포함하며, 예를 들어 큰 내부 표면적의 재료 및 트랩에 의해 형성된 트랩 챔버 또는 유동관 내부에 배치된 복수의 근접한 금속판이나 필름이 제 1 진공 도관 (165) 을 통과하는 유출물에 포함된 임의의 미반응 전구체와 반응하기 위해 제공되어, 유출물이 메인 진공 펌프 (155) 에 도달하기 전에 유출물로부터 미반응 전구체를 실질적으로 제거하다. 본 실시형태 예에서, 메인 진공 펌프 (155) 는 약 10 밀리토르 (10-2 Torr) 로 반응 챔버를 펌핑할 수 있는 저가의 러핑 펌프이다.
터보 분자 진공 펌프 (180) 는 진공 게이트 밸브 (185) 를 통해 진공 플랜지 (160) 에 유체 연결된다. 터보 분자 진공 펌프 (180) 는, 진공 게이트 밸브 (185) 가 개방되는 때에 반응 챔버 (105) 로부터의 유출물을 인출한다. 터보 분자 진공 펌프 (180) 는 메인 진공 펌프 (155) 에 의해 제공될 수 있는 것보다 낮은 진공 압력을 반응 챔버 (105) 에서 제공하도록 동작한다. 낮은 압력은 기판의 노출된 표면에 플라즈마 여기된 제 2 전구체의 전달을 개선함으로써 플라즈마 강화 원자층 증착의 성능을 개선하는 경향이 있다. 진공 게이트 밸브 (185) 는 진공 플랜지 (160) 에 의해 형성된 반응 챔버 (105) 배출 포트를 개폐하도록 전자 제어기 (125) 에 의해 동작가능하며, 터보 분자 펌프 (180) 와 연관된 반응 챔버 (105) 배출 포트는 제 1 진공 도관 (165) 과의 그 연결과 연관된 진공 플랜지 (160) 를 통과하는 배출 포트보다 훨씬 더 크다. 아래에서 더 설명하는 바와 같이 진공 게이트 밸브 (185) 는 ALD 트랩 (175) 을 통해 반응 챔버 (105) 로부터 제 1 유출물을 제거하기 위해 폐쇄된다. 제 2 진공 도관 (190) 또는 전면 라인은, 전자 제어기 (125) 의 제어하에 제 2 진공 도관 (190) 을 개폐하도록 동작가능한 제 2 진공 밸브 (195) 를 포함하는 제 2 진공 도관 (190) 를 통해 터보 분자 진공 펌프 (180) 로부터 메인 진공 펌프 (155) 로 연장된다. 본 실시형태 예에서, 터보 분자 진공 펌프 (180) 는 약 1.0 μtorr, (10-6 Torr) 로 반응 챔버를 펌핑할 수 있는 고가의 마무리 펌프이다. 또한, 종래의 PEALD 시스템에 비해 터보 분자 진공 펌프 (180) 는 트랩을 통해 진공을 드로잉하지 않으며, 따라서 이는 트랩을 통해 진공을 드로잉하는 종래의 PEALD 진공 시스템보다 본 발명의 터보 분자 진공 펌프 (180) 를 더 효율적으로 만든다. 또한 본 발명의 일 측면에 따라 아래에서 더욱 설명되는 바와 같이, 제 1 전구체는 결코 터보 분자 진공 펌프 (180) 를 통과하지 않으며 이는 전구체 오염된 환경에서 동작하도록 구성되어서는 안되는 저가의 터보 분자 진공 펌프 (180) 의 사용을 허용한다.
동작 모드
비제한적인 예시의 PEALD 시스템 (100) 은 기판 또는 기판들 (110) 의 노출된 표면 상에, 특히 기판 코팅 표면 (115) 상에 복수의 재료층을 자동으로 증착하도록 동작가능하다. 단일층 증착 사이클은 기판 (110) 상의 증착 재료의 단일층을 증착하는 것을 지칭한다. 코팅 실행 또는 코팅 프로세스는 복수의 증착 사이클을 지칭한다. 하나의 비제한적인 예시의 코팅 실행에서, 개별 재료층의 원하는 코팅 두께 또는 수가 달성될 때까지 기판 (110) 상에 동일한 증착 재료의 많은 단일 층을 동일한 코팅 재료를 이용하여 증착하는 복수의 증착 사이클을 수행한다. 제 2 의 비제한적인 예시의 코팅 실행 또는 프로세스에서, 코팅 표면 상에 제 1 증착 재료의 복수의 층 두께를 증착하여 복수의 제 1 증착 사이클을 수행한다. 이후 PEALD 시스템 (100) 은 제 1 증착 재료의 층들 상부의 코팅 표면 상에 제 2 증착 재료의 복수의 층 두께를 증착하기 위해 수행된 복수의 제 2 증착 사이클을 수행하도록 자동 재구성된다. 코팅 실행 또는 프로세스의 말미에, 기판 (110) 은 제거되고 다른 비코팅된 기판으로 대체된다. 다양한 챔버 실시형태에서 복수의 기판이 코팅을 위해 지지될 수 있고, 모든 기판 (110) 이 코팅 실행 또는 프로세스에 의해 코팅될 수 있다.
상술한 바와 같이, 단일층 증착 사이클은 4가지 기본 단계들, 1) 코팅 표면을 제 1 전구체에 노출시키는 단계, 2) 반응 챔버 (105) 로부터 제 1 전구체를 퍼지하는 단계, 3) 코팅 표면을 제 2 전구체에 노출시키는 단계, 및 4) 제 2 전구체를 반응 챔버 (105) 로부터 퍼지하는 단계를 포함한다. 종래의 열 ALD 및 PEALD 시스템에서, 반응 챔버로부터 유출물을 제거하고 ALD 트랩을 통해 전체 유출물을 드로잉하도록 단일 터보 진공 펌프를 연속적으로 동작시킨다. 임의의 PEALD 시스템의 특정 경우에, 제 2 전구체는 높은 에너지 라디칼을 포함하는 플라즈마 전구체이다.
본 발명의 하나의 비제한적인 동작 실시형태에 따르면, 유출물이 제 1 전구체를 포함하는 상기 단계 1 및 2로부터의 유출물만이 메인 진공 펌프 (155) 에 의해 ALD 트랩 (175) 을 통해 드로잉되며, 이것은 메인 진공 펌프 (155) 를 통해 반응 챔버 (105) 로부터의 유출물을 배타적으로 드로잉하기 위해 진공 게이트 밸브 (185) 를 폐쇄함으로써 수행된다. 그렇지 않은 경우 유출물이 플라즈마 전구체를 포함하는 단계 3 및 4 동안, 유출물이 ALD 트랩 (175) 을 통해 드로잉되지 않지만, 대신에 터보 분자 진공 펌프 (180) 에 의해 반응 챔버 (105) 로부터 드로잉되고 이후 두 펌프가 동시에 동작하는 경우 메인 진공 펌프 (155) 에 의해 시스템 밖으로 배출된다. 구체적으로 단계 3 및 4 동안 진공 게이트 밸브 (185) 가 개방되고, 제 1 진공 밸브 (170) 가 폐쇄된다. 또한 플라즈마 전구체를 포함하는 유출물은, 플라즈마 라디칼이 진공 플랜지 (160) 에 도달하는 시간에 의해 필수적으로 비반응성인 것만큼 충분히 빨리 붕괴하는 경향이 있기 때문에, 전혀 트랩을 통과하지 않는다.
본 발명의 개선된 동작과 연관된 프로세스 단계들은 다음과 같다: 1) 제 1 전구체 포트 및 입력 도관 (130) 을 통해 전달되는 제 1 전구체에 코팅 표면 (115) 을 노출시키는 단계; 및 2) 진공 플랜지 (160) 로부터 빠져나가는 모든 유출물이 제 1 진공 도관 (165) 으로 전환되고 ALD 트랩 (175) 을 통해 드로잉되도록 진공 게이트 밸브 (185) 및 제 2 진공 밸브 (195) 를 폐쇄하고 제 1 진공 밸브 (170) 를 개방하면서 제 1 전구체를 반응 챔버 (105) 로부터 퍼지하는 단계. 구체적으로, 제 1 의 비-플라즈마 전구체가 ALD 트랩 (175) 을 통해 디렉팅되고, 이는 ALD 트랩 (175) 내에 배치된 트랩 재료의 표면으로 하여금 유출물로부터의 미반응의 제 1 전구체와 반응하여 이를 제거하도록 한다. 또한, 제 1 전구체 노출 단계 동안, ALD 트랩 (175) 을 통해 당기는 메인 진공 펌프 (155) 에 의해 독점적으로 반응 챔버 (105) 로부터 유출물이 드로잉되기 때문에 반응 챔버 (105) 를 통해 증기 유량이 감소하게 되고 압력이 증가하게 된다. 메인 진공 펌프 (155) 를 통과한 이후, 제 1 진공 도관 (165) 으로부터의 유출물이 배기로 배출된다.
이후, 1) 코팅 표면 (115) 을 제 1 전구체에 노출시키고; 그리고 2) 진공 게이트 밸브 (185) 및 제 2 진공 밸브 (195) 를 개방하고 제 1 진공 밸브 (170) 를 폐쇄하여 반응 챔버 (105) 로부터의 모든 유출물이 터보 분자 진공 펌프 (180) 를 통해 이후 제 2 진공 도관 (190) 내지 메인 진공 펌프 (155) 를 통해 배기 벤트로 전환되도록 하면서 제 1 전구체를 반응 챔버 (105) 로부터 퍼지한다. 이로써 제 2 전구체 노출 단계 동안에는, 플라즈마 전구체 라디칼이 진공 플랜지 (160) 에 도달하는 시간에 의해 실질적으로 모든 반응성 높은 라디칼 재료가 반응 챔버의 내부 표면과 반응하거나 또는 비반응성 상태로 붕괴되기 때문에 미반응 전구체를 트랩하기 위한 어떠한 트랩도 사용하지 않는다. 이로써 출원인은, 플라즈마 전구체의 경우, 반응 챔버로부터의 유출물에 남아있는 미반응 플라즈마 전구체가 실질적으로 없기 때문에, 단계 3 및 4의 플라즈마 전구체 노출로부터 초래되는 반응 챔버로부터 빠져나가는 유출물이 터보 분자 진공 펌프 (180) 를 손상시키지 않고 터보 분자 진공 펌프 (180) 를 통해 펌핑될 수 있고 결국 메인 진공 펌프 (155) 에 의해 배기로 벤팅될 수 있다. 또한, 펌프가 단계 1 및 2에서 제 1 전구체에 노출되지 않았기 때문에 터보 분자 진공 펌프 (180) 를 통과하는 유출물에 미반응 플라즈마 전구체가 있었다 하더라도, 본 발명의 구성으로 인해, 터보 분자 진공 펌프 (180) 의 표면은 제 2 전구체와의 반응을 위해 준비되지 않아 이에 따라 해로운 필름 증착 반응이 터보 분자 진공 펌프 (180) 의 내부 표면에 또는 제 2 진공 도관 (190) 의 내부 표면에 발생하지 않으며, 이로써 덜 고가인 터보 펌프의 이용을 가능하게 한다.
제 2 전구체 공급원
상술한 진공 시스템은 터보 분자 진공 펌프 (180) 의 펌프 효율을 개선하고 오염의 위험이 낮은 것으로 인해 저비용 터보 진공 펌프의 사용을 허용하지만, 두 개의 진공 펌프 진공 시스템은, 제 2 전구체를 ALD 트랩 (175) 으로 주입하기 위해 제공된 교번의 제 2 전구체 공급부 (200) 의 추가 없이 ALD 트랩 (175) 에 의한 제 1 전구체 제거의 계속을 허용하지 않는다. 구체적으로, 전술한 바와 같이, 제 1 전구체는 ALD 트랩 (175) 에 의해 제 1 진공 도관 (165) 을 통과하는 유출물로부터 제거된다. 이것은 제 1 전구체가 트랩 재료 표면과 반응하여 유출물로부터 제거될 때 발생한다. 또한, 유출물로부터 제 1 전구체를 제거하는 동일한 반응은, 트랩 재료 표면을 제 1 전구체와 미반응하게 또한 만들면서 제 2 전구체와의 반응을 위해 트랩 재료 표면을 준비한다. 그 결과 제 1 전구체만이 제 1 진공 도관 (165) 및 ALD 트랩을 통과하는 후속 증착 사이클에서는, 트랩 내부의 지금 반응성 트랩 재료 표면과 제 2 전구체 간의 반응을 완료하기 위한 어떠한 제 2 전구체도 ALD 트랩 (175) 으로 흐르지 않기 때문에 어떠한 추가 제 1 전구체도 유출물로부터 제거되지 않을 것이다. 따라서, 교번의 제 2 전구체 공급부 (200) 가 후술하는 바와 같이 제공된다.
본 발명은, 제 2 전구체가 ALD 트랩 (175) 에 전달될 때 ALD 트랩 (175) 내부의 트랩 재료 표면과의 반응을 완료하기 위해 하나 이상의 적합한 제 2 전구체의 공급으로 충진된 교번의 제 2 전구체 공급 모듈 (200) 을 포함한다. 특히, 제 2 전구체 공급 모듈 (200) 은 제 2 전구체를 ALD 트랩 (175) 으로 주입하도록 동작가능하며 제 2 전구체는 제 1 전구체에 의해 시작된 ALD 반응을 완료하기 위해 트랩 재료 표면과 반응한다. 이로써, 제 2 전구체와 트랩 표면 사이의 반응은, 다음의 증착 사이클에서 제 1 전구체와 반응하도록 트랩 재료 표면을 또한 준비하면서 트랩 재료 표면에 재료층을 형성한다.
하나의 비제한적인 예의 실시형태에서, 교번의 제 2 전구체 공급 모듈 (200) 은 수증기 (H2O) 의 공급원을 포함한다. 교번의 제 2 전구체 공급 모듈 (200) 은, 전자 제어기 (125) 에 의해 조작되는 제어가능 밸브 (205) 를 통해 ALD 트랩 (175) 으로 교번의 제 2 전구체를 전달하도록 구성된다. 밸브 (205) 는 제 1 진공 밸브 (170) 와 ALD 트랩 (175) 사이의 위치에서 교번의 제 2 전구체를 제 1 진공 도관 (165) 으로 방출하기 위해 개방된다. 밸브 (205) 가 개방되는 동안, 바람직하게 제 1 진공 밸브 (170) 는 교번의 제 2 전구체가 반응 챔버 (105) 를 오염시키지 않도록 하기 위해 폐쇄된다. 추가하여 밸브 (205) 가 개방되는 동안, 메인 진공 펌프 (155) 가 동작하여 제 1 진공 도관 (165) 을 통한 유출물을 드로잉하고 ALD 트랩 (175) 을 통한 교번의 제 2 전구체를 드로잉한다.
교번의 제 2 전구체 공급 모듈 (200) 은 바람직하게, 제 1 진공 밸브 (170) 와 ALD 트랩 (175) 사이에 위치하는 위치에서 제 1 진공 도관 (165) 과 매칭되는 비활성 가스 공급 라인 (210) 상의 가스 및 교번의 제 2 전구체 공급 모듈 (120) 로부터 수용되는, 비활성 캐리어 가스, 예를 들어 질소 또는 아르곤과 교번의 제 2 전구체를 혼합하도록 구성된다. 제어가능 밸브 (215) 또는 기타 가스 흐름 조절 엘리먼트는, 가스 및 전구체 공급 모듈 (120) 과 제 1 진공 도관 (165) 사이의 비활성 가스 공급 라인 (210) 을 따라 배치되어 캐리어 가스의 흐름을 조절한다.
교번의 제 2 전구체 공급 모듈 (200) 은 필요한 경우 교번의 제 2 전구체의 증기압을 기화 또는 증가시키도록 동작가능한 버블러 또는 기화기 (202) 를 포함할 수도 있다. 추가하여, 교번의 제 2 전구체 공급부 (200) 는 요구될 수도 있는 상이한 증착 화학물질과 함께 사용하기 위한 상이한 전구체 용기에 저장된 복수의 상이한 교번의 제 2 전구체를 수납할 수도 있다. 바람직하게, 각각의 교번의 전구체 용기는, 사용되고 있는 특정 증착 화학물질의 제 1 전구체에 매칭되는 교번의 제 2 전구체를 선택하고 선택된 교번의 제 2 전구체를 각 가스 증착 사이클의 적절한 주기로 ALD 트랩 (175) 으로 전달하도록 전자 제어기 (125) 에 의해 동작가능한 하나 이상의 가스 흐름 제어 엘리먼트를 포함한다. 또한 교번의 제 2 전구체 공급 모듈 (200) 은 가스 및 전구체 모듈 (120) 의 일부를 포함할 수도 있고 그 내부에 수납될 수도 있다. 이 구성에서, 교번의 제 2 전구체는 가스 및 전구체 공급 모듈 (120) 에서 비활성 캐리어 가스와 혼합될 수도 있고, 그 혼합물은 본 발명으로부터 벗어나지 않고도 비활성 가스 공급 라인 (210) 을 통해 ALD 트랩 (175) 으로 전달되고 밸브 (215) 에 의해 조절된다.
증착 사이클의 처음 두 단계; 1) 제 1 비-플라즈마 전구체에 코팅 표면 (115) 을 노출시키는 단계; 및 2) 반응 챔버 (105) 로부터 제 1 전구체 비-플라즈마를 퍼지하는 단계 동안의 동작에서, 제 1 진공 밸브 (170) 는 개방되고 진공 게이트 밸브 (185) 및 제 2 진공 밸브 (195) 는 폐쇄된다. 이것은 제 2 전구체 유출물이 제 2 터보 분자 진공 펌프 (180) 를 통과하지 않고도 메인 진공 펌프 (155) 를 통해 배기로 ALD 트랩 (175) 을 통해 시스템 밖으로 통과할 수 있게 한다. 한편 임의의 미반응의 제 1 전구체는 ALD 트랩 (175) 내부에 제공된 트랩 재료의 표면과 반응함으로써 유출물로부터 제거된다.
증착 사이클의 제 3 및 제 4 단계; 3) 제 2 (플라즈마) 전구체에 코팅 표면 (115) 을 노출시키는 단계; 및 4) 반응 챔버 (105) 로부터 제 2 (플라즈마) 전구체를 퍼지하는 단계 동안, 제 1 진공 밸브 (170) 는 폐쇄되고 진공 게이트 밸브 (185) 및 제 2 진공 밸브 (195) 는 개방된다. 이것은 제 2 전구체 유출물이 터보 분자 진공 펌프 (180) 를 통과한 다음 제 2 진공 도관 (190) 을 통과할 수 있게 하고 시스템 밖에서 배기 벤트로 메인 진공 펌프 (155) 를 통과할 수 있게 한다. 대안으로, 제 2 진공 도관 (190) 은 본 발명으로부터 벗어나지 않고도, 메인 진공 펌프 (155) 를 통과하지 않고 배기 벤트로 직접 반응 챔버 (105) 로부터 제거되는 배기 재료를 벤트하도록 구성될 수 있다. 한편 증착 사이클의 단계 3) 및 4) 동안, 제 2 전구체를 ALD 트랩 (175) 으로 전달하여 트랩 내부에 제공된 트랩 재료의 표면과 반응하기 위한 제 2 전구체를 ALD 트랩 (175) 으로 전달하기 위해서 밸브 (205) 를 개방하여 개방된 대안물을 방출하고, 또한 교번의 제 2 전구체를 ALD 트랩 (175) 으로 반송하기 위해서 밸브 (215) 를 개방하여 비활성 캐리어 가스를 제 1 진공 도관 (165) 으로 제공한다. 대안으로, 본 발명으로부터 벗어나지 않고도, 밸브 (251) 를 사용하지 않은채 (즉, 밸브 (215) 를 없애거나 또는 개방 유지할 수 있다), 비활성 가스의 연속 흐름을 비활성 가스 공급 라인 (210) 을 통해 흐르게 할 수도 있다. 추가 교번의 실시형태에서, 밸브 (215) 는 질량 유량 제어기, 제한기 또는 가스의 유량을 조절하기에 적합한 다른 엘리먼트를 대안으로 포함할 수도 있다.
다른 선택적 엘리먼트는 다양한 위치에서 가스 압력을 감지하기 위해 배치된, 전자 제어기 (125) 에 의해 판독가능한 하나 이상의 감압 엘리먼트 (196) 및 메인 진공 펌프 (155) 로부터 트랩을 분리하기에 유용한 동작가능의 또는 수동의 밸브 (220) 를 포함한다. 추가로, 제 1 및 제 2 진공 도관 (165) 및 (190) 을 두 위치에서 메인 진공 펌프 (155) 에 연결하여 도시하지만, 이것은 단지 개략도이고 실제 구성은 본 발명으로부터 벗어나지 않으면서도 상이할 수 있다. 특히, 제 1 진공 도관 (165) 만이 메인 진공 펌프 (155) 의 단일 플랜지에 연결된 상태에서, 제 2 진공 도관 (190) 은 ALD 트랩 (175) 과 메인 진공 펌프 (155) 사이의 제 1 진공 도관 (165) 과 조인할 수 있다.
트랩 구성들
ALD 트랩 조립체 (175) 는 2005년 6월 27일에 출원되고 2012년 6월 19일에 Monsma 등에게 승인되고 발명의 명칭이 VAPOR DEPOSITION SYSTEMS AND METHODS이고 그 전체가 참조로서 본원에 통합되는, 미국 특허 No. 8,202,575에 개시된 것과 같은 종래의 ALD 트랩 또는 필터를 포함한다. ALD 트랩 조립체 (175) 는 트랩 재료로 충진된 유체 유동관을 포함한다. 이상적으로 ALD 트랩 (175) 조립체는 소량 가스 흐름 저항 및 고진공 컨덕턴스를 제공하도록 구성된다.
ALD 트랩 조립체 (175) 는 트랩 히터 (198) 및 연관 온도 센서를 포함하며, 각각은 전자 제어기 (125) 와 전기 연통된다. 트랩 재료는 연장된 동작 기간 동안, 예를 들어 수만의 증착 사시클 동안 내부에 도입된 제 1 전구체 및 제 2 전구체와 반응하기에 충분한 표면적을 갖도록 형성된 복수의 상이한 엘리먼트들 또는 단일의 엘리먼트를 구성한다. 시간이 지남에 따라, 트랩 표면적 상에 구축된 재료층들은 트랩 성능을 저하시켜 트랩 엘리먼트를 제거할 수도 있고 양호한 트랩 성능을 유지하기 위해 필요에 따라 교체할 수도 있다.
바람직하게, 제 1 및 제 2 전구체로 하여금 트랩 재료 표면적과 반응하게 하고 코팅 프로세스가 가스 증착 반응 챔버 (105) 에서 수행되는 것에 의해 기판 표면 (115) 상에 코팅되는 것과 동일한 트랩 재료 표면 상의 재료층을 형성하게 하기 위해서, 트랩 재료 표면적은 코팅 표면 (115) 과 실질적으로 동일한 온도로 가열된다. 동작 동안, ALD 트랩 (175) 은 일반적으로 상승된 온도에서 유지된다. (예를 들어, ALD 트랩 (175) 이 적어도 부분적으로 배출 포트에 위치하는 경우인) 일부 실시형태에서, ALD 트랩 (175) 은 (예를 들면, 튜브형 히터에 의해 가열되는) 반응 챔버 (105) 로부터의 열전도에 의해 충분한 온도까지 가열된다. 따라서, 이들 실시형태에서, ALD 트랩 (175) 은 별도의 히터 (198) 를 가질 필요가 없다.
다양한 형태의 금속 트랩 재료가 바람직하지만, 다른 적합한 트랩 재료가 사용될 수 있다. 트랩 재료의 형태는 가스 흐름 저항을 감소시키기 위해 가스 흐름 방향에 평행한 높은 비율의 표면적으로 배열된 박막 호일을 포함한다. 주름진 금속 호일도 또한 사용가능하다. 호일을 사용하는 경우, ALD 트랩 (175) 의 실질적으로 모든 (즉, 99 % 초과의) 표면적은 가스 흐름 방향에 평행한다. 호일 트랩의 표면적은 주름지거나 압연된 호일 엘리먼트의 길이, 직경 및 주름을 증가시킴으로써 증가될 수 있다. ALD 트랩 (175) 재료의 선택시 고려사항은 트랩 재료의 열 팽창 계수를 코팅 재료에 매칭하여 ALD 트랩 (175) 내부의 코팅 재료의 크래킹 및 플래킹을 방지한다. 이에 따라 트랩 재료는 코바, 인바 및 다른 내열 및 내부식성 합금과 같은 엔지니어링된 금속의 호일을 포함할 수도 있다.
트랩 재료의 다른 형태는 스테인레스 강, 알루미늄 또는 구리를 포함하는 금속 울 또는 메시 스크린을 포함한다. 또한 활성탄 및 활성 알루미나 등과 같은 입상 재료가 유용하지만, 이들은 가스 흐름 저항을 증가시킨다. 또한, 본 발명은 바람직한 실시형태와 관련하여 상술하였지만, 이에 한정되지 않는다는 것을 당업자는 인식할 것이다. 상기 기재된 발명의 다양한 특징 및 양태는 개별적으로 또는 공동으로 사용될 수도 있다. 또한, 본 발명을 특정 응용예 (예를 들면 ALD 및 PEALD 가스 증착 시스템) 에 대해 특정 환경에서의 구현예와 관련하여 설명하였지만, 당업자는 그 유용성이 이에 한정되지 않으며 미반응 전구체를 반응시켜 유출물로부터 제거하는 것이 바람직한 임의의 개수의 환경 및 구현예에서 본 발명이 이롭게 이용될 수 있다는 것을 인식할 것이다. 이에 따라, 후술되는 청구항은 본원에 개시된 발명의 전체 범위 및 사상의 관점에서 해석되어야 한다.

Claims (20)

  1. 원자층 증착 방법으로서,
    - 증착 실행이 복수의 재료 증착 코팅 사이클들을 수행하는 것을 포함하는, 상기 증착 실행을 위해 반응 챔버에서 하나 이상의 기판들을 지지하는 단계;
    - 각각의 증착 코팅 사이클에 대해;
    - 상기 하나 이상의 기판들의 노출된 표면들과 반응시키기 위해 제 1 전구체를 상기 반응 챔버로 전달하는 단계;
    - 상기 반응 챔버로부터 제 1 유출물 (outflow) 을 제거하는 단계로서, 상기 제 1 유출물이 미반응의 제 1 전구체를 포함하는, 상기 제 1 유출물을 제거하는 단계;
    - ALD 트랩을 통해 상기 제 1 유출물을 통과시키는 단계로서, 상기 ALD 트랩이 상기 미반응의 제 1 전구체와 반응하는 트랩 재료의 표면들을 포함하는, 상기 제 1 유출물을 통과시키는 단계;
    - 상기 ALD 트랩을 통해 교번의 제 2 전구체를 통과시키는 단계로서, 상기 트랩 재료의 표면들이 다음의 코팅 사이클의 상기 제 1 유출물로부터 미반응의 제 1 전구체와 반응할 수 있게 만들고 상기 미반응의 제 1 전구체를 제거할 수 있게 만드는 방식으로 상기 교번의 제 2 전구체가 상기 트랩 재료의 표면들과 반응하며, 그리고 상기 교번의 제 2 전구체가 상기 반응 챔버로부터 인출되지 않는, 상기 교번의 제 2 전구체를 통과시키는 단계를 포함하는, 원자층 증착 방법.
  2. 제 1 항에 있어서,
    상기 제 1 전구체와 상기 하나 이상의 기판들의 상기 노출된 표면들 사이의 반응이 반응 온도에서 일어나고, 상기 방법은 상기 반응 온도에서 상기 트랩 재료의 표면들을 유지하는 단계를 더 포함하는, 원자층 증착 방법.
  3. 제 2 항에 있어서,
    상기 반응 챔버로부터 상기 제 1 유출물을 제거하는 단계는 상기 ALD 트랩을 포함하는 제 1 진공 도관을 통해 상기 제 1 유출물을 드로잉하기 위해 메인 진공 펌프를 동작시키는 것을 포함하는, 원자층 증착 방법.
  4. 제 3 항에 있어서,
    - 상기 반응 챔버로부터 상기 제 1 유출물을 제거한 이후 각각의 증착 코팅 사이클에 대해;
    - 상기 하나 이상의 기판들의 상기 노출된 표면들과 반응시키기 위해 자유 라디칼들을 포함하는 제 2 전구체를 상기 반응 챔버로 전달하는 단계;
    - 제 2 유출물을 상기 반응 챔버로부터 제거하는 단계를 더 포함하고;
    - 상기 제 2 유출물은 상기 ALD 트랩을 통과하지 않는, 원자층 증착 방법.
  5. 제 4 항에 있어서,
    상기 제 2 유출물을 상기 반응 챔버로부터 제거하는 단계는 제 2 진공 도관을 통해 상기 제 2 유출물을 드로잉하기 위해 상기 메인 진공 펌프를 동작시키는 것을 포함하는, 원자층 증착 방법.
  6. 제 5 항에 있어서,
    상기 ALD 트랩을 통해 상기 교번의 제 2 전구체를 통과시키고, 그리고 자유 라디칼들을 포함하는 상기 제 2 전구체를 상기 반응 챔버로 전달하는 단계가 동시에 수행되는, 원자층 증착 방법.
  7. 제 6 항에 있어서,
    상기 ALD 트랩을 통해 상기 교번의 제 2 전구체를 통과시키는 단계는, 상기 미반응의 제 1 전구체를 상기 제 1 유출물로부터 제거하고, 다음의 코팅 증착 사이클을 개시하기 위해서 상기 제 1 전구체를 상기 반응 챔버로 전달하기 이전에 발생하는, 원자층 증착 방법.
  8. 제 4 항에 있어서,
    상기 반응 챔버로부터 상기 제 2 유출물을 제거하기 이전에, 상기 ALD 트랩과 상기 반응 챔버 사이의 상기 제 1 진공 도관을 따라 배치된 제 1 진공 밸브를 폐쇄하고, 터보 분자 진공 펌프와 상기 메인 진공 펌프 사이에 연장되는 제 2 진공 도관과 유체 연통하는 상기 터보 분자 진공 펌프를 통해 상기 제 2 유출물을 제거하기 위한 액세스를 제공하기 위해 진공 게이트 밸브를 개방하는 단계 및 상기 제 2 진공 도관을 따라 배치된 제 2 진공 밸브를 개방하는 단계를 더 포함하는, 원자층 증착 방법.
  9. 제 4 항에 있어서,
    상기 반응 챔버로부터 상기 제 1 유출물을 제거하기 이전에, 터보 분자 진공 펌프와 상기 메인 진공 펌프 사이에 연장되는 제 2 진공 도관과 유체 연통하는 상기 터보 분자 진공 펌프를 통해 상기 제 1 유출물을 제거하기 위한 액세스를 방지하기 위해 진공 게이트 밸브를 폐쇄하는 단계 및 상기 반응 챔버와 상기 메인 진공 펌프 사이의 상기 제 2 진공 도관에 따라 배치된 제 2 진공 밸브를 폐쇄하는 단계를 더 포함하는, 원자층 증착 방법.
  10. 원자층 증착 방법으로서,
    - 반응 챔버에 지지된 하나 이상의 기판들의 노출된 표면들을 제 1 비-플라즈마 전구체에 노출하는 단계;
    - 제 1 유출물이 상기 반응 챔버에 남아있는 실질적으로 모든 미반응의 제 1 전구체를 포함하는, 상기 반응 챔버로부터 상기 제 1 유출물을 인출하기 위해 메인 진공 펌프를 동작시키는 단계로서;
    - 상기 제 1 유출물은 상기 반응 챔버와 상기 메인 진공 펌프 사이에서 연장되는 제 1 진공 도관을 통해 드로잉되고;
    - 미반응성의 제 1 전구체와 반응성이 있는 트랩 재료의 표면들을 포함하는 ALD 트랩이 상기 제 1 진공 도관을 따라 배치되어 실질적으로 모든 상기 미반응의 제 1 전구체를 상기 제 1 유출물로부터 제거하는,
    상기 메인 진공 펌프를 동작시키는 단계;
    - 상기 노출된 표면들을, 자유 라디칼들을 포함하는 제 2 의 플라즈마 여기된 전구체에 노출하는 단계;
    - 제 2 유출물이 상기 반응 챔버로부터의 상기 제 2 전구체를 실질적으로 모두 퍼지하는, 상기 반응 챔버로부터 상기 제 2 유출물을 인출하기 위해 터보 분자 진공 펌프를 동작시키는 단계로서;
    - 상기 제 2 유출물은 상기 터보 분자 진공 펌프를 통해 및 상기 터보 분자 진공 펌프와 상기 메인 진공 펌프 사이에서 연장되는 제 2 진공 도관을 통해 드로잉되며, 상기 제 2 유출물은 상기 ALD 트랩을 통해 드로잉되지 않는,
    상기 터보 분자 진공 펌프를 동작시키는 단계; 및
    - 상기 트랩 재료의 표면들과 반응하기 위해 상기 ALD 트랩으로 교번의 제 2 전구체를 전달하는 단계로서;
    - 상기 교번의 제 2 전구체는, 상기 트랩 재료의 표면들이 상기 제 1 유출물로부터의 미반응의 제 1 전구체와 반응할 수 있게 만들고 미반응의 제 1 전구체를 상기 제 1 유출물로부터 제거할 수 있게 만드는 방식으로 상기 트랩 재료의 표면들과 반응하는,
    상기 교번의 제 2 전구체를 전달하는 단계를 포함하는, 원자층 증착 방법.
  11. 재료 증착 사이클들을 수행하기 위한 원자층 증착 디바이스로서,
    - 하나 이상의 기판들을 지지하도록 구성된 반응 챔버;
    - 상기 하나 이상의 기판들의 노출된 표면들과 반응시키기 위해 상기 반응 챔버로 제 1 전구체를 전달하도록 제공된 제 1 전구체 도관 및 포트;
    - 상기 반응 챔버로부터 제 1 유출물을 제거하도록 구성된 진공 시스템으로서, 상기 제 1 유출물의 제거는 상기 반응 챔버로부터 실질적으로 모든 미반응의 제 1 전구체를 제거하는, 상기 진공 시스템;
    - 상기 제 1 유출물을 관통하여 수용하도록 배치된 트랩 재료의 표면들을 포함하는 ALD 트랩으로서, 상기 트랩 재료의 표면들은 상기 제 1 유출물로부터의 실질적으로 모든 상기 미반응의 제 1 전구체와 반응하고 상기 미반응의 제 1 전구체를 제거하는, 상기 ALD 트랩;
    - 교번의 제 2 전구체를 상기 ALD 트랩으로 전달하기 위해 상기 ALD 트랩과 유체 연통하는 교번의 제 2 전구체 공급원을 포함하고;
    - 상기 교번의 제 2 전구체는, 상기 트랩 재료의 표면들이 상기 제 1 전구체와 반응할 수 있게 만드는 방식으로 상기 트랩 재료의 표면들과 반응하는 재료를 포함하는, 원자층 증착 디바이스.
  12. 제 11 항에 있어서,
    상기 하나 이상의 기판들은 상기 재료 증착 사이클들 동안 반응 온도에서 유지되고,
    상기 디바이스는 전자 제어기와 통신하는 트랩 히터와 온도 감지 엘리먼트를 더 포함하고, 상기 트랩 히터와 온도 센서는 상기 반응 온도에서 상기 트랩 재료의 표면들을 유지하도록 동작가능한, 원자층 증착 디바이스.
  13. 제 11 항에 있어서,
    상기 트랩 재료는 상기 ALD 트랩을 통한 가스 흐름 방향에 평행하게 배치된 99% 를 초과하는 비율의 표면적으로 배열된 박막 호일들을 포함하는, 원자층 증착 디바이스.
  14. 제 11 항에 있어서,
    - 상기 노출된 표면들이 상기 제 1 전구체와 반응한 이후 상기 하나 이상의 기판들의 노출된 표면들과 반응시키기 위해 상기 반응 챔버로 제 2 전구체를 전달하도록 제공된 제 2 전구체 도관 및 포트;
    - 상기 제 2 전구체를 여기시키고 상기 제 2 전구체의 자유 라디칼을 생성하기 위해 상기 제 2 전구체 도관 및 포트와 연관된 플라즈마 생성기를 더 포함하고;
    - 상기 진공 시스템은 상기 반응 챔버로부터 제 2 유출물을 제거하고, 상기 제 2 유출물의 제거는 상기 ALD 트랩을 통해 상기 제 2 유출물을 통과시키지 않고 상기 반응 챔버로부터 실질적으로 모든 상기 제 2 전구체를 제거하는, 원자층 증착 디바이스.
  15. 제 14 항에 있어서,
    상기 진공 시스템은:
    - 진공 플랜지를 통해 상기 반응 챔버와 유체 연통하는 메인 진공 펌프;
    - 상기 진공 플랜지와 상기 메인 진공 펌프 사이에 배치된 제 1 진공 도관으로서, 상기 ALD 트랩이 상기 제 1 진공 도관을 따라 배치되는, 상기 제 1 진공 도관;
    - 상기 진공 플랜지와 상기 ALD 트랩 사이에서 상기 제 1 진공 도관을 따라 배치되는 제 1 진공 밸브를 포함하는, 원자층 증착 디바이스.
  16. 제 15 항에 있어서,
    - 상기 진공 플랜지를 통해 상기 반응 챔버와 유체 연통하는 터보 분자 진공 펌프;
    - 상기 반응 챔버와 상기 터보 분자 진공 펌프 사이에 배치된 진공 게이트 밸브로서, 상기 진공 플랜지를 통한 가스 흐름을 방지 또는 허용하도록 동작가능한, 상기 진공 게이트 밸브;
    - 상기 ALD 트랩을 통과하지 않고 상기 터보 분자 진공 펌프와 상기 메인 진공 펌프 사이에 배치되는 제 2 진공 도관;
    - 상기 터보 분자 진공 펌프와 상기 메인 진공 펌프 사이의 상기 제 2 진공 도관을 따라 배치되는 제 2 진공 밸브를 더 포함하는, 원자층 증착 디바이스.
  17. 제 16 항에 있어서,
    상기 메인 진공 펌프는 상기 반응 챔버를 10 millitorr (1.3 Pascal) 의 진공 압력으로 펌핑할 수 있는 러핑 (roughing) 펌프를 포함하고, 상기 터보 분자 진공 펌프는 상기 반응 챔버를 1.0 μtorr (0.000133 Pascal) 의 진공 압력으로 펌핑할 수 있는 마무리 펌프를 포함하는, 원자층 증착 디바이스.
  18. 제 11 항에 있어서,
    상기 교번의 제 2 전구체 공급원과 상기 ALD 트랩 사이에서 연장되는 유체 도관을 따라 배치된 제어가능 밸브를 더 포함하는, 원자층 증착 디바이스.
  19. 제 11 항에 있어서,
    상기 교번의 제 2 전구체를 비활성 가스 공급부에 의해 전달된 비활성 가스와 혼합하기 위해 상기 교번의 제 2 전구체 공급원과 연관된 상기 비활성 가스 공급부를 더 포함하는, 원자층 증착 디바이스.
  20. 제 14 항에 있어서,
    - 상기 제 1 전구체 도관 및 포트를 통한 제 1 전구체 흐름을 조절하도록 동작가능한 제 1 제어가능 펄스 밸브;
    - 상기 제 2 전구체가 플라즈마 전구체인 경우 상기 제 2 전구체 도관 및 포트를 통한 제 2 전구체 흐름을 조절하도록 동작가능한 제어가능 질량 유량 제어기;
    - 상기 제 2 전구체가 플라즈마 전구체가 아닌 경우 상기 제 2 전구체 도관 및 포트를 통한 제 2 전구체 흐름을 조절하도록 동작가능한 제 2 제어가능 펄스 밸브를 더 포함하고;
    - 상기 원자층 증착 디바이스는 플라즈마 강화 원자층 증착 사이클을 수행하도록 동작가능하며, 상기 제 2 전구체는 상기 플라즈마 생성기에 의해 여기되고 상기 제 1 유출물만이 상기 트랩을 통해 제거되며; 그리고
    - 상기 원자층 증착 디바이스는 열 원자층 증착 사이클을 수행하도록 동작가능하며, 상기 제 1 전구체 또는 제 2 전구체 중 어느 것도 상기 플라즈마 생성기에 의해 여기되지 않고 상기 제 1 유출물과 제 2 유출물의 양자가 상기 ALD 트랩을 통해 제거되는, 원자층 증착 디바이스.
KR1020167013788A 2013-11-26 2014-11-21 개선된 플라즈마 강화 ald 시스템 KR101733370B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361909121P 2013-11-26 2013-11-26
US61/909,121 2013-11-26
PCT/US2014/066916 WO2015080979A1 (en) 2013-11-26 2014-11-21 Improved plasma enhanced ald system

Publications (2)

Publication Number Publication Date
KR20160089375A KR20160089375A (ko) 2016-07-27
KR101733370B1 true KR101733370B1 (ko) 2017-05-08

Family

ID=53199572

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167013788A KR101733370B1 (ko) 2013-11-26 2014-11-21 개선된 플라즈마 강화 ald 시스템

Country Status (10)

Country Link
US (2) US10351950B2 (ko)
JP (1) JP6195671B2 (ko)
KR (1) KR101733370B1 (ko)
CN (1) CN105992836B (ko)
DE (1) DE112014005386B4 (ko)
FI (2) FI20195228A1 (ko)
GB (1) GB2538167B (ko)
SG (1) SG11201603347WA (ko)
TW (1) TWI588286B (ko)
WO (1) WO2015080979A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200137544A (ko) 2019-05-30 2020-12-09 주식회사 지에스티에스 원자층 증착을 위한 다이아프램 밸브의 응답 산출 장치

Families Citing this family (280)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016182648A1 (en) * 2015-05-08 2016-11-17 Applied Materials, Inc. Method for controlling a processing system
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US20170241019A1 (en) 2016-02-22 2017-08-24 Ultratech, Inc. Pe-ald methods with reduced quartz-based contamination
US20170260629A1 (en) 2016-03-08 2017-09-14 Ultratech, Inc. Quartz crystal microbalance assembly for ALD systems
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
FI127503B (en) * 2016-06-30 2018-07-31 Beneq Oy Method of coating a substrate and device
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102659195B1 (ko) 2016-07-11 2024-04-19 삼성전자주식회사 플라즈마 화학기상증착 장치 및 이를 이용한 Li 기반의 박막 형성방법
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
CA3035874A1 (en) * 2016-10-05 2018-04-12 F. Hoffmann-La Roche Ag Detection reagents and electrode arrangements for multi-analyte diagnostic test elements, as well as methods of using the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR20190104040A (ko) 2017-01-27 2019-09-05 울트라테크 인크. 기판-바이어스된 원자층 증착을 위한 향상된 전기적 절연을 가진 척 시스템 및 방법
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US9984869B1 (en) * 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6811146B2 (ja) * 2017-06-23 2021-01-13 東京エレクトロン株式会社 ガス供給系を検査する方法
JP6811147B2 (ja) * 2017-06-23 2021-01-13 東京エレクトロン株式会社 ガス供給系を検査する方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP6902991B2 (ja) * 2017-12-19 2021-07-14 株式会社日立ハイテク プラズマ処理装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
KR20200106090A (ko) 2018-01-31 2020-09-10 램 리써치 코포레이션 복수의 전구체들을 위한 매니폴드 밸브
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP6946248B2 (ja) * 2018-09-26 2021-10-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN109609931B (zh) * 2018-12-27 2021-05-07 北京北方华创微电子装备有限公司 原子层沉积装置及方法
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
DE102022102768A1 (de) 2022-02-07 2023-08-10 Stephan Wege Symmetrischer Prozessreaktor

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010017080A1 (en) 1999-02-18 2001-08-30 Paul Dozoretz Apparatus for controlling polymerized teos build-up in vacuum pump lines
US20060180026A1 (en) 2005-02-16 2006-08-17 Youfan Gu Method and apparatus for preventing products of TiCL4 and NH3 or other feed gas reactions from damaging vacuum pumps in TiN or other deposition systems
WO2006127693A2 (en) 2005-05-23 2006-11-30 Mks Instruments, Inc. Method and apparatus for preventing ald reactants from damaging vacuum pumps
US20100183825A1 (en) 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3287730B2 (ja) * 1995-04-20 2002-06-04 東京エレクトロン株式会社 混入物の除去装置、これを用いた処理装置の真空排気系及びそのメンテナンス方法
JP3544604B2 (ja) * 1996-12-16 2004-07-21 株式会社荏原製作所 切替式トラップ装置
US6099649A (en) * 1997-12-23 2000-08-08 Applied Materials, Inc. Chemical vapor deposition hot-trap for unreacted precursor conversion and effluent removal
US6354241B1 (en) * 1999-07-15 2002-03-12 Applied Materials, Inc. Heated electrostatic particle trap for in-situ vacuum line cleaning of a substrated processing
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
JP2004305950A (ja) 2003-04-09 2004-11-04 Tokyo Electron Ltd 排気トラップ、排気トラップのクリーニング方法、及び、反応処理装置
WO2004094694A2 (en) 2003-04-23 2004-11-04 Genus, Inc. Collection of unused precursors in ald
CN1788106B (zh) 2003-05-13 2011-06-08 东京毅力科创株式会社 使用原料气体和反应性气体的处理装置
WO2007001301A2 (en) * 2004-06-28 2007-01-04 Cambridge Nanotech Inc. Atomic layer deposition (ald) system and method
CN100554505C (zh) * 2004-06-28 2009-10-28 剑桥纳米科技公司 气相沉积系统和方法
US20060276049A1 (en) 2005-06-06 2006-12-07 Bailey Christopher M High efficiency trap for deposition process
JP5036354B2 (ja) * 2006-04-04 2012-09-26 東京エレクトロン株式会社 成膜装置の排気系構造、成膜装置、および排ガスの処理方法
JP2008288281A (ja) 2007-05-15 2008-11-27 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP2009020548A (ja) * 2007-07-10 2009-01-29 Brother Ind Ltd コンテンツ配信システム、閲覧端末およびコンテンツ配信要求制御プログラム
KR20110081840A (ko) * 2008-10-06 2011-07-14 버전스 엔터테인먼트 엘엘씨, 어 캘리포니아 리미티드 라이어빌러티 컴퍼니 아바타들을 음악적으로 상호작용하게 하는 시스템
JP2010141248A (ja) 2008-12-15 2010-06-24 Toshiba Corp 成膜装置及び成膜方法
US20110183079A1 (en) * 2009-08-31 2011-07-28 Penn State Research Foundation Plasma enhanced atomic layer deposition process
JP2011181681A (ja) * 2010-03-01 2011-09-15 Mitsui Eng & Shipbuild Co Ltd 原子層堆積方法及び原子層堆積装置
JP2012126977A (ja) 2010-12-16 2012-07-05 Ulvac Japan Ltd 真空成膜装置及び成膜方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010017080A1 (en) 1999-02-18 2001-08-30 Paul Dozoretz Apparatus for controlling polymerized teos build-up in vacuum pump lines
US20060180026A1 (en) 2005-02-16 2006-08-17 Youfan Gu Method and apparatus for preventing products of TiCL4 and NH3 or other feed gas reactions from damaging vacuum pumps in TiN or other deposition systems
WO2006127693A2 (en) 2005-05-23 2006-11-30 Mks Instruments, Inc. Method and apparatus for preventing ald reactants from damaging vacuum pumps
US20100183825A1 (en) 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200137544A (ko) 2019-05-30 2020-12-09 주식회사 지에스티에스 원자층 증착을 위한 다이아프램 밸브의 응답 산출 장치

Also Published As

Publication number Publication date
DE112014005386T5 (de) 2016-08-18
CN105992836B (zh) 2018-10-09
FI20195228A1 (en) 2019-03-26
WO2015080979A1 (en) 2015-06-04
DE112014005386B4 (de) 2018-12-20
FI128223B (en) 2019-12-31
GB2538167A (en) 2016-11-09
TWI588286B (zh) 2017-06-21
US20160281223A1 (en) 2016-09-29
KR20160089375A (ko) 2016-07-27
GB201607549D0 (en) 2016-06-15
GB2538167B (en) 2017-11-29
CN105992836A (zh) 2016-10-05
JP6195671B2 (ja) 2017-09-13
SG11201603347WA (en) 2016-05-30
JP2016540124A (ja) 2016-12-22
US20190284689A1 (en) 2019-09-19
US10351950B2 (en) 2019-07-16
FI20165435A (fi) 2016-05-25
TW201527582A (zh) 2015-07-16

Similar Documents

Publication Publication Date Title
KR101733370B1 (ko) 개선된 플라즈마 강화 ald 시스템
US11377732B2 (en) Reactant vaporizer and related systems and methods
JP4397188B2 (ja) 気化液体反応物のパルス的供給の方法および装置
JP6752555B2 (ja) 二次パージ対応aldシステムにおけるシャワーヘッド裏側の寄生プラズマを抑制するための方法及び装置
US10366898B2 (en) Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
US6905737B2 (en) Method of delivering activated species for rapid cyclical deposition
US20100266765A1 (en) Method and apparatus for growing a thin film onto a substrate
TWI232518B (en) Substrate processing device
JP2006052424A (ja) 薄膜形成装置及び薄膜形成方法
JP2004115916A (ja) 有機物気相蒸着装置及び有機物気相の蒸着方法
EP2047009B1 (en) Methods and apparatus for the vaporization and delivery of solution precursors for atomic layer deposition
US20210071301A1 (en) Fill vessels and connectors for chemical sublimators
KR20120011582A (ko) 기화기를 갖는 증착장치 및 증착방법
KR20240018235A (ko) 기판처리장치 및 기판처리방법
TW202326023A (zh) 前驅物容器
JP2009094424A (ja) 半導体装置の製造方法、及び基板処理装置
JP2004119486A (ja) 基板処理装置、及び半導体装置の製造方法
KR20240019568A (ko) 가스공급장치, 가스공급방법 및 이를 포함하는 기판처리시스템
KR20240077733A (ko) 기판 처리 장치

Legal Events

Date Code Title Description
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant