CN102820257B - 硅通孔结构和方法 - Google Patents

硅通孔结构和方法 Download PDF

Info

Publication number
CN102820257B
CN102820257B CN201110446671.7A CN201110446671A CN102820257B CN 102820257 B CN102820257 B CN 102820257B CN 201110446671 A CN201110446671 A CN 201110446671A CN 102820257 B CN102820257 B CN 102820257B
Authority
CN
China
Prior art keywords
passivation layer
lining
electric conducting
conducting material
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201110446671.7A
Other languages
English (en)
Other versions
CN102820257A (zh
Inventor
余振华
郑心圃
邱文智
蔡方文
蔡承佑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN102820257A publication Critical patent/CN102820257A/zh
Application granted granted Critical
Publication of CN102820257B publication Critical patent/CN102820257B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68372Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support a device or wafer when forming electrical connections thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/03001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/03002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/03452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/0347Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • H01L2224/0391Forming a passivation layer after forming the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05008Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0501Shape
    • H01L2224/05016Shape in side view
    • H01L2224/05018Shape in side view being a conformal layer on a patterned surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05023Disposition the whole internal layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05025Disposition the internal layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05073Single internal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05548Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • H01L2224/05559Shape in side view non conformal layer on a patterned surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05562On the entire exposed surface of the internal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13023Disposition the whole bump connector protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/14104Disposition relative to the bonding areas, e.g. bond pads, of the semiconductor or solid-state body
    • H01L2224/1411Disposition relative to the bonding areas, e.g. bond pads, of the semiconductor or solid-state body the bump connectors being bonded to at least one common bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1418Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/14181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16113Disposition the whole bump connector protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明涉及硅通孔结构和方法,公开了用于制造硅通孔的系统和方法。一个实施例包括:形成具有从衬底突出的衬里的硅通孔。钝化层形成在衬底和硅通孔的上方,并且钝化层和衬里从硅通孔的侧壁开始凹陷。然后,导电材料可以形成为与硅通孔的侧壁和顶面接触。

Description

硅通孔结构和方法
技术领域
本发明涉及半导体领域,具体地,涉及硅通孔结构和方法。
背景技术
通常,可以在半导体衬底中形成硅通孔来为半导体衬底的背侧提供电连接。通过提供这种电连接,在如前一代半导体工艺中仅位于半导体衬底单侧的电连接之外,可以扩展连接半导体衬底的可能性。此外,这种扩展允许半导体管芯的三维堆叠,其通过硅通孔进行连接并贯穿三维堆叠提供电源、地和信号线。
为了形成硅通孔,可以在半导体衬底的有源侧形成开口,其中,开口与位于半导体衬底中或上的有源器件相比更加深得延伸到半导体衬底中。然后,这些开口可以填充到导电材料。在开口被填充之后,通过例如化学机械抛光(CMP)或蚀刻工艺,可以将半导体衬底的背侧减薄,以露出导电材料,从而在导电材料与周围材料之间留下平面。然后,可以在平面的上方形成导电粘合层,以在硅通孔与将被形成的接触之间提供接口。
然而,硅通孔与接触相比相对较小的尺寸会引起非均匀电流分布,已知为在硅通孔与粘合层之间的接口处发生电流拥挤。除本身的问题之外,该电流拥挤还会引发电磁故障并引起结构内突出物和孔洞的形成。
发明内容
为解决上述问题,本发明提供了一种方法,包括:在衬底中形成硅通孔,硅通孔具有被衬里覆盖的侧壁;在衬底和衬里的上方共形地形成钝化层;使钝化层和衬里凹陷,以露出硅通孔的侧壁;以及与硅通孔的侧壁接触地形成导电材料。
其中,在相同的步骤中执行钝化层和衬里的凹陷。
其中,使钝化层和衬里凹陷还包括:使钝化层凹陷;以及使衬里凹陷,其中,在与使钝化层凹陷的步骤独立的步骤中执行衬里的凹陷。
其中,形成导电材料还包括:形成再分布层。
其中,衬里包括第一材料,以及钝化层包括第一材料。
其中,第一材料为氮化硅。
其中,形成导电材料还包括:形成胶粘层。
其中,胶粘层为钛。
其中,钝化层包括氮化硅、碳化硅、氮氧化硅、氧化硅或聚合物中的一种。
此外,本发明提供了一种方法,包括:在半导体衬底的第一侧的开口中形成衬里;使用第一导电材料填充开口;减薄半导体衬底的第二侧以露出衬里;使半导体衬底凹陷以便第一导电材料从半导体衬底的第二侧突出;在第一导电材料和半导体衬底的第二侧的上方形成钝化层,钝化层具有与第二侧相邻并接触的第一部分以及位于第一部分的上方并沿着第一导电材料的侧壁延伸的第二部分;使钝化层的第一部分和衬里凹陷,以露出第一导电材料的侧壁;以及与第一导电材料的顶面和侧壁物理接触地形成第二导电材料。
其中,形成第二导电材料还包括:形成胶粘层;形成晶种层;以及形成再分布层。
其中,形成第二导电材料还包括:形成接触焊盘。
其中,钝化层包括第一材料,并且衬里包括第一材料。
其中,形成第二导电材料还包括:在钝化层、衬里和第一导电材料的上方形成钛层。
此外,本发明提供了一种半导体器件,包括:硅通孔,从衬底突出,硅通孔具有侧壁;衬里,沿着远离衬里的侧壁延伸,衬里在到达硅通孔的顶面之前终止;钝化层,包括远离衬底第一距离的第一上表面和远离衬底第二距离的第二上表面,第二距离大于第一距离,并且第二上表面与衬里相邻;以及导电材料,位于硅通孔的侧壁和顶面的上方并与硅通孔的侧壁和顶面物理接触。
其中,导电材料还包括:胶粘层;以及再分布层。
其中,导电材料包括钛。
其中,钝化层和衬里均包括第一材料。
其中,第一材料包括氮化硅。
其中,钝化层包括组合层。
附图说明
为了更加完整地理解本发明的实施例及其优点,现在结合附图进行以下描述,其中:
图1示出了根据实施例的具有附接至载体的导电开口的半导体衬底;
图2示出了根据实施例的半导体衬底的第二侧的减薄;
图3示出了根据实施例的在硅通孔的上方形成钝化层;
图4示出了根据实施例的钝化层的平面化;
图5示出了根据实施例的钝化层和衬里的开槽;
图6示出了根据实施例的粘合层的形成;
图7示出了根据实施例的晶种层、接触焊盘和再分布层的形成;
图8示出了根据实施例的硅通孔与粘合层之间的接口的全貌图;以及
图9示出了根据实施例的半导体管芯与第一外部器件和第二外部器件的结合。
除非另有指定,不同附图中对应的标号和符合通常是指对应的部件。附图清楚示出了实施例的相对方面,并且并不需要按比例绘制。
具体实施方式
以下详细讨论本发明实施例的制造和用法。然而,应该理解,本公开提供了许多可以在各种特定环境下具体化的可应用新概念。所讨论的具体实施例仅仅示出了制造和使用所公开概念的特定方式,而不用于限制。
在特定环境,即,硅通孔中描述了实施例。然而,这些实施例还可以应用于其他导电接触。
现在,参照图1,示出了具有半导体衬底101的半导体管芯100,其中,半导体衬底101具有第一侧102和第二侧104。半导体衬底101的第一侧102可以具有形成在其中的TSV开口111和有源器件103、金属层105以及形成在其中和其上的第一导电凸起107。半导体衬底101可包括体硅(bulksilicon)、或者绝缘体上硅(SOI)衬底的掺杂或未掺杂的有源层。通常,SOI衬底包括半导体材料层,诸如硅、锗、硅锗、SOI、绝缘体上硅锗(SGOI)或者它们的组合。可使用的其他衬底包括多层衬底、梯度衬底或混合取向衬底。
硅通孔(TSV)开口111可形成在半导体衬底101的第一侧102中。可通过涂覆和显影适当的光刻胶(未示出)并去除暴露为期望深度的半导体衬底101来形成TSV开口111。TSV开口111可形成为延伸到半导体衬底101中,至少比形成在半导体衬底101中和/或上的有源器件103深,并且可以延伸到大于半导体衬底101的最终预期高度的深度。因此,虽然深度依赖于半导体管芯100的总体设计,但深度可以在从半导体衬底101的有源器件103开始的大约20μm和大约200μm之间,诸如从半导体衬底101的有源器件103开始的大约100μm的深度。
一旦TSV开口111形成在半导体衬底101内,TSV开口111就可以加衬有衬里113。例如,衬里113可以为由正硅酸乙酯(TEOS)形成的氧化物或氮化硅,尽管可选地可以使用任何适当的电介质材料。可以使用等离子体增强化学气相沉积(PECVD)工艺来形成衬里113,尽管可以可选地使用诸如物理气相沉积或热工艺的其他适当工艺。此外,衬里113可以形成为大约0.1μm和大约5之间的厚度,诸如大约1μm。
一旦沿着TSV开口111的侧壁和底部形成衬里113,就可以形成阻挡层(未示出)并且可以用第一导电材料115填充TSV开口111的剩余部分。第一导电材料115可包括铜,尽管可以可选地使用其他适当材料,诸如铝、合金、掺杂多晶硅、它们的组合等。可以通过将铜电镀到晶种层(未示出)上、填充并溢出TSV开口111来形成第一导电材料115。一旦填充了TSV开口111,就可以通过诸如化学机械抛光(CMP)的平面化工艺来去除TSV开口111外部的过量衬底113、阻挡层、晶种层和第一导电材料115,尽管还可以使用任何适当的去除工艺。
有源器件103在图1中被示为单个晶体管。然而,本领域的技术人员应该意识到,可以使用各种有源器件(诸如电容器、电阻器、电感器等)来生成用于半导体管芯100的设计的期望结构和功能要求。有源器件103可以使用任何适当的方法来形成在半导体衬底101的第一侧102内或其上。
金属层105形成在半导体衬底101的第一侧102和有源器件103的上方,并且被设计为连接各种有源器件103来形成功能电路。虽然在图1中示为单层的电介质和互连,但金属层105可以由电介质和导电材料的交替层来形成,并且可以通过任何适当的工艺(诸如沉积、镶嵌、双重镶嵌等)来形成。在一个实施例中,其可以为通过至少一个层间电介质层(ILD)与半导体衬底101隔离的四层金属层,但是金属层105的精确数目依赖于半导体管芯100的设计。
图1还示出了半导体衬底101的第一层102上的第一导电凸起107的形成。第一导电凸起107可包括诸如锡的材料或者诸如银或铜的其他适当材料。在第一导电凸起107为锡焊料凸起的实施例中,可初始地通过诸如蒸镀、电镀、印刷、移焊、焊球放置等的这些通用方法将锡层形成为例如大约10μm至大约100μm的厚度来形成第一导电凸起107。一旦锡层形成在结构上,就可以执行回流以将材料成形为期望的凸起形状。
一旦对半导体衬底101的第一侧102执行的工艺达到在半导体衬底101的第二侧104上发生的用于处理的适当点,载体117就可以利用粘合剂119附接至半导体管芯100。例如,载体117可包括玻璃、氧化硅、氧化铝等。在一个实施例中,粘合剂119可用于将载体117粘附至半导体管芯100。粘合剂119可以为任何适当的粘合剂,诸如紫外线(UV)胶,其中暴露给UV光时失去它的粘性。载体可具有大于约12密尔的厚度。
可选地,载体117可包括适当的载体带。如果利用载体带,载体带可以为通常已知的蓝膜。载体带可以使用位于载体带上的第二粘合剂(未示出)附接至半导体管芯100。
图2示出了半导体衬底101的第二侧104的减薄以露出TSV开口111(参见上面讨论的图1)并利用延伸穿过半导体衬底101的第一导电材料115形成TSV 201。在一个实施例中,半导体衬底101的第二侧104的减薄可以留下由衬里113加衬的TSV 201。可通过CMP和蚀刻的组合来执行半导体衬底101的第二侧104的减薄。例如,可以执行CMP工艺以去除块状的半导体衬底101。一旦去除了块状的半导体衬底101的第二侧104,然后就可以采用蚀刻工艺来使半导体衬底101的第二侧104凹陷并使得TSV201从半导体衬底101的第二侧104突出。在一个实施例中,TSV 201可以从半导体衬底101的第二侧104突出大约0.5μm与大约10μm之间的距离,诸如大约5μm。
本领域的技术人员应该意识到,用于形成TSV 201的上述工艺仅仅是形成TSV 201的一种方法,其他方法也可以完全包括在实施例的范围内。例如,还可以使用形成TSV开口111、用电介质材料填充TSV开口111、减薄半导体衬底101的第二侧104以露出电介质材料、去除电介质材料以及在使半导体衬底101的第二侧104凹陷之前利用导体填充TSV开口111。用于将TSV 201形成在半导体衬底101的第一侧102中的该方法以及所有其他适当方法均旨在完全包含在实施例的范围内。
可选地,TSV 201可以被形成为延伸通过金属层105。例如,可以在形成金属层105之后或者甚至部分地与金属层105同时形成TSV 201。例如,TSV开口111可以在单个工艺步骤中形成为穿过金属层105和半导体衬底101。可选地,在形成金属层105之前,可以在半导体衬底101内形成并填充一部分TSV开口111,并且随着分别形成每个金属层105来形成并填充TSV开口111的后续层。任何这些工艺以及可用于形成TSV 201的任何其他适当工艺都完全包括在实施例的范围内。
图3示出了半导体衬底101的第二侧104之上以及从半导体衬底101的第二侧104突出的TSV 201和衬里113之上的第一钝化层301的形成。第一钝化层301可以为类似于衬里113的电介质材料(诸如氮化硅),但是可选地可以为诸如碳化硅、氮氧化硅、氧化硅、聚合材料、它们的组合等的不同材料。此外,第一钝化层301可以为材料的单层或者可以为具有不同材料的多个子层的组合层。可以使用PECVD工艺形成第一钝化层301,尽管还可以可选地使用任何其他适当的工艺。
第一钝化层301可以共形地(comformally)形成在半导体衬底101的第二侧104和TSV 201的上方,并且可以形成为具有大约0.1μm与大约5μm之间的厚度,诸如大约1μm。通过相似地形成第一钝化层301,第一钝化层301可以具有两个上表面,顶部上表面303位于TSV 201的顶部上方,以及底部上表面305位于TSV 201的顶部下方。
图4示出了一旦形成了第一钝化层301就对第一钝化层301、衬里113和第一导电材料115进行平面化以露出TSV 201内的第一导电材料115。例如,可通过CMP工艺或其他适当的平面化工艺来执行平面化,并且至少可以在通过第一钝化层301以及衬里113露出TSV 201的第一导电材料115之后继续。此外,可以停止平面化工艺以维持第一钝化层301的顶部上表面303和底部上表面305的分离。如此,第一钝化层301沿着TSV 201和衬里113的侧壁保持第一钝化层301的一部分。
图5示出了第一钝化层301和衬里113从TSV 201的侧壁开始的凹陷。在第一钝化层301和衬里113为诸如氮化硅的类似材料的实施例中,第一钝化层301和衬里113可以通过例如使用蚀刻剂(诸如CxFy或HF,其对氮化硅具有选择性并且将不会从TSV 201中显著去除第一导电材料115)湿式或干式蚀刻来同时去除。
第一钝化层301和衬里113的凹陷可以继续,直到TSV 201的侧壁从第一钝化层301突出大约0.1μm与大约5μm之间(诸如大约1μm)。然而,可以在从TSV 201的侧壁完全去除第一钝化层301和衬里113之前停止凹陷。如此,会在第一钝化层301的底部上表面305、顶部上表面303和衬里113以及TSV 201的顶面之间形成楼梯台阶图样。
在第一钝化层301和衬里113为类似材料(诸如具有类似蚀刻选择性的材料)的实施例中,第一钝化层301和衬里113可以在单个工艺步骤中凹陷。可选地,如果第一钝化层301和衬里113为不同材料,或者甚至期望独立的工艺步骤,则可以在一个工艺步骤中使第一钝化层301凹陷,以及在与其独立的工艺步骤中使衬里113凹陷。如此,第一钝化层301可以比衬里113凹陷的多或少,例如,TSV 201可以从衬里113突出大约0.1μm至大约5μm的距离(诸如大约2μm),以及可以从第一钝化层301突出大约0.1μm至大约5μm的距离(诸如大约2μm)。可以可选地使用用于使第一钝化层301和衬里113凹陷的工艺步骤任何适当的组合,并且所有这些组合都完全包括在实施例内。
图6示出了粘合层601在第一钝化层301、衬里113和TSV 201之上的形成。粘合层601帮助将第一钝化层301、衬里113和TSV 201粘附至随后形成的材料(诸如晶种层,其在图6中未示出但是以下参照图7示出并进行讨论)。粘合层601可以为钛、氮化钛、钽、氮化钽、它们的组合等,并且可以通过诸如CVD的工艺来形成,尽管还可以任选地使用任何适当的工艺。此外,粘合层601可以被形成为大约和大约之间的厚度,诸如大约
图7示出了晶种层701、接触焊盘703和再分布层705的形成。晶种层701可用作用于进一步沉积材料以形成接触焊盘703和再分布层705的引发剂(initiator)。晶种层701可通过PVD、CVD、溅射等来沉积,并且可以由铜、镍、金、钛、铜合金、它们的组合等来形成,尽管可以可选地根据预期来使用其他方法和材料。此外,晶种层701可具有大约和大约之间的厚度。
一旦形成了晶种层701,就可以形成光刻胶(未示出)来覆盖晶种层701,并且可以对光刻胶进行图样化以露出晶种层701中位于预期接触焊盘703和再分布层705的那些部分。例如,光刻胶可以被图样化以在一个TSV201的上方形成接触焊盘703的形状,同时光刻胶还可以在其他两个TSV201的上方被图样化以提供再分布层705来连接两个TSV 201。
在光刻胶被图样化之后,可以在晶种层701上镀上第二导电材料707以形成接触焊盘703和再分布层705。第二导电材料707可包括铜,尽管可以可选地利用诸如铝、合金、掺杂多晶硅、它们的组合等的其他适当材料。第二导电材料707可以形成为大约1μm和大约10μm之间的厚度(诸如大约3μm),并且可以通过将铜电镀到图样化的晶种层701上来形成,尽管还可以可选地利用用于形成第二导电材料707的任何适当的可选工艺。
一旦形成了第二导电材料707,就可以通过诸如灰化的适当去除工艺来去除光刻胶。此外,在去除光刻胶之后,例如可以通过将第二导电材料707用作掩模的适当蚀刻工艺来去除晶种层701被光刻胶覆盖的那些部分。
图8示出了图7中的区域801的特写,并部分示出了一个TSV 201与再分布层705之间的接口区域的特写。从图中可以看出,通过部分地使衬里113和第一钝化层301从TSV 201的侧壁开始凹陷,在除了只有TSV 201的顶面之外,增加了TSV 201与粘合层601之间的接口的表面积。通过增加接口的表面积,可以减小TSV 201与再分布层705(和其他接触)之间的电流拥挤问题,从而在减少形成孔洞和突出物的同时提供更加有效的系统。
图9示出了半导体管芯100内的TSV 201以例如堆叠结构与第一外部器件901和第二外部器件902接触的放置。在一个实施例中,第二钝化层911可以形成在第一钝化层301的上方以及形成在接触焊盘703和再分布层705的上方。第二钝化层911可以与第一钝化层301类似,诸如为通过PECVD工艺形成的氮化硅层。然而,第二钝化层911可以可选地为其他材料(诸如碳化硅、氮氧化硅、氧化硅、聚合材料、它们的组合等)以及可以通过任何适当的工艺来形成。此外,第二钝化层911可以被形成为大约0.1μm和大约5μm之间的厚度,诸如大约1μm。
一旦被形成,第二钝化层911就可以被图样化以露出接触焊盘703和再分布层705。可以使用光刻掩模和蚀刻工艺来执行第二钝化层911的图样化,从而在第二钝化层911的上方形成光刻胶(未示出)并露出为期望图样。在曝光之后,光刻胶被显影以去除第二钝化层911的预期部分,并露出接触焊盘703和再分布层705的下部。
一旦露出了接触焊盘703和再分布层705的预期部分,就可以形成第二导电凸起913以建立与接触焊盘703和再分布层705的电连接。可以以与第一导电凸起107(上面参照图1进行讨论)类似的方法和类似的材料来形成第二导电凸起913。然而,第二导电凸起913可以可选地利用与第一导电凸起107不同的工艺或材料来形成。
例如,第一外部器件901可以为印刷电路板、半导体封装衬底或者如图9所示为具有第二衬底903、第二有源器件905、第二金属层907和第三导电凸起909的第二半导体管芯。然而,第一外部器件901并不限于任何本文所列的器件,而是可以可选地为适合于与半导体管芯100接触的任何器件。
类似于第一外部器件901,例如,第二外部器件902也可以为第三半导体管芯、半导体封装衬底或者如图9所示为印刷电路板。然而,第二外部器件902并不限于任何本文所列的器件,而是可以可选地为适合于与半导体管芯100接触的任何器件。
在图9所示的实施例中,半导体管芯100可以例如以堆叠的倒装芯片结构连接至第一外部器件901和第二外部器件902。在该实施例中,半导体管芯100被定位为使得第二导电凸起913与第三导电区域909物理接触,并且被定位为使得第一导电凸起107与第二外部器件902物理接触。一旦被定位,第一导电凸起107、第二导电凸起913和第三导电凸起909就被加热并且施加压力以液化第一导电凸起107、第二导电凸起913和第三导电凸起909,并将第三导电凸起909结合至第二导电凸起913以及将第一导电凸起107结合至第二外部器件902。这种回流帮助建立半导体管芯100的第二导电凸起913与第一外部器件901的第三导电凸起909之间的电接触以及建立第一导电凸起107与第二外部器件902之间的另一电接触。
根据一个实施例,提供了一种方法,包括:在衬底中形成硅通孔,硅通孔具有被衬里覆盖的侧壁。在衬底上方和衬里上方相似地形成钝化层,并且使钝化层和衬里凹陷以露出硅通孔的侧壁。与硅通孔的侧壁接触地形成导电材料。
根据另一个实施例,提供了一种方法,包括:在半导体衬底的第一侧的开口中形成衬里;以及利用第一导电材料填充开口。半导体衬底的第二侧被减薄以露出衬里,并且半导体衬底凹陷使得第一导电材料从半导体衬底的第二侧突出。在半导体衬底的第二侧和第一导电材料的上方形成钝化层,钝化层具有与第二侧相邻并接触的第一部分和在第一部分之上并沿着第一导电材料的侧壁延伸的第二部分。使钝化层的第一部分和衬里凹陷以露出第一导电材料的侧壁,并且第二导电材料被形成为与第一导电材料的侧壁和顶面物理接触。
根据又一实施例,提供了一种半导体器件,包括从衬底突出的硅通孔,硅通孔具有侧壁。衬里沿着远离衬底的侧壁延伸,衬里在到达硅通孔的顶面之前终止。钝化层包括远离衬底第一距离的第一上表面和远离衬底第二距离的第二上表面,第二距离大于第一距离,第二上表面与衬里相邻。导电材料在硅通孔的侧壁和顶面的上方并与硅通孔的侧壁和顶面物理接触。
尽管详细描述了实施例及其优点,但应该理解,在不背离实施例的精神和范围的情况下可以进行各种改变、替换和变化。例如,可以改变用于形成硅通孔的精确方法和材料,而仍然在实施例的范围之内。此外,组合层可用于钝化层或衬里,也仍然在实施例的范围之内。
此外,本申请的范围不限于说明书中描述的处理、机器、制造、物质组成、装置、方法和步骤的特定实施例。如本领域的技术人员可以从本发明实施例的公开所容易理解的,可以根据本公开利用现有或稍后开发的执行与本文所描述对应实施例基本相同的功能并实现基本相同结果的处理、机器、制造、物质组成、装置、方法或步骤。因此,所附权利要求用于在它们的范围内包括这些处理、机器、制造、物质组成、装置、方法或步骤。

Claims (20)

1.一种制造半导体器件的方法,包括:
在衬底中形成硅通孔,所述硅通孔具有被衬里覆盖的侧壁;
在所述衬底和所述衬里的上方共形地形成钝化层;
使所述钝化层和所述衬里凹陷,以露出所述硅通孔的侧壁,所述钝化层在凹陷所述钝化层和所述衬里之后具有两个不同的厚度;以及
与所述硅通孔的侧壁接触地形成导电材料。
2.根据权利要求1所述的方法,其中,在相同的步骤中执行所述钝化层和所述衬里的凹陷。
3.根据权利要求1所述的方法,其中,使所述钝化层和所述衬里凹陷还包括:
使所述钝化层凹陷;以及
使所述衬里凹陷,其中,在与使所述钝化层凹陷的步骤独立的步骤中执行所述衬里的凹陷。
4.根据权利要求1所述的方法,其中,形成导电材料还包括:形成再分布层。
5.根据权利要求1所述的方法,其中,所述衬里包括第一材料,以及所述钝化层包括所述第一材料。
6.根据权利要求5所述的方法,其中,所述第一材料为氮化硅。
7.根据权利要求1所述的方法,其中,形成所述导电材料还包括:形成胶粘层。
8.根据权利要求7所述的方法,其中,所述胶粘层为钛。
9.根据权利要求1所述的方法,其中,所述钝化层包括氮化硅、碳化硅、氮氧化硅、氧化硅或聚合物中的一种。
10.一种制造半导体器件的方法,包括:
在半导体衬底的第一侧的开口中形成衬里;
使用第一导电材料填充所述开口;
减薄所述半导体衬底的第二侧以露出所述衬里;
使所述半导体衬底凹陷以便所述第一导电材料从所述半导体衬底的所述第二侧突出;
在所述第一导电材料和所述半导体衬底的所述第二侧的上方形成钝化层,所述钝化层具有与所述第二侧相邻并接触的第一部分以及位于所述第一部分的上方并沿着所述第一导电材料的侧壁延伸的第二部分;
使所述钝化层的所述第一部分和所述衬里凹陷,以露出所述第一导电材料的侧壁,所述钝化层在凹陷所述钝化层和所述衬里之后具有两个不同的厚度;以及
与所述第一导电材料的顶面和侧壁物理接触地形成第二导电材料。
11.根据权利要求10所述的方法,其中,形成所述第二导电材料还包括:
形成胶粘层;
形成晶种层;以及
形成再分布层。
12.根据权利要求10所述的方法,其中,形成所述第二导电材料还包括:形成接触焊盘。
13.根据权利要求10所述的方法,其中,所述钝化层包括第一材料,并且所述衬里包括所述第一材料。
14.根据权利要求10所述的方法,其中,形成所述第二导电材料还包括:在所述钝化层、所述衬里和所述第一导电材料的上方形成钛层。
15.一种半导体器件,包括:
硅通孔,从衬底突出,所述硅通孔具有侧壁;
衬里,沿着远离所述衬底的侧壁延伸,所述衬里在到达所述硅通孔的顶面之前终止;
钝化层,包括远离所述衬底第一距离的第一上表面和远离所述衬底第二距离的第二上表面,所述第二距离大于所述第一距离,并且所述第二上表面与所述衬里相邻,所述第二上表面比所述硅通孔的顶面更接近所述衬底;以及
导电材料,位于所述硅通孔的所述侧壁和所述顶面的上方并与所述硅通孔的所述侧壁和所述顶面物理接触。
16.根据权利要求15所述的半导体器件,其中,所述导电材料还包括:
胶粘层;以及
再分布层。
17.根据权利要求15所述的半导体器件,其中,所述导电材料包括钛。
18.根据权利要求15所述的半导体器件,其中,所述钝化层和所述衬里均包括第一材料。
19.根据权利要求18所述的半导体器件,其中,所述第一材料包括氮化硅。
20.根据权利要求15所述的半导体器件,其中,所述钝化层包括组合层。
CN201110446671.7A 2011-06-09 2011-12-28 硅通孔结构和方法 Active CN102820257B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/157,137 2011-06-09
US13/157,137 US8900994B2 (en) 2011-06-09 2011-06-09 Method for producing a protective structure

Publications (2)

Publication Number Publication Date
CN102820257A CN102820257A (zh) 2012-12-12
CN102820257B true CN102820257B (zh) 2015-03-18

Family

ID=47292479

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110446671.7A Active CN102820257B (zh) 2011-06-09 2011-12-28 硅通孔结构和方法

Country Status (2)

Country Link
US (5) US8900994B2 (zh)
CN (1) CN102820257B (zh)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8513119B2 (en) 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US20100171197A1 (en) 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
KR101840846B1 (ko) * 2012-02-15 2018-03-21 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US10002820B2 (en) * 2012-02-28 2018-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via layout pattern
KR101916225B1 (ko) * 2012-04-09 2018-11-07 삼성전자 주식회사 Tsv를 구비한 반도체 칩 및 그 반도체 칩 제조방법
US20140199833A1 (en) * 2013-01-11 2014-07-17 Applied Materials, Inc. Methods for performing a via reveal etching process for forming through-silicon vias in a substrate
CN103165543B (zh) * 2013-02-08 2015-11-18 日月光半导体制造股份有限公司 半导体元件及其制造方法与封装构造
US9768048B2 (en) 2013-03-15 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package on-package structure
CN104051411B (zh) 2013-03-15 2018-08-28 台湾积体电路制造股份有限公司 叠层封装结构
US9076699B2 (en) * 2013-05-03 2015-07-07 National Center For Advanced Packaging Co., Ltd. TSV backside reveal structure and exposing process
US9136822B2 (en) 2013-08-19 2015-09-15 Harris Corporation Microelectromechanical system with a micro-scale spring suspension system and methods for making the same
US9093975B2 (en) * 2013-08-19 2015-07-28 Harris Corporation Microelectromechanical systems comprising differential inductors and methods for making the same
US9172352B2 (en) 2013-08-19 2015-10-27 Harris Corporation Integrated microelectromechanical system devices and methods for making the same
CN104517919B (zh) * 2013-09-29 2017-10-31 日月光半导体制造股份有限公司 半导体组件及其制造方法
US9318413B2 (en) 2013-10-29 2016-04-19 Globalfoundries Inc. Integrated circuit structure with metal cap and methods of fabrication
US9318414B2 (en) 2013-10-29 2016-04-19 Globalfoundries Inc. Integrated circuit structure with through-semiconductor via
US9123493B2 (en) 2014-01-23 2015-09-01 Harris Corporation Microelectromechanical switches for steering of RF signals
US9768147B2 (en) * 2014-02-03 2017-09-19 Micron Technology, Inc. Thermal pads between stacked semiconductor dies and associated systems and methods
TWI581325B (zh) * 2014-11-12 2017-05-01 精材科技股份有限公司 晶片封裝體及其製造方法
JP6540228B2 (ja) * 2015-05-25 2019-07-10 富士通株式会社 半導体装置及びその製造方法
KR102634946B1 (ko) 2016-11-14 2024-02-07 삼성전자주식회사 반도체 칩
CN112164688B (zh) * 2017-07-21 2023-06-13 联华电子股份有限公司 芯片堆叠结构及管芯堆叠结构的制造方法
US10903142B2 (en) * 2018-07-31 2021-01-26 Intel Corporation Micro through-silicon via for transistor density scaling
US10943983B2 (en) * 2018-10-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits having protruding interconnect conductors
US10763199B2 (en) * 2018-12-24 2020-09-01 Nanya Technology Corporation Semiconductor package structure and method for preparing the same
US11177194B2 (en) * 2019-12-18 2021-11-16 Nanya Technology Corporation Semiconductor device with interconnect structure and method for preparing the same
EP3944290A1 (en) * 2020-07-21 2022-01-26 Infineon Technologies Austria AG Chip-substrate composite semiconductor device
US11600554B2 (en) * 2021-08-02 2023-03-07 Nvidia Corporation Interconnection structures to improve signal integrity within stacked dies

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101719488A (zh) * 2008-10-09 2010-06-02 台湾积体电路制造股份有限公司 具有锥形轮廓的再分布线的焊垫连接
CN101719484A (zh) * 2008-10-09 2010-06-02 台湾积体电路制造股份有限公司 具有再分布线的tsv的背连接

Family Cites Families (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05211239A (ja) 1991-09-12 1993-08-20 Texas Instr Inc <Ti> 集積回路相互接続構造とそれを形成する方法
US5426072A (en) 1993-01-21 1995-06-20 Hughes Aircraft Company Process of manufacturing a three dimensional integrated circuit from stacked SOI wafers using a temporary silicon substrate
DE4314907C1 (de) 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung von vertikal miteinander elektrisch leitend kontaktierten Halbleiterbauelementen
US5391917A (en) 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US5618752A (en) 1995-06-05 1997-04-08 Harris Corporation Method of fabrication of surface mountable integrated circuits
US5646067A (en) 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US6882030B2 (en) 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
EP2270845A3 (en) 1996-10-29 2013-04-03 Invensas Corporation Integrated circuits and methods for their fabrication
JPH10163315A (ja) 1996-11-28 1998-06-19 Nec Ic Microcomput Syst Ltd 半導体回路装置
US6037822A (en) 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
KR100281897B1 (ko) 1998-07-21 2001-03-02 윤종용 도전층을 갖는 반도체 장치의 제조방법
US6706189B2 (en) 1998-10-09 2004-03-16 Zenon Environmental Inc. Cyclic aeration system for submerged membrane modules
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP3998373B2 (ja) 1999-07-01 2007-10-24 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6417087B1 (en) 1999-12-16 2002-07-09 Agere Systems Guardian Corp. Process for forming a dual damascene bond pad structure over active circuitry
JP3626058B2 (ja) 2000-01-25 2005-03-02 Necエレクトロニクス株式会社 半導体装置の製造方法
JP2001291720A (ja) 2000-04-05 2001-10-19 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
US6444576B1 (en) 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US7053465B2 (en) 2000-11-28 2006-05-30 Texas Instruments Incorporated Semiconductor varactor with reduced parasitic resistance
US6717254B2 (en) 2001-02-22 2004-04-06 Tru-Si Technologies, Inc. Devices having substrates with opening passing through the substrates and conductors in the openings, and methods of manufacture
US6498381B2 (en) 2001-02-22 2002-12-24 Tru-Si Technologies, Inc. Semiconductor structures having multiple conductive layers in an opening, and methods for fabricating same
US6696360B2 (en) 2001-03-15 2004-02-24 Micron Technology, Inc. Barrier-metal-free copper damascene technology using atomic hydrogen enhanced reflow
US7224063B2 (en) 2001-06-01 2007-05-29 International Business Machines Corporation Dual-damascene metallization interconnection
US6599778B2 (en) 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
WO2003063242A1 (en) 2002-01-16 2003-07-31 Alfred E. Mann Foundation For Scientific Research Space-saving packaging of electronic circuits
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6642081B1 (en) 2002-04-11 2003-11-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
JP2003318178A (ja) 2002-04-24 2003-11-07 Seiko Epson Corp 半導体装置及びその製造方法、回路基板並びに電子機器
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US7030481B2 (en) 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
JP4213478B2 (ja) 2003-01-14 2009-01-21 株式会社ルネサステクノロジ 半導体装置の製造方法
JP4072677B2 (ja) 2003-01-15 2008-04-09 セイコーエプソン株式会社 半導体チップ、半導体ウエハ、半導体装置及びその製造方法、回路基板並びに電子機器
TWI241000B (en) * 2003-01-21 2005-10-01 Siliconware Precision Industries Co Ltd Semiconductor package and fabricating method thereof
JP4035066B2 (ja) 2003-02-04 2008-01-16 株式会社ルネサステクノロジ 半導体装置の製造方法
TWI239629B (en) 2003-03-17 2005-09-11 Seiko Epson Corp Method of manufacturing semiconductor device, semiconductor device, circuit substrate and electronic apparatus
JP2004297019A (ja) * 2003-03-28 2004-10-21 Seiko Epson Corp 半導体装置、回路基板及び電子機器
US6841883B1 (en) 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6908856B2 (en) 2003-04-03 2005-06-21 Interuniversitair Microelektronica Centrum (Imec) Method for producing electrical through hole interconnects and devices made thereof
US6924551B2 (en) 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US7111149B2 (en) 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
US6897125B2 (en) 2003-09-17 2005-05-24 Intel Corporation Methods of forming backside connections on a wafer stack
TWI251313B (en) 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
US7335972B2 (en) 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
US6878616B1 (en) 2003-11-21 2005-04-12 International Business Machines Corporation Low-k dielectric material system for IC application
US7049170B2 (en) 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US7060601B2 (en) 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
JP4467318B2 (ja) 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 半導体装置、マルチチップ半導体装置用チップのアライメント方法およびマルチチップ半導体装置用チップの製造方法
JP4339152B2 (ja) 2004-03-08 2009-10-07 富士通マイクロエレクトロニクス株式会社 配線構造の形成方法
JP4439976B2 (ja) 2004-03-31 2010-03-24 Necエレクトロニクス株式会社 半導体装置およびその製造方法
JP4327644B2 (ja) 2004-03-31 2009-09-09 Necエレクトロニクス株式会社 半導体装置の製造方法
WO2006019156A1 (ja) 2004-08-20 2006-02-23 Zycube Co., Ltd. 三次元積層構造を持つ半導体装置の製造方法
US7109068B2 (en) 2004-08-31 2006-09-19 Micron Technology, Inc. Through-substrate interconnect fabrication methods
JP4285373B2 (ja) 2004-09-01 2009-06-24 セイコーエプソン株式会社 マイクロレンズの製造方法、マイクロレンズ及びマイクロレンズアレイ、並びに電気光学装置及び電子機器
US7300857B2 (en) 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7262495B2 (en) 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
KR20060054690A (ko) 2004-11-16 2006-05-23 강준모 후면 입출력 단자를 갖는 반도체 장치 및 그 제조방법
KR101052366B1 (ko) 2004-11-16 2011-07-28 강준모 후면 입출력 단자를 갖는 반도체 장치 및 그 제조방법
KR20060054689A (ko) 2004-11-16 2006-05-23 강준모 후면 입출력 단자를 갖는 반도체 장치 및 그 제조방법
US20060113675A1 (en) * 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
JP2006339584A (ja) 2005-06-06 2006-12-14 Sharp Corp 半導体装置およびその製造方法
US7297574B2 (en) 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7429529B2 (en) 2005-08-05 2008-09-30 Farnworth Warren M Methods of forming through-wafer interconnects and structures resulting therefrom
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7772116B2 (en) 2005-09-01 2010-08-10 Micron Technology, Inc. Methods of forming blind wafer interconnects
JP5073946B2 (ja) 2005-12-27 2012-11-14 新光電気工業株式会社 半導体装置および半導体装置の製造方法
TWI287273B (en) 2006-01-25 2007-09-21 Advanced Semiconductor Eng Three dimensional package and method of making the same
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7514775B2 (en) 2006-10-09 2009-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked structures and methods of fabricating stacked structures
US7544605B2 (en) 2006-11-21 2009-06-09 Freescale Semiconductor, Inc. Method of making a contact on a backside of a die
JP4415984B2 (ja) 2006-12-06 2010-02-17 ソニー株式会社 半導体装置の製造方法
US8247322B2 (en) 2007-03-01 2012-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Via/contact and damascene structures and manufacturing methods thereof
US7564115B2 (en) 2007-05-16 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tapered through-silicon via structure
KR20080101635A (ko) 2007-05-18 2008-11-21 삼성전자주식회사 반도체 패키지, 그 제조 방법, 및 반도체 패키지를 이용한패키지 모듈 및 전자 제품
JP4937842B2 (ja) 2007-06-06 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
TW200910557A (en) 2007-06-20 2009-03-01 Flipchip Int Llc Under bump metallization structure having a seed layer for electroless nickel deposition
US20090057909A1 (en) 2007-06-20 2009-03-05 Flipchip International, Llc Under bump metallization structure having a seed layer for electroless nickel deposition
TWI351751B (en) 2007-06-22 2011-11-01 Ind Tech Res Inst Self-aligned wafer or chip structure, self-aligned
US8193092B2 (en) 2007-07-31 2012-06-05 Micron Technology, Inc. Semiconductor devices including a through-substrate conductive member with an exposed end and methods of manufacturing such semiconductor devices
KR101387701B1 (ko) 2007-08-01 2014-04-23 삼성전자주식회사 반도체 패키지 및 이의 제조방법
JP5346510B2 (ja) 2007-08-24 2013-11-20 本田技研工業株式会社 貫通配線構造
JP2009147218A (ja) 2007-12-17 2009-07-02 Toshiba Corp 半導体装置とその製造方法
JP5358089B2 (ja) 2007-12-21 2013-12-04 スパンション エルエルシー 半導体装置
US7804119B2 (en) 2008-04-08 2010-09-28 International Business Machines Corporation Device structures with a hyper-abrupt P-N junction, methods of forming a hyper-abrupt P-N junction, and design structures for an integrated circuit
US8178976B2 (en) * 2008-05-12 2012-05-15 Texas Instruments Incorporated IC device having low resistance TSV comprising ground connection
US8253230B2 (en) 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
JP5324822B2 (ja) 2008-05-26 2013-10-23 ラピスセミコンダクタ株式会社 半導体装置
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US20100013060A1 (en) 2008-06-22 2010-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a conductive trench in a silicon wafer and silicon wafer comprising such trench
KR20100021856A (ko) 2008-08-18 2010-02-26 삼성전자주식회사 관통 전극을 갖는 반도체장치의 형성방법 및 관련된 장치
US7772081B2 (en) 2008-09-17 2010-08-10 Stats Chippac, Ltd. Semiconductor device and method of forming high-frequency circuit structure and method thereof
US7855455B2 (en) 2008-09-26 2010-12-21 International Business Machines Corporation Lock and key through-via method for wafer level 3 D integration and structures produced
US7935571B2 (en) 2008-11-25 2011-05-03 Freescale Semiconductor, Inc. Through substrate vias for back-side interconnections on very thin semiconductor wafers
US8513119B2 (en) 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US8736050B2 (en) 2009-09-03 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Front side copper post joint structure for temporary bond in TSV application
US7999320B2 (en) 2008-12-23 2011-08-16 International Business Machines Corporation SOI radio frequency switch with enhanced signal fidelity and electrical isolation
US8097964B2 (en) 2008-12-29 2012-01-17 Texas Instruments Incorporated IC having TSV arrays with reduced TSV induced stress
US8264077B2 (en) 2008-12-29 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Backside metal of redistribution line with silicide layer on through-silicon via of semiconductor chips
US7910473B2 (en) 2008-12-31 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with air gap
US20100171197A1 (en) * 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8399354B2 (en) 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
US8119447B2 (en) * 2009-06-17 2012-02-21 Stats Chippac Ltd. Integrated circuit packaging system with through via die having pedestal and recess and method of manufacture thereof
US8158489B2 (en) * 2009-06-26 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of TSV backside interconnects by modifying carrier wafers
US8791549B2 (en) 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US9070679B2 (en) * 2009-11-24 2015-06-30 Marvell World Trade Ltd. Semiconductor package with a semiconductor die embedded within substrates
US8299633B2 (en) * 2009-12-21 2012-10-30 Advanced Micro Devices, Inc. Semiconductor chip device with solder diffusion protection
US8294261B2 (en) 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
US8273616B2 (en) 2010-02-19 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Gated-varactors
US20110227216A1 (en) 2010-03-16 2011-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Under-Bump Metallization Structure for Semiconductor Devices
US8587121B2 (en) 2010-03-24 2013-11-19 International Business Machines Corporation Backside dummy plugs for 3D integration
US8466059B2 (en) * 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8174124B2 (en) 2010-04-08 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy pattern in wafer backside routing
US9293366B2 (en) * 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
US8896136B2 (en) 2010-06-30 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark and method of formation
US8796135B2 (en) 2010-07-23 2014-08-05 Tessera, Inc. Microelectronic elements with rear contacts connected with via first or via middle structures
US8466061B2 (en) * 2010-09-23 2013-06-18 Infineon Technologies Ag Method for forming a through via in a semiconductor element and semiconductor element comprising the same
US8466553B2 (en) * 2010-10-12 2013-06-18 Advanced Semiconductor Engineering, Inc. Semiconductor device and semiconductor package having the same
US8344493B2 (en) * 2011-01-06 2013-01-01 Texas Instruments Incorporated Warpage control features on the bottomside of TSV die lateral to protruding bottomside tips
US20120193778A1 (en) * 2011-01-27 2012-08-02 Texas Instruments Incorporated Integrated circuit having protruding bonding features with reinforcing dielectric supports
US8273604B2 (en) 2011-02-22 2012-09-25 STAT ChipPAC, Ltd. Semiconductor device and method of forming WLCSP structure using protruded MLP
US8481425B2 (en) 2011-05-16 2013-07-09 United Microelectronics Corp. Method for fabricating through-silicon via structure
US8791009B2 (en) * 2011-06-07 2014-07-29 International Business Machines Corporation Method of forming a through-silicon via utilizing a metal contact pad in a back-end-of-line wiring level to fill the through-silicon via
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101719488A (zh) * 2008-10-09 2010-06-02 台湾积体电路制造股份有限公司 具有锥形轮廓的再分布线的焊垫连接
CN101719484A (zh) * 2008-10-09 2010-06-02 台湾积体电路制造股份有限公司 具有再分布线的tsv的背连接

Also Published As

Publication number Publication date
US20160181157A1 (en) 2016-06-23
US20140203439A1 (en) 2014-07-24
US20120313247A1 (en) 2012-12-13
US9997497B2 (en) 2018-06-12
US9633900B2 (en) 2017-04-25
US8952506B2 (en) 2015-02-10
US20150137361A1 (en) 2015-05-21
US9299676B2 (en) 2016-03-29
US8900994B2 (en) 2014-12-02
US20170221861A1 (en) 2017-08-03
CN102820257A (zh) 2012-12-12

Similar Documents

Publication Publication Date Title
CN102820257B (zh) 硅通孔结构和方法
CN102446830B (zh) 形成低成本的tsv
US8653648B2 (en) Zigzag pattern for TSV copper adhesion
US8866258B2 (en) Interposer structure with passive component and method for fabricating same
CN101558483B (zh) 三维ic方法和器件
KR101729378B1 (ko) 반도체 디바이스 및 반도체 디바이스 제조 방법
US20110057321A1 (en) 3-d multi-wafer stacked semiconductor structure and method for manufacturing the same
US20130313722A1 (en) Through-silicon via (tsv) semiconductor devices having via pad inlays
US20110095395A1 (en) Inductors and Methods for Integrated Circuits
CN107316840B (zh) 混合接合半导体晶片的3dic结构与方法
CN106206499B (zh) 半导体器件和方法
TWI447850B (zh) 直通基材穿孔結構及其製造方法
JP2012142533A (ja) 集積回路装置およびその調製方法
CN109390305B (zh) 一种键合晶圆及其制备方法
CN103824867B (zh) 电连接晶圆的方法和用该方法制造的半导体设备
CN103137566B (zh) 用于形成集成电路的方法
KR101398084B1 (ko) 집적 반도체 구조 형성 방법들 및 구조들
US10403772B2 (en) Electrical and optical via connections on a same chip
CN104733398A (zh) 一种晶圆三维集成引线工艺
CN104167353A (zh) 键合衬底表面的处理方法
TWI798975B (zh) 半導體裝置與其製造方法
CN110246800B (zh) 存储器及其制造方法、半导体器件
CN117594557A (zh) 具有导电环稳定化穿硅通孔的半导体装置互连件
CN103579088A (zh) 一种半导体器件硅通孔的制备方法
CN103779266A (zh) 背面穿硅通孔与金属连线制法、和背面用的光掩模制法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant