CN102810497A - 断裂晶片回收系统 - Google Patents

断裂晶片回收系统 Download PDF

Info

Publication number
CN102810497A
CN102810497A CN2012101639367A CN201210163936A CN102810497A CN 102810497 A CN102810497 A CN 102810497A CN 2012101639367 A CN2012101639367 A CN 2012101639367A CN 201210163936 A CN201210163936 A CN 201210163936A CN 102810497 A CN102810497 A CN 102810497A
Authority
CN
China
Prior art keywords
wafer
fracture
dust collecter
chamber
controller
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012101639367A
Other languages
English (en)
Other versions
CN102810497B (zh
Inventor
C·L·史蒂文斯
D·E·伯克斯特里瑟
W·T·布洛尼甘
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Orbotech LT Solar LLC
KLA Corp
Original Assignee
Orbotech LT Solar LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Orbotech LT Solar LLC filed Critical Orbotech LT Solar LLC
Publication of CN102810497A publication Critical patent/CN102810497A/zh
Application granted granted Critical
Publication of CN102810497B publication Critical patent/CN102810497B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • AHUMAN NECESSITIES
    • A47FURNITURE; DOMESTIC ARTICLES OR APPLIANCES; COFFEE MILLS; SPICE MILLS; SUCTION CLEANERS IN GENERAL
    • A47LDOMESTIC WASHING OR CLEANING; SUCTION CLEANERS IN GENERAL
    • A47L9/00Details or accessories of suction cleaners, e.g. mechanical means for controlling the suction or for effecting pulsating action; Storing devices specially adapted to suction cleaners or parts thereof; Carrying-vehicles specially adapted for suction cleaners
    • A47L9/28Installation of the electric equipment, e.g. adaptation or attachment to the suction cleaner; Controlling suction cleaners by electric means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • B08B5/04Cleaning by suction, with or without auxiliary action
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67271Sorting devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

用于回收和清除断裂基片的设备和方法,特别是有益于使用承载在托盘上的硅晶片的制造系统。能够进行断裂晶片和来自制造系统内部的颗粒的移除而不需拆卸系统和不需手工作业。

Description

断裂晶片回收系统
技术领域
本发明涉及用于在清洁环境内处理基片(如用于半导体的硅晶片、太阳能电池以及其它应用)的系统和方法。更具体地,本发明涉及用于处理断裂基片(特别是断裂硅晶片)的系统和方法。
背景技术
用于制造半导体晶片的现有系统一般利用主机架,其周围安装多个处理室。其它系统特别是用于太阳能电池制造的系统的结构与线路系统中的类似,其中以线性模式进行从一个室到下一个室的基片运输。不考虑使用的结构,在某些点处晶片必须从大气环境转换为真空环境。这是为了将晶片导入真空处理室如化学蒸汽淀积(CVD)、等离子体提高化学蒸汽淀积(PECVD)、物理汽相沉积系统(PVD)等。
在用于制造集成电路的许多系统中,晶片逐一地从室移至室。另一方面,用于太阳能电池制造的许多线性系统利用其上放置多个硅晶片的托盘。托盘可以线性模式从室移至室,或晶片能被单独地移动和放置在固定的托盘上,这样每个室内同时在一个托盘例如64个规格为125mm*125mm的基片上处理许多硅晶片。
可以理解,这些系统按照严格的处理规程在清洁的房屋内操作。但是,处理过程中或运输过程中系统内都偶尔发生晶片的断裂。这种断裂产生碎片、颗粒和灰尘,这可造成污染并且导致制造中断。一般地,当发生这种断裂时,系统不得不停止且被拆卸从而进行手工清除。这种中断从制造业生产量的角度看是损失惨重的,并且还需要手工作业进行清除。在太阳能电池制造中对系统生产量的影响是尤其严重的,其中通常每个系统的生产量都在每小时近1-3000个晶片。
发明内容
包括下面对本发明的概述从而提供对本发明的某些方面和特征的基本了解。该概述是对本发明的广泛综述并且并非意图等同于本发明的关键或重要元件或限定本发明的范围。它的目的仅为以简化形式提出本发明的某些观点,对下面将进行的详述的序言。
本发明的不同实施例提供了用于检测、回收和清除断裂基片的系统和方法。本发明的实施例特别适用于使用托盘上承载的硅晶片的制造系统。本发明的实施例能够从制造系统内移除断裂晶片和颗粒而不需要拆卸系统和不需手工作业。
根据本发明主题的不同实施例,提供一种用于基片处理系统内的断裂基片可移除系统,包括:具有入口的抽吸头;用于将抽吸头移至断裂基片位置的放置机构;抽吸泵;以及将抽吸头连接至抽吸泵的挠性软管。帽罩位于抽吸头入口处,并且在帽罩底部提供台阶延伸部/止挡延伸部(setback extensions)以使气流进入入口且阻止从托盘至帽罩的热传导。多个可移动针围绕抽吸头入口延伸出以使晶片破碎为更小的碎片以便于清除。头部放置机构可被构造为提供沿一个方向线性运动的第一台架和提供沿垂直方向线性运动的第二台架。可选地,头部放置机构可被构造为提供旋转运动的可旋转枢轴和提供线性运动的臂。停放和处理站使得不使用抽吸头时能够停放抽吸头,并且使得能处理被抽吸头移除的碎片。光学传感器如数字式摄像机连接于控制器以检测断裂晶片,并监视和/或控制放置机构从而将抽吸头放置在断裂晶片位置上方,并且确认在操作后断裂晶片是否已经成功地被移除。
根据本发明的实施例,公开了用于在制造系统中从支撑多个晶片的支撑板移除断裂晶片碎片的方法,包括:分析光信号以确定多个晶片之一是否断裂;当确定断裂晶片占据了支撑板上的位置时,将支撑板传输至交换站,将抽吸头放置在断裂晶片的位置上方,并且触发抽吸泵从而移除断裂晶片碎片。可选地,当确定断裂晶片占据了支撑板上的位置时,支撑板被移至第二光学传感器的位置从而验证在支撑板上有断裂晶片。在另一个实施例中,分析进来的晶片以确定在处理前晶片是否断裂或损坏。可疑的晶片从进入托盘被移除从而最小化在处理设备内晶片断裂的可能性。
本发明的其它方面和特征将根据此处所述的不同实施例的描述更为清楚,并且其处于所附权利要求限定的本发明的范围和精神内。
附图说明
图1A和1B是示出用于实施本发明实施例的系统结构示例的主要部件的一般示意图。
图2A和2B是示出根据本发明实施例的系统结构的主要部件的一般示意图,示出安装在图1A和1B所示的系统的工厂接口上方的断裂基片回收系统。
图2C示出另一个实施例,示出线性排列的两个处理室以及带有设置在这两个处理室之间的断裂晶片回收系统的翻转站。
图3A是根据本发明实施例的断裂晶片回收系统的主要部件的一般示意图。
图3B是根据本发明另一实施例的断裂晶片回收系统的主要部件的一般示意图。
图4是进一步示出图3A和3B所示的断裂晶片回收系统的其它元件的一般示意图。
图5示出可用于在等离子体处理室内处理基片的基座。
此处参照在附图中例示的特定实施例描述本发明。但是应当了解,附图所示的不同实施例仅为示例性的而非对所附权利要求限定的本发明进行限制。
具体实施方式
本发明的不同实施例提供用于在例如半导体集成电路、太阳能电池、平板显示器、LED等的制造过程中回收断裂的晶片块的设备和方法。本发明的实施例特定地用于使用托盘来运输和/或处理晶片的系统。
图1A示出可用于实施本发明实施例的处理系统的示例。应当了解,其它结构和其它系统也可用于实施本发明,并且图1A所示的系统仅为示例。为简单起见,仅示出位于简单线性系统的一个末端处的一个单处理室100。在本实施例中,该处理室是等离子体处理室如PECVD(等离子增强化学汽相沉积工艺)或PVD(物理气相沉积)处理室。在室100的侧面上设置一个真空阀102以将托盘104导入室100。封闭样品载入室(loadlock chamber)110设置在室100的侧面上并且在封闭样品载入室110的入口处设置真空阀112。装载室120,也称之为工厂接口,设置在封闭样品载入室110的进入侧处,用于装载托盘104以处理晶片和从系统卸载处理后的晶片。
现在将详述图1A中所示的晶片流动,从装载在系统右侧上的晶片开始。带有基片的托盘104被装载入装载室120中。值得注意的是,托盘可保持在该系统内并且晶片被装载在系统内的托盘之上,或托盘可在系统外侧被装载并且被送至和装载在装载室120上。托盘104可运载例如64个以二维阵列排列的基片。随后托盘被导入封闭样品载入室110,闸门阀102和112关闭,并且真空泵被启动从而使得封闭样品载入室110与室100真空度匹配或与其充分接近。随后阀102打开并且托盘被移入室以便处理。也就是说,晶片在室100内被处理的同时晶片保持在托盘104上。在完成处理后,执行相反操作从而将托盘104从室100和封闭样品载入室110移走,这样就移走了处理的晶片并且装载新的待处理晶片。
图1B示出与图1A类似的系统,并且与图1A中类似的元件用相同的附图标记来表示。在图1B所示的实施例中,托盘104并不移入封闭样品载入室110。相反,在图1B所示的实施例中,在装载室120内晶片从托盘104被移走并且装载在特殊设计的晶片吊架118或者119的其中一个上。装载好的吊架,比如118,随后被移入封闭样品载入室110并且随后关闭阀112。随后在封闭样品载入室110内抽真空。一旦达到合适的真空度,阀102就打开并且晶片吊架移入处理室100,其中晶片从晶片吊架被移走并且被置于基座108上。之后晶片吊架从处理室100被移走,回到封闭样品载入室110,并且阀102关闭。随后处理室100被启动从而处理位于室内的基片。
其间,与上述处理同时,另一个晶片吊架119被置于装载室120内并且装载了新的基片。该装载好的吊架119随后被移入封闭样品载入室110,阀112关闭并且抽真空。当完成了室100内的处理时,阀102打开并且晶片吊架118从封闭样品载入室110被移入室100从而收集处理过的基片,并且吊架119从封闭样品载入室110被移入室100,这样就在室100内存放了待处理的新基片。当两个吊架都被移回封闭样品载入室110时,阀102关闭并且室100被启动从而处理这些新晶片。封闭样品载入室110随后通向大气压,之后阀112打开并且吊架118移入装载室120从而卸载其处理过的晶片并且装载待处理的新基片。
图2A是根据本发明实施例的系统结构的主要部件的一般示意图,示出安装在图1A所示的系统的工厂接口上的断裂基片回收系统。在图2A中,类似于图1A中所示的元件用类似的附图标记表示,只是用2xx系列来表示。在图2A中,装载室220备有接收来自控制器205的信号的第一感应机构244,一旦装载室已接收一套新晶片,该机构就检测进入和/或离开封闭样品载入室210的托盘204上的晶片是否断裂。在本实施例中,感应机构244是光敏元件或者照相机,其被置于如下所述的断裂晶片回收系统上。此外,设置第二感应机构246以检测处理室100内的断裂晶片。在本实施例中,感应机构246是与控制器205的图像处理器和监视器相连的照相机。在本实施例中的照相机246被置于封闭样品载入室210内并且被定向为当闸门阀202打开时观察室200内部。可设置照明源232以照亮被感应机构246所观察的区域。照明源232可被置于封闭样品载入室210内部,可设置在处理室外部以及通过窗口233照亮其内部等。控制器205内的图像处理器使用图像处理程序来确定晶片是否已在室200内部断裂,同时控制器205的监视器使得操作者能够确认或者忽略图像处理器的确定。监视器还使得当图像处理器错漏了这样的断裂时操作者能够指出晶片断裂。
图2A所示的断裂晶片回收系统具有沿着如X标记的双向箭头所示的X轴方向移动Y轴-移动台架252的X轴-移动台架250。Y轴-移动台架沿着如用Y标记的双向箭头所示的Y方向移动抽吸头254。抽吸头也可竖直移动,如用Z标记的双向箭头所示。这样,抽吸头可被置于托盘204上的任何坐标上方。当处理室200内识别出断裂晶片时,托盘204移至装载室220。相反,如果在进入或离开的晶片托盘上检测到断裂晶片,托盘被保持在装载室内以便移除断裂晶片。控制器205将抽吸头移至断裂晶片的位置,并且启动抽吸泵365从而将断裂晶片从托盘移除。感应机构244随后确认断裂晶片移除成功并且系统返回操作。
图2B是示出根据本发明实施例的系统结构的主要部件的一般示意图,示出安装在图1B所示系统的工厂接口之上的断裂基片回收系统。在图2B中,类似于图1B所示的元件用类似的附图标记表示,只是它们用2xx系列表示。在图2B中,装载室220配备有第一感应机构244,其接收来自控制器205的信号,一旦装载室接收了一组新晶片,它就检测进入和/或离开封闭样品载入室210的托盘204上的晶片的断裂。在本实施例中,感应机构244是位于如下所述的断裂晶片回收系统上的光敏元件、直通光束传感器、照相机等。此外,设置第二感应机构246从而检测处理室200内部的断裂晶片。在本实施例中,感应机构246是连接于控制器205的图像处理器和监视器的照相机。在本实施例中,照相机246被置于封闭样品载入室210内并且被定向为当闸门阀202打开时观察室200内部。照明源232可被置于封闭样品载入室210内部,可设置在处理室外部以及通过窗口233等照亮其内部。控制器205的图像处理器使用图像处理程序来确定室200内部的晶片是否已经断裂,同时控制器205的监视器使得操作者能够确认或者忽略图像处理器的确定。监视器还使得当图像处理器错漏了该断裂时操作者能够指出晶片断裂。
图2B所示的断裂晶片回收系统具有沿着如X标记的双向箭头所示的X轴方向移动Y轴-移动台架252的X轴-移动台架250。该Y轴-移动台架沿着如Y标记的双向箭头所示的Y轴方向移动抽吸头254。抽吸头也可竖直移动,如用Z标记的双向箭头所示。这样,抽吸头可被置于托盘204上的任何坐标上方。当识别出断裂晶片时,抽吸头被移至断裂晶片的位置,并且启动抽吸产生器从而从托盘移除断裂晶片。随后感应机构244确认断裂晶片的成功移除,并且系统返回操作。
此外,在图2B实施例中的吊架219配备有从室200内移除基座208并且将其移至装载室220的工具。当图像处理器或操作者识别了处理室200内的断裂晶片时,吊架219被移入处理室200并且接合基座208。随后它将基座208传输至装载室220。在装载室220处,抽吸头被移至断裂晶片的位置并且抽吸产生器被启动从而将断裂晶片从基座208移除。感应机构244随后确认断裂晶片的移除并且系统返回操作。
根据本发明的实施例,当图像处理器利用传感器246的图像来显示基座208上晶片已经断裂时,吊架219被用于接合基座并且将其送至传感器244以便二次检查。如果传感器244也显示晶片实际上是断裂的,那么吊架可用于将基座移至站220以便移除碎片。
根据另一个实施例,图2B的系统具有传感器244但不具有传感器246。根据该本实施例,当吊架被移入带有新晶片的封闭样品载入室时,传感器244被用于记录吊架上被晶片占据的每个位置。随后,当吊架被送回室从而移除处理的晶片时,传感器244再次被用于检查吊架上被晶片曾经占据过的所有位置是否仍然被晶片所占据。如果不是,这就显示晶片破裂并且仍然位于室内。随后吊架缩回基座并且被送至装载室220以便使用抽吸头从基座移除断裂晶片。
图2C示出另一个实施例,示出第一处理室200和第二处理室201。在第一与第二处理室之间设置翻转站221。当室210用于处理晶片的一个表面并且室201用于处理晶片的另一侧时,这种构型是有优势的。因此,在第一室200内处理晶片后,托盘204(或者吊架)被移至翻转站221,在此托盘204上的晶片被翻转。随后托盘204移入第二室201以待处理。当完成了第二室内的处理时,托盘被移至卸载室226。要注意,翻转站221处于大气压下,这样封闭样品载入室216和222设置在其两侧上,如图2C简略所示。此外,封闭样品载入室223设置在处理室201与卸载室226之间。
在该示例中,断裂晶片回收系统设置在翻转站226上。断裂晶片回收系统可形为上述图2A和2B所述的那种形式。和之前的示例一样,不同的传感器,此处为传感器244、246和248,与控制器205进行通信从而鉴定晶片的断裂。例如,如果传感器244、246或者248中任意一个检测到断裂晶片,托盘204就移至翻转机构并且用抽吸头254从托盘移除断裂晶片。传感器248可用于在晶片翻转和托盘进入室201之前核实断裂晶片是否完全从托盘移除。
图3A是根据本发明实施例的断裂晶片回收系统的主要部件的一般示意图。图3A所示的断裂晶片回收系统可用于上述任意一个实施例中或其它主框架、线性或者其它的系统结构中。回收系统包括抽吸头362和能够将抽吸头362放置在托盘304(或者吊架或者基座,如上所述)上方的任何位置的机构。在图3A的实施例中,放置机构包括第一台架364(gantry)和安装在框架360上的第二台架366。框架360可为装载室、翻转站等的框架。第一台架364沿一个线性方向如X轴方向移动抽吸头362,并且第二台架366沿垂直于第一台架的线性方向即纵轴方向移动抽吸头366。这如图3A中的双向箭头所示。还用竖直双向箭头示出了沿竖直即Z轴方向移动抽吸头362从而从托盘放下和收回抽吸头的能力。柔性外壳368将抽吸头362连接至抽吸泵365。
图3A中示出的另一特征是停放和处理站370。在本实施例中,当不使用抽吸头时,它停放在站370上。此外,一旦抽吸头移除晶片的碎片,如断裂晶片372,抽吸头移至站370并且一旦抽吸头被移除真空则任何残余的晶片碎片被丢入站370内。
图3B是根据本发明另一实施例的断裂晶片回收系统的主要部件的一般示意图。图3B所示的实施例类似于图3A,只是图3B中的放置机构使用的是(r,)排列而非(x,y)排列。也就是说,图3B的实施例中并非使用直角线性方向(即直角坐标)来移动抽吸头362,而是使用围绕枢轴374的角运动连同沿臂376的线性运动利用极坐标将抽吸头放置在合适的位置处。
图4是示出图3A和3B所示断裂晶片回收系统的其它元件的一般示意图。特定地,图4示出抽吸头462的某些细节。如图4所示,在抽吸头462的进口处安装帽罩469。在帽罩内有多个伸缩针480。这些针用于将晶片破碎为抽吸头很容易移除且不易卡在抽吸头内或通往泵的软管内的小块。针480连接于公共机架486,通孔484设置在帽罩469内。收缩机构488令公共机架486为可伸缩的。
图4还示出了止挡延伸部481,其确保帽罩469不完全地接触或密封于托盘、基座或吊架。这就确保了有充分的气流进入入口以使适当的抽吸移除碎片。这还降低了帽罩的导热性,特别是因为如果托盘或者基座在处理后移出时可达到300℃的热度。由于止挡延伸部481的缘故,避免帽罩通过接触托盘或者基座而被加热。
图5示出可用于在如上述系统的系统中处理基片的基座。基座508基本上形为具有用于晶片的多个座591的板。在每个座591的中心处设置孔593以使起模针(lift pin)能够抬起基片。在本实施例中,起模针不与晶片直接接合。而是,圆盘(puck)597安坐在设置于起模针孔593内部的圆盘座595的内部。起模针与圆盘接合并且当其抬起圆盘时与晶片接合并抬起晶片。
当断裂晶片移除系统被装配在利用图5的基座的系统中时,当移除断裂晶片时必须进行准备以防抽吸圆盘。根据一个实施例,在启动抽吸泵之前使得如图4所示的针480与圆盘接合并且将其保持就位。在这种构型中,针的作用是双重目的的:当必要时将晶片破碎为更小片以及在抽吸过程中保持圆盘。
虽然已经参照特定实施例描述了本发明,本发明不仅局限于这些实施例。特别地,本领域技术人员可做成不同改变和改进而不脱离所附权利要求限定的本发明的精神和范围。此外,所有上述引用的现有技术参考文献在此并入作为参考。

Claims (21)

1.一种用于基片处理系统中的断裂基片可移除系统,包括:
具有入口的抽吸头;
用于将抽吸头移至断裂基片位置的放置机构;
抽吸泵;
将抽吸头连接于抽吸泵的挠性软管。
2.根据权利要求1的系统,还包括:定位在抽吸头的入口处的帽罩。
3.根据权利要求2的系统,其中所述帽罩包括止挡延伸部以使气流进入入口。
4.根据权利要求1的系统,还包括围绕抽吸头入口可延伸的多个可移动针以便进一步破碎已断裂的基片。
5.根据权利要求4的系统,还包括连接于收缩机构的框架,并且其中所述多个可移动针共同连接于该框架。
6.根据权利要求1的系统,其中放置机构包括提供沿一个方向的线性运动的第一台架以及提供沿垂直方向的线性运动的第二台架。
7.根据权利要求1的系统,其中放置机构包括提供旋转运动的可旋转枢轴以及提供线性运动的臂。
8.根据权利要求1的系统,还包括停放和处理站,能够在不使用抽吸头时停放抽吸头且能够处理被抽吸头移除的残余碎片。
9.根据权利要求1的系统,还包括连接于控制器的光学传感器,用于检测断裂基片并且控制放置机构从而将抽吸头置于断裂基片位置的上方。
10.根据权利要求9的系统,其中光学传感器包括照相机。
11.根据权利要求4的系统,还包括连接于控制器的光学传感器,其检测断裂基片并且控制放置机构从而将抽吸头置于断裂基片位置的上方且延伸出所述可延伸针以进一步破碎已断裂的基片。
12.一种晶片制造系统,包括:
真空处理室;
经由真空阀连接于真空处理室的封闭样品载入室;
连接于封闭样品载入站的晶片交换站;
控制器;
将信号传至所述控制器的光学传感器;
放置机构,其连接于晶片交换站且可移动地支撑具有吸入口的抽吸头;
连接于抽吸头的抽吸泵;
其中控制器可操作根据接收于光学传感器的信号来触发放置机构和抽吸泵。
13.根据权利要求12的系统,还包括位于真空处理室内的基座;并且其中所述控制器可操作根据接收于光学传感器的信号将基座传输至交换站并且触发所述放置机构以将抽吸头放置在基座上方的特定位置处。
14.根据权利要求13的系统,还包括围绕抽吸头的入口设置的可延伸针,并且其中控制器还触发可延伸针从而破碎位于基座上的晶片。
15.根据权利要求13的系统,其中基座包括多个圆盘,并且其中可延伸针被构造为当抽吸头移除断裂晶片的碎片时可延伸针保持其中一个圆盘。
16.根据权利要求15的系统,还包括第二光学传感器,其将信号传至控制器用于检验晶片断裂或检验断裂晶片的完全移除的至少之一。
17.根据权利要求12的系统,还包括被构造用于同时支撑多个晶片的至少一个托盘,并且其中所述控制器可操作根据接收于光学传感器的信号将托盘传输至交换站且触发所述放置机构以将抽吸头放置在托盘上方的特定位置处。
18.根据权利要求12的系统,其中交换站还包括被构造为翻转晶片的翻转机构。
19.根据权利要求12的系统,还包括:照亮处理室内部的照明源。
20.一种用于在制造系统内从支撑多个晶片的支撑板移除断裂晶片碎片的方法,包括:
分析光信号以确定多个晶片之一是否断裂;
当确定断裂晶片占据了支撑板上的位置时,将支撑板传输至交换站,将抽吸头放置在断裂晶片的位置上方,并且触发抽吸泵从而移除断裂晶片碎片。
21.根据权利要求20的方法,还包括:
当确定断裂晶片占据了支撑板上的一个位置时,将支撑板移至第二光学传感器的位置以验证在支撑板上具有断裂晶片。
CN201210163936.7A 2011-05-24 2012-05-24 断裂晶片回收系统 Expired - Fee Related CN102810497B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/115,064 2011-05-24
US13/115,064 US8459276B2 (en) 2011-05-24 2011-05-24 Broken wafer recovery system

Publications (2)

Publication Number Publication Date
CN102810497A true CN102810497A (zh) 2012-12-05
CN102810497B CN102810497B (zh) 2017-05-31

Family

ID=46085474

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210163936.7A Expired - Fee Related CN102810497B (zh) 2011-05-24 2012-05-24 断裂晶片回收系统

Country Status (5)

Country Link
US (2) US8459276B2 (zh)
EP (1) EP2528088B1 (zh)
JP (1) JP6080118B2 (zh)
CN (1) CN102810497B (zh)
TW (1) TWI470729B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9287152B2 (en) 2009-12-10 2016-03-15 Orbotech LT Solar, LLC. Auto-sequencing multi-directional inline processing method
US9462921B2 (en) 2011-05-24 2016-10-11 Orbotech LT Solar, LLC. Broken wafer recovery system
CN111710635A (zh) * 2020-08-19 2020-09-25 深圳新益昌科技股份有限公司 自动去晶机及去晶方法

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201332871A (zh) * 2011-12-07 2013-08-16 Intevac Inc 高載量太陽能晶圓裝載裝置
US8863349B2 (en) * 2012-04-18 2014-10-21 King Fahd University Of Petroleum And Minerals Steering and cleaning mechanism for reflector arrays
CN104103564B (zh) * 2013-04-15 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 一种基片传输方法和系统
JP6186940B2 (ja) * 2013-06-26 2017-08-30 三星ダイヤモンド工業株式会社 脆性材料基板の搬送方法
US10161033B2 (en) * 2015-08-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for cleaning load port of wafer processing apparatus
CN108111117A (zh) * 2017-12-21 2018-06-01 河南理工大学 太阳能供电设备及系统
CN107946220A (zh) * 2017-12-22 2018-04-20 君泰创新(北京)科技有限公司 电池片翻片装置
FR3079761B1 (fr) * 2018-04-10 2022-02-04 Commissariat Energie Atomique Embout de nettoyage et de ramassage de debris de plaquettes de semi-conducteurs et/ou de verre
CN110854051B (zh) * 2019-09-11 2022-03-01 深圳市奥维特机电有限公司 一种芯片拾取装置
TWI795105B (zh) * 2021-11-30 2023-03-01 弘塑科技股份有限公司 攪碎機、晶圓震盪裝置和晶圓清洗系統
CN114715657B (zh) * 2022-04-07 2023-09-12 九江聚鸿新材料有限公司 一种自动放料出料的开条机

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05109683A (ja) * 1991-03-27 1993-04-30 Mitsubishi Materials Corp 半導体シリコンウエーハ洗浄液の金属不純物除去方法
JPH088586A (ja) * 1994-06-16 1996-01-12 Rohm Co Ltd 薄板基板の移送装置
CN101360988A (zh) * 2006-01-18 2009-02-04 应用材料股份有限公司 动态侦测移动基材损毁和偏位的传感器
TW201102235A (en) * 2009-04-29 2011-01-16 Applied Materials Inc End effector for handling substrates

Family Cites Families (204)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3158086A (en) 1960-03-21 1964-11-24 Ralph E Weimer Apparatus for charging hamburger patties onto a griddle
JPS5647287Y2 (zh) 1976-08-23 1981-11-05
JPS57180005A (en) 1981-04-30 1982-11-05 Hitachi Ltd Silicon carbide electric insulator with low dielectric constant
US4490042A (en) 1981-06-04 1984-12-25 Wyatt Philip J Method for determining the properties of wine
JPS57211746A (en) * 1981-06-23 1982-12-25 Fujitsu Ltd Wafer conveying apparatus
JPS60178639A (ja) * 1984-02-27 1985-09-12 Toshiba Seiki Kk ペレツト選別装置
US4694779A (en) 1984-10-19 1987-09-22 Tetron, Inc. Reactor apparatus for semiconductor wafer processing
JPS61105853A (ja) 1984-10-30 1986-05-23 Anelva Corp オ−トロ−ダ−
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4752180A (en) 1985-02-14 1988-06-21 Kabushiki Kaisha Toshiba Method and apparatus for handling semiconductor wafers
DE3508516A1 (de) * 1985-03-09 1986-09-11 Wolfgang 6108 Weiterstadt Köhler Vorrichtung zum transportieren einer platte im reinraum
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
USH422H (en) 1986-04-25 1988-02-02 American Telephone And Telegraph Company, At&T Bell Laboratories Apparatus for inverting articles and method for using same
JP2564303B2 (ja) 1987-05-08 1996-12-18 株式会社日立製作所 ウエハキャリア治具
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JP2602298B2 (ja) 1988-01-30 1997-04-23 日本電気株式会社 気相成長装置
US5606534A (en) 1989-09-01 1997-02-25 Quantronix, Inc. Laser-based dimensioning system
US5084125A (en) 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate
US5167922A (en) 1990-04-27 1992-12-01 Pb Diagnostic Systems Inc. Assay cartridge
US5136975A (en) 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
JP2938160B2 (ja) 1990-07-20 1999-08-23 東京エレクトロン株式会社 真空処理装置
JP2598353B2 (ja) 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
JPH0569162U (ja) 1992-02-28 1993-09-17 セイコー電子工業株式会社 バッファ付クラスタ形薄膜処理装置
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JPH06155197A (ja) 1992-11-16 1994-06-03 Pfu Ltd 混流生産システムにおける部材供給システム
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5439524A (en) 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5486080A (en) 1994-06-30 1996-01-23 Diamond Semiconductor Group, Inc. High speed movement of workpieces in vacuum processing
US5795399A (en) 1994-06-30 1998-08-18 Kabushiki Kaisha Toshiba Semiconductor device manufacturing apparatus, method for removing reaction product, and method of suppressing deposition of reaction product
TW295677B (zh) 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5551327A (en) 1994-08-22 1996-09-03 Hamby; William D. Adjusting means for multi-blade cutting apparatus
JPH0878347A (ja) 1994-09-06 1996-03-22 Komatsu Electron Metals Co Ltd エピタキシャル成長装置のサセプタ
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP2929948B2 (ja) * 1994-09-20 1999-08-03 三菱電機株式会社 プローブ式テストハンドラー及びそれを用いたicのテスト方法
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3165348B2 (ja) 1995-05-18 2001-05-14 ワイエイシイ株式会社 プラズマ処理装置およびその運転方法
TW318258B (zh) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
US5855468A (en) 1995-12-22 1999-01-05 Navistar International Transportation Corp. Method and apparatus for setting foundry core assemblies
JP3606979B2 (ja) 1995-12-22 2005-01-05 株式会社アルバック 枚葉式真空処理装置
US5756155A (en) * 1996-01-22 1998-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Combination nozzle and vacuum hood that is self cleaning
JPH09283983A (ja) * 1996-04-10 1997-10-31 Matsushita Electric Ind Co Ltd 半導体チップのピックアップ方法とピックアップ装置
US5679055A (en) * 1996-05-31 1997-10-21 Memc Electronic Materials, Inc. Automated wafer lapping system
US5996528A (en) 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5653808A (en) 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
JPH10321564A (ja) * 1997-05-20 1998-12-04 Tokyo Seimitsu Co Ltd ウェーハ回収装置
US5968275A (en) 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US6722834B1 (en) 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
JP3283459B2 (ja) 1997-12-17 2002-05-20 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
JP4346700B2 (ja) 1998-01-12 2009-10-21 株式会社半導体エネルギー研究所 光電変換装置の作製方法
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6086362A (en) 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6202589B1 (en) 1998-05-29 2001-03-20 Advanced Micro Devices, Inc. Grounding mechanism which maintains a low resistance electrical ground path between a plate electrode and an etch chamber
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6517691B1 (en) 1998-08-20 2003-02-11 Intevac, Inc. Substrate processing system
TW432452B (en) * 1998-10-15 2001-05-01 Applied Materials Inc Detection of wafer fragments in a wafer processing apparatus
US6267839B1 (en) 1999-01-12 2001-07-31 Applied Materials, Inc. Electrostatic chuck with improved RF power distribution
JP4204128B2 (ja) 1999-01-18 2009-01-07 東京応化工業株式会社 基板搬送装置及び基板搬送方法
JP2000223546A (ja) 1999-02-02 2000-08-11 Dainippon Screen Mfg Co Ltd 基板処理装置
US6323616B1 (en) * 1999-03-15 2001-11-27 Berkeley Process Control, Inc. Self teaching robotic wafer handling system
JP2000290777A (ja) 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
JP3398936B2 (ja) 1999-04-09 2003-04-21 日本エー・エス・エム株式会社 半導体処理装置
JP3965258B2 (ja) 1999-04-30 2007-08-29 日本碍子株式会社 半導体製造装置用のセラミックス製ガス供給構造
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6156124A (en) 1999-06-18 2000-12-05 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6556715B1 (en) 1999-10-29 2003-04-29 Unisys Corporation Method for CCITT compression of image data
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
JP4526151B2 (ja) 2000-01-28 2010-08-18 キヤノンアネルバ株式会社 基板処理装置の基板移載装置
JP2001284258A (ja) 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 半導体製造装置
JP2003529926A (ja) 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6875640B1 (en) 2000-06-08 2005-04-05 Micron Technology, Inc. Stereolithographic methods for forming a protective layer on a semiconductor device substrate and substrates including protective layers so formed
KR100332314B1 (ko) 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
JP4414072B2 (ja) 2000-07-27 2010-02-10 キヤノンアネルバ株式会社 真空処理装置用トレー及び真空処理装置
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
TWI246382B (en) 2000-11-08 2005-12-21 Orbotech Ltd Multi-layer printed circuit board fabrication system and method
JP2002203885A (ja) 2000-12-27 2002-07-19 Anelva Corp インターバック型基板処理装置
EP1361604B1 (en) 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
JP2002256439A (ja) 2001-03-06 2002-09-11 Hitachi Kokusai Electric Inc 基板処理装置
KR100421036B1 (ko) 2001-03-13 2004-03-03 삼성전자주식회사 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
JP2002270880A (ja) 2001-03-14 2002-09-20 Shin Etsu Handotai Co Ltd 太陽電池モジュール及びその製造方法
JP4222589B2 (ja) 2001-03-26 2009-02-12 キヤノンアネルバ株式会社 基板搬送装置及びそれを用いた基板処理装置
JP2003007682A (ja) 2001-06-25 2003-01-10 Matsushita Electric Ind Co Ltd プラズマ処理装置用の電極部材
US20030003767A1 (en) 2001-06-29 2003-01-02 Plasmion Corporation High throughput hybrid deposition system and method using the same
US6592679B2 (en) * 2001-07-13 2003-07-15 Asyst Technologies, Inc. Clean method for vacuum holding of substrates
JP2003028142A (ja) 2001-07-19 2003-01-29 Konica Corp 位置決め機構および画像形成装置
JP2003059999A (ja) 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
CN1996553A (zh) 2001-08-31 2007-07-11 阿赛斯特技术公司 用于半导体材料处理系统的一体化机架
JP4061044B2 (ja) 2001-10-05 2008-03-12 住友重機械工業株式会社 基板移動装置
US6719517B2 (en) 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
JP2003258058A (ja) 2002-02-27 2003-09-12 Anelva Corp 基板処理装置の運転方法
JP4220173B2 (ja) 2002-03-26 2009-02-04 株式会社日立ハイテクノロジーズ 基板の搬送方法
JP2003282462A (ja) 2002-03-27 2003-10-03 Kyocera Corp シャワープレートとその製造方法及びそれを用いたシャワーヘッド
KR100951337B1 (ko) 2002-05-23 2010-04-08 캐논 아네르바 가부시키가이샤 기판처리장치 및 처리방법
US7217336B2 (en) 2002-06-20 2007-05-15 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US6902647B2 (en) 2002-08-29 2005-06-07 Asm International N.V. Method of processing substrates with integrated weighing steps
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
KR101087633B1 (ko) 2002-11-15 2011-11-30 가부시키가이샤 에바라 세이사꾸쇼 기판처리장치 및 기판처리방법
JP3886046B2 (ja) 2002-12-18 2007-02-28 シャープ株式会社 プラズマcvd装置と、それを用いた成膜方法および半導体装置の製造方法
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4197129B2 (ja) 2003-03-19 2008-12-17 シャープ株式会社 ワーク搬送装置
JP2004327761A (ja) 2003-04-25 2004-11-18 Sumitomo Mitsubishi Silicon Corp エピタキシャル成長用サセプタ
US7010388B2 (en) 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
JP4517595B2 (ja) 2003-06-26 2010-08-04 東京エレクトロン株式会社 被処理体の搬送方法
US20050011447A1 (en) 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
KR100999104B1 (ko) 2003-10-01 2010-12-07 삼성전자주식회사 기판의 반송장치
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7214027B2 (en) 2003-10-16 2007-05-08 Varian Semiconductor Equipment Associates, Inc. Wafer handler method and system
US8403613B2 (en) 2003-11-10 2013-03-26 Brooks Automation, Inc. Bypass thermal adjuster for vacuum semiconductor processing
JP4739024B2 (ja) 2003-12-04 2011-08-03 三星ダイヤモンド工業株式会社 基板加工方法、基板加工装置および基板搬送機構、基板分離装置
JP2005183834A (ja) 2003-12-22 2005-07-07 Toshiba Ceramics Co Ltd バレル型サセプタ
US7892357B2 (en) 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
JP2005211865A (ja) 2004-02-02 2005-08-11 Masato Toshima プラズマ処理装置
JP4707959B2 (ja) 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
US7905960B2 (en) 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
US7290978B2 (en) 2004-06-09 2007-11-06 N&K Technology Inc. Photomask flipper and single direction inspection device for dual side photomask inspection
KR101023725B1 (ko) * 2004-06-29 2011-03-25 엘지디스플레이 주식회사 이재 로봇
JP2006049544A (ja) 2004-08-04 2006-02-16 Canon Anelva Corp 基板処理装置及びこれを用いた基板処理方法
JP2006054284A (ja) 2004-08-11 2006-02-23 Shimadzu Corp 真空処理装置
JP2006058769A (ja) 2004-08-23 2006-03-02 Sony Corp 沈胴式レンズ鏡筒および撮像装置
US20060137609A1 (en) 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
JP2006173560A (ja) 2004-11-16 2006-06-29 Sumitomo Electric Ind Ltd ウエハガイド、有機金属気相成長装置および窒化物系半導体を堆積する方法
US20060177288A1 (en) 2005-02-09 2006-08-10 Parker N W Multiple loadlocks and processing chamber
KR100747735B1 (ko) 2005-05-13 2007-08-09 주식회사 테스 반도체 제조 장치
JP4596981B2 (ja) 2005-05-24 2010-12-15 株式会社日立ハイテクノロジーズ インプリント装置、及び微細構造転写方法
JP2006332536A (ja) * 2005-05-30 2006-12-07 Shin Etsu Handotai Co Ltd ウエーハのワレ検査装置およびワレ検査方法ならびにウエーハの製造方法
US20070017445A1 (en) 2005-07-19 2007-01-25 Takako Takehara Hybrid PVD-CVD system
JP2007112626A (ja) 2005-09-20 2007-05-10 Olympus Corp 基板搬送装置及び基板検査装置並びに基板搬送方法
JP2007123684A (ja) 2005-10-31 2007-05-17 Masato Toshima 基板の処理装置
US20070119393A1 (en) 2005-11-28 2007-05-31 Ashizawa Kengo Vacuum processing system
US20100212832A1 (en) 2005-12-28 2010-08-26 Sharp Kabushiki Kaisha Stage device and plasma treatment apparatus
US20070151516A1 (en) 2006-01-03 2007-07-05 Law Kam S Chemical vapor deposition apparatus and electrode plate thereof
JP4915985B2 (ja) 2006-02-06 2012-04-11 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2007242648A (ja) 2006-03-04 2007-09-20 Masato Toshima 基板の処理装置
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
TWI476855B (zh) 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
JP4018120B2 (ja) * 2006-05-12 2007-12-05 シャープ株式会社 液滴吐出描画装置
EP1855324A1 (de) 2006-05-12 2007-11-14 Applied Materials GmbH & Co. KG Substratträger aus glaskeramischen Material
KR101346081B1 (ko) 2006-06-20 2013-12-31 참엔지니어링(주) 플라스마 에칭 챔버
US20080066683A1 (en) 2006-09-19 2008-03-20 General Electric Company Assembly with Enhanced Thermal Uniformity and Method For Making Thereof
US7482550B2 (en) 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
TW200900210A (en) 2006-11-09 2009-01-01 Ihi Corp Frog-leg arm robot and control method thereof
WO2008068845A1 (ja) 2006-12-05 2008-06-12 Shimadzu Corporation パレット搬送装置、および基板検査装置
US20080138178A1 (en) * 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
US7949425B2 (en) 2006-12-06 2011-05-24 Axcelis Technologies, Inc. High throughput wafer notch aligner
TWI390608B (zh) 2007-01-12 2013-03-21 Veeco Instr Inc 氣體處理系統
JP2008205219A (ja) 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
JP5813920B2 (ja) 2007-03-02 2015-11-17 テル・ソーラー・アクチェンゲゼルシャフトTel Solar Ag 基板上に薄膜を蒸着する方法および基板のインライン真空処理のための装置
KR100927621B1 (ko) 2007-03-22 2009-11-20 삼성에스디아이 주식회사 보호막층을 증착시키는 장치와, 이를 이용한 증착 방법
US7923660B2 (en) 2007-08-15 2011-04-12 Applied Materials, Inc. Pulsed laser anneal system architecture
US8408858B2 (en) 2007-08-30 2013-04-02 Ascentool International Limited Substrate processing system having improved substrate transport system
US7806641B2 (en) 2007-08-30 2010-10-05 Ascentool, Inc. Substrate processing system having improved substrate transport system
GB0717489D0 (en) * 2007-09-08 2007-10-17 Design Factor Ni The Ltd A Glass breaking device
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
JP5330721B2 (ja) 2007-10-23 2013-10-30 オルボテック エルティ ソラー,エルエルシー 処理装置および処理方法
WO2009053435A1 (en) 2007-10-24 2009-04-30 Oc Oerlikon Balzers Ag Method for manufacturing workpieces and apparatus
KR101699983B1 (ko) 2007-11-15 2017-01-26 가부시키가이샤 니콘 마스크 케이스, 반송 장치, 노광 장치, 마스크 반송 방법 및 디바이스 제조 방법
US8876024B2 (en) 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
DE102008009090B3 (de) 2008-02-14 2009-06-04 MAG Industrial Automation Systems, LLC., Sterling Heights Beschickungs- und Entnahme-Anlage für Werkzeug-Maschinen
KR101669685B1 (ko) 2008-03-25 2016-10-27 오보텍 엘티 솔라 엘엘씨 처리장치 및 처리 방법
JP5434910B2 (ja) 2008-03-27 2014-03-05 株式会社ニコン 接合装置および接合方法
JP4472005B2 (ja) 2008-04-24 2010-06-02 キヤノンアネルバ株式会社 真空処理装置及び真空処理方法
WO2009130790A1 (ja) 2008-04-25 2009-10-29 キヤノンアネルバ株式会社 トレイ搬送式インライン成膜装置
CN102099907B (zh) 2008-07-15 2014-04-02 株式会社爱发科 工件传送系统和方法
TW201027784A (en) 2008-10-07 2010-07-16 Applied Materials Inc Advanced platform for processing crystalline silicon solar cells
KR101641130B1 (ko) 2008-10-09 2016-07-20 어플라이드 머티어리얼스, 인코포레이티드 대형 플라즈마 처리 챔버를 위한 rf 복귀 경로
US20100136261A1 (en) 2008-12-03 2010-06-03 Applied Materials, Inc. Modulation of rf returning straps for uniformity control
TWI366546B (en) * 2009-01-09 2012-06-21 Chimei Innolux Corp Transmission apparatus
US9382621B2 (en) 2009-02-04 2016-07-05 Applied Materials, Inc. Ground return for plasma processes
US20100203242A1 (en) 2009-02-06 2010-08-12 Applied Materials, Inc. self-cleaning susceptor for solar cell processing
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US8246284B2 (en) 2009-03-05 2012-08-21 Applied Materials, Inc. Stacked load-lock apparatus and method for high throughput solar cell manufacturing
TWM366667U (en) * 2009-05-25 2009-10-11 Aidc Aerospace Ind Dev Corp Auto movement and inspection device for solar energy panel
KR101075842B1 (ko) 2009-06-04 2011-10-25 주식회사 에스에프에이 인라인 방식의 태양전지 제조용 플라즈마 처리장치
CN105088191B (zh) 2009-07-15 2018-07-13 应用材料公司 Cvd 腔室的流体控制特征结构
US8454850B2 (en) 2009-09-02 2013-06-04 Air Products And Chemicals, Inc. Method for the removal of surface oxides by electron attachment
ES2430238T3 (es) 2009-09-28 2013-11-19 Manfred Schwaiger-Shah Dispositivo de adelgazamiento por mejora del riego sanguíneo en la zona del abdomen
TWI430714B (zh) 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
JP5721132B2 (ja) 2009-12-10 2015-05-20 オルボテック エルティ ソラー,エルエルシー 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法
KR101329303B1 (ko) 2010-06-17 2013-11-20 세메스 주식회사 기판들의 로딩 및 언로딩을 위한 기판 처리 장치
US20110315081A1 (en) 2010-06-25 2011-12-29 Law Kam S Susceptor for plasma processing chamber
US20120267049A1 (en) 2011-04-25 2012-10-25 Craig Lyle Stevens Grounding assembly for vacuum processing apparatus
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
KR20120131105A (ko) 2011-05-24 2012-12-04 오보텍 엘티 솔라 엘엘씨 손상된 웨이퍼 복구 시스템
US20140064886A1 (en) 2012-08-30 2014-03-06 Orbotech LT Solar, LLC. System, architecture and method for simultaneous transfer and process of substrates

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05109683A (ja) * 1991-03-27 1993-04-30 Mitsubishi Materials Corp 半導体シリコンウエーハ洗浄液の金属不純物除去方法
JPH088586A (ja) * 1994-06-16 1996-01-12 Rohm Co Ltd 薄板基板の移送装置
CN101360988A (zh) * 2006-01-18 2009-02-04 应用材料股份有限公司 动态侦测移动基材损毁和偏位的传感器
TW201102235A (en) * 2009-04-29 2011-01-16 Applied Materials Inc End effector for handling substrates

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9287152B2 (en) 2009-12-10 2016-03-15 Orbotech LT Solar, LLC. Auto-sequencing multi-directional inline processing method
US9462921B2 (en) 2011-05-24 2016-10-11 Orbotech LT Solar, LLC. Broken wafer recovery system
CN111710635A (zh) * 2020-08-19 2020-09-25 深圳新益昌科技股份有限公司 自动去晶机及去晶方法
CN111710635B (zh) * 2020-08-19 2020-11-20 深圳新益昌科技股份有限公司 自动去晶机及去晶方法

Also Published As

Publication number Publication date
US20120298141A1 (en) 2012-11-29
TW201302584A (zh) 2013-01-16
EP2528088B1 (en) 2018-11-07
CN102810497B (zh) 2017-05-31
JP2012248837A (ja) 2012-12-13
EP2528088A3 (en) 2014-06-18
EP2528088A2 (en) 2012-11-28
TWI470729B (zh) 2015-01-21
US9462921B2 (en) 2016-10-11
US8459276B2 (en) 2013-06-11
US20130269149A1 (en) 2013-10-17
JP6080118B2 (ja) 2017-02-15

Similar Documents

Publication Publication Date Title
CN102810497A (zh) 断裂晶片回收系统
US5609459A (en) Door drive mechanisms for substrate carrier and load lock
US5664925A (en) Batchloader for load lock
US5613821A (en) Cluster tool batchloader of substrate carrier
US5607276A (en) Batchloader for substrate carrier on load lock
CN102122609B (zh) 自动排序的多向流水线处理设备
JP5455987B2 (ja) 剥離装置、剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体
US6120229A (en) Substrate carrier as batchloader
TWI645494B (zh) Substrate transfer method and transfer device
KR102274732B1 (ko) 기판 반송 장치
JPS62181440A (ja) ウェーハ処理方法
CN102414810A (zh) 自动基板加载站
JP6263972B2 (ja) 基板搬送装置、efem及び半導体製造装置
TW201343517A (zh) 基板處理裝置及基板處理方法
JP4306798B2 (ja) 基板キャリアおよびロードロック用ドア駆動装置
JP2002517088A (ja) 半導体ウエハハンドリング用バッチ式エンドエフェクタ
WO2013058129A1 (ja) 剥離装置、剥離システム及び剥離方法
JP2012015530A (ja) 基板処理装置および基板検出方法
JP6311280B2 (ja) 雰囲気置換装置、基板搬送システム及びefem
JP2018093087A (ja) 基板処理装置
KR20120131105A (ko) 손상된 웨이퍼 복구 시스템
TW201543599A (zh) 立式熱處理裝置
JP6025759B2 (ja) 剥離システム
JP2001093958A (ja) 基板移送装置
JPH04124853A (ja) ウエハ搬送ロボット

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20220706

Address after: Israel Masao City

Patentee after: ORBOTECH Ltd.

Address before: California, USA

Patentee before: Orbotech LT Solar, LLC

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20220908

Address after: California, USA

Patentee after: Orbotech LT Solar LLC

Address before: Israel Masao City

Patentee before: ORBOTECH Ltd.

Effective date of registration: 20220908

Address after: California, USA

Patentee after: KLA-TENCOR Corp.

Address before: California, USA

Patentee before: Orbotech LT Solar LLC

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20170531