CN102792438B - 精加工绝缘体上半导体型衬底的方法 - Google Patents

精加工绝缘体上半导体型衬底的方法 Download PDF

Info

Publication number
CN102792438B
CN102792438B CN201180013740.3A CN201180013740A CN102792438B CN 102792438 B CN102792438 B CN 102792438B CN 201180013740 A CN201180013740 A CN 201180013740A CN 102792438 B CN102792438 B CN 102792438B
Authority
CN
China
Prior art keywords
substrate
layout
insulator
semiconductor
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180013740.3A
Other languages
English (en)
Other versions
CN102792438A (zh
Inventor
W·施瓦岑巴赫
A·阿拉米-伊德里希
A·希布科
S·凯尔迪勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Soitec SA
Original Assignee
Soitec SA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Soitec SA filed Critical Soitec SA
Publication of CN102792438A publication Critical patent/CN102792438A/zh
Application granted granted Critical
Publication of CN102792438B publication Critical patent/CN102792438B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76243Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)
  • Recrystallisation Techniques (AREA)
  • Drying Of Semiconductors (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

本发明涉及精加工绝缘体上半导体(SeOI)型衬底(4),所述绝缘体上半导体(SeOI)型衬底(4)包括埋在两层半导体材料层(41,43)之间的一层绝缘体层(42)。该方法值得注意之处在于,其顺序包括:布局所述衬底(4)的周边环形,从而获得布局后的衬底(4'),封装所述布局后的衬底(4'),从而用半导体材料(410)覆盖所述掩埋绝缘体层(42)的所述布局后的侧边缘(420)。

Description

精加工绝缘体上半导体型衬底的方法
技术领域
本发明整体上涉及一种衬底的制备,该衬底可应用于电子、光学和光电子领域。
更具体来说,其涉及已知首字母缩写为SeOI(“Semiconductor OnInsulator(绝缘体上半导体)”)的衬底的精加工方法。
背景技术
本发明发现了精加工“SOI”衬底的具体应用,其中的半导体是硅。
在已应用的不同制备方法中,提及的内容可能由那些应用中的键合与转移层的步骤组成。该方法的举例如下所述。
根据该方法,在第一种所谓的“施予”衬底中产生脆化区域,其覆盖着绝缘层,例如通过注入原子和/或离子物质。该衬底接下来通过分子间附着力粘附到第二种所谓的“接受”衬底上。
接下来,施予衬底沿着这个脆化区域被分为两个部分,例如通过脱离退火(“剥离”或“分裂”),使得预期厚度的施予衬底的材料以及绝缘体层被转移到接受衬底上。
关于本主题的举例,可以参考申请人在注册商标“Unibond”下的已知的方法。
附图1是对扫描电子显微镜所拍摄的照片的图示说明,其显示了刚刚脱离退火后的SOI衬底的边缘的横截面图。该SOI衬底包括硅支承1和表面硅层3之间的掩埋绝缘体层2。可以明显地看到,掩埋绝缘体层2(这里是二氧化硅)的侧边缘20可能广泛暴露在化学蚀刻中。
现在,经常对该SOI(或SeOI)衬底实行额外的处理,以显著地改善其表面状况,特别是用于减少其粗糙度或用于加强两层之间的粘结键合。这样的处理通常涉及化学蚀刻,例如使用氢氟酸(HF)。
这样的处理应用于SOI衬底,如图1所示,对绝缘体层2的侧蚀刻导致了衬底的分层。
为了克服该问题,一种处理SOI衬底的方法是,从美国6,939,783文件中已知的快速热退火(本领域技术人员称为“RTA”),该处理具有的作用是,用位于上方的硅在二氧化硅层2的边缘产生侧面封装。
附图2是对扫描电子显微镜所拍摄的照片的图示说明,其显示了在这样处理结束后的SOI衬底的边缘的横截面图。如图中可以看到的这样,该SOI的表面层3的硅被移动,并覆盖了二氧化硅层2的侧边缘20以保护后者。该封装的部分被标记为30。
现在,申请人进行了测试,并不幸地注意到,不是一直能够获得具有预期保护性的有利效果的封装,因为相对于图2所示的理想情况,转移的硅层3的边缘可能不规则。
申请人发现,出现在SeOI或SOI衬底边缘的缺陷,虽然是排除区域的一部分,其中没有电子部件生成,但是该缺陷可能扰乱封装现象。
在后者之中,第一种类型的缺陷被认定为“薄片”,是由在脱离过程中出现的和已被拆离的部分衬底形成的,然后再次明显地粘结键合在衬底的边缘上。
这种薄片31在附图3中是可见的,图3图示说明了SOI衬底的横截面图。
第二种类型的缺陷被称为“锯齿状边缘”,是由上层硅的超出被转移表面的一般边缘的延伸的表面所形成的。
该缺陷32在上述图3以及附图4中可见,图4图解说明的是用扫描电子显微镜所拍摄的照片,是从上面看到的SOI衬底的边缘。
申请人因此发现,当通过RTA处理时,所获得的封装可能会被扰乱,尤其是在顶层的锯齿状边缘32处太薄。当这个封装进行外延处理时,也可能被扰乱,或者甚至在薄片31上完全混乱,可能在薄片31上形成更大数量的封装材料,例如形成材料赘生物。
封装甚至可能不完整,其取决于衬底边缘(板边缘)的不规则的形状,因此,对于化学蚀刻,例如使用氢氟酸(HF),掩埋绝缘体的某些部分可能仍然是可进入的。
此外,某些薄片或锯齿状边缘的片,可能在封装之前脱离接受衬底并再次沉积在SeOI衬底的表面层3上,然后被封装最后密封,从而在这层上产生缺陷。
附图5是通过扫描电子显微镜所拍摄的SOI型衬底的照片的视图,其上可以观察到这种质量较差的封装,尤其是其经过氢氟酸蚀刻的封装较差的掩埋绝缘体的部分。
发明内容
因此本发明的目的是解决上述技术状态的缺陷。
本发明的目的尤其是提供一种精加工SeOI型的衬底方法,通过该方法能够在掩埋绝缘体的侧边获得质量很好的封装,也就是均匀的封装,因此绝缘体的侧边缘整体覆盖了具有相对恒定厚度的一层半导体材料,并且在距离板的边缘恒定距离处该层的边缘形成规则的冠。
为了这个目的,本发明涉及一种精加工绝缘体上半导体(SeOI)型的衬底的方法,该衬底包括埋在两层半导体材料之间的绝缘体层。
根据本发明,该方法顺序包括:
-对所述衬底的周边环形进行布局(routing),以获得布局后的(routed)衬底,
-用半导体材料对所述布局后的衬底进行封装,从而覆盖所述掩埋绝缘体层的布局后的侧边缘。
根据本发明的其它有利的和无限制的特征,其单独使用或作为组合使用:
·在布局完成后立即进行布局后的衬底的封装,
·通过研磨所述SeOI衬底的周边环形边缘进行布局,
·通过刻蚀所述SeOI衬底的周边环形边缘进行布局,
·通过使所述布局后的衬底经受快速热退火(RTA)处理来进行对所述布局后的衬底的封装,
·所述快速热退火(RTA)处理在1150°C至1300°C的温度下进行,持续时间为15秒至约5分钟。
·所述快速热退火(RTA)处理在氢气和/或氩气气氛下进行,
·通过外延进行封装所述布局后的衬底的步骤,
·通过快速热退火(RTA)处理以及通过外延进行封装所述布局后的衬底的步骤,
·通过激光退火进行封装所述布局后的衬底的步骤,
·所述布局包括如下步骤:
-用等离子体在所述SeOI型衬底的周边环形区域上沉积一层保护材料,
-用等离子体完成所述保护材料的局部蚀刻,从而在所述SeOI型衬底的前表面上保持保护材料的环,所述环从所述衬底的侧边缘延伸一定距离,并由此限定了所述衬底的对于等离子体可进入的缩小的周边环形区域,
-生成局部蚀刻的等离子体,其位于SeOI型衬底的所述缩小的周边环形区域,以便蚀刻出现在此区域中超过一定厚度的材料,
-通过等离子体移除保护材料的所述环,
·在用于形成各向同性的等离子体的外壳中进行布局。
·所述布局通过如下步骤进行:
-将所述SeOI型衬底引入用于形成各向同性的等离子体的外壳内部,所述外壳配备有盘形的上部绝缘件和两个电极,两个电极中的一个电极,即所谓的“下部”电极被圆形轮廓的下部绝缘件环绕,所述衬底位于所述外壳中,使得所述衬底的后表面接触所述下部电极并接触所述下部绝缘件,由此在所述后表面上限定对于等离子体不可进入的排除区域,所述上部绝缘件位于距离所述SeOI型衬底的所述前表面一定距离处,以在所述前表面上也限定对于等离子体不可进入的排除区域,下部绝缘件和上部绝缘件的外直径均小于待布局的所述SeOI型衬底的直径,所述衬底的剩余部分形成对于等离子体可进入的所述周边环形区域,
-通过在所述外壳中形成的等离子体将所述一层的保护材料沉积在所述周边环形区域上,
-使所述上部绝缘件更接近所述SeOI型衬底的所述前表面,并对所述保护材料进行所述局部蚀刻,
-将所述上部绝缘件保持在其位置的同时,蚀刻一定厚度的用于形成所述SeOI型衬底的所述材料,
-移动上部的绝缘件使其远离所述衬底的前表面,并移除所述保护材料的环。
·在所述布局与封装的步骤之后为牺牲氧化步骤,接着为脱氧步骤。
·在所述牺牲氧化和脱氧步骤之后进行快速热退火(RTA)处理,接着任选地进行第二牺牲氧化和第二脱氧,但后者不是不可或缺的,因为封装是通过最后的快速退火(RTA)步骤之后完成的。
·所述方法包括第一系列步骤,所述第一系列步骤包括快速热退火(RTA)处理和其后的牺牲氧化和脱氧,且该第一系列步骤在用于布局和封装所述衬底的所述步骤之前。
附图说明
通过现在将进行的参考附图的描述,本发明的其他特征和优点将更加清楚,所述附图说明了(作为指示而不是作为限制)其中一个可能的实施方案。
在这些图中,除那些已经描述的之外:
-图6A-6C是图示说明根据本发明的不同的方法步骤,
-图7A-7D是作为截面图分别图示说明,在布局的不同的连续阶段期间,一部分待布局的衬底以及允许应用布局的设施。
在图3、6A-6C和7A-7D的图示中,应注意到,各自比例的不同显示的层或组成部分不总是能被观察到,为了解释的目的,某些区域已经自动放大。
具体实施方式
根据本发明的方法是用于绝缘体上半导体SeOI型的衬底的所谓的“精加工”方法,因为所述方法涉及在导致层的转移的脱离退火之后进行步骤以及在该衬底形成中执行的步骤,所述衬底包括埋在两层半导体材料层之间的绝缘体层。
现在将参考图6A-6C描述根据本发明的方法。
参照图6A,可以看到SeOI型的衬底4顺序包括半导体材料的表面层41、掩埋绝缘体层42以及也由半导体材料制成的支承43。
如本图中所示,在转移层的步骤的最后,获得的SeOI衬底4具有前面提及的类型的缺陷,即薄片44(在本图中只显示其中的一个)和周边环形锯齿状边缘,标记为45。
根据本发明的方法的第一步包括:对衬底4的周边环形边缘进行布局(routing)。这个步骤见图6B。
其应用方法将如下所述。该布局步骤具有除去一定宽度L的表面层41边缘和掩埋绝缘体层42的边缘的作用。优选地,进行该布局以便以距离所述衬底的物理边缘为恒定且可控的距离来布局所述衬底。
任选地,所述布局也可以在支承43的周边环形的一部分被移除深度P之前进行。
L是通常从0.5到3毫米变化的宽度。L被选定为略大于SeOI上出现的冠的宽度,从而通过布局移除所述薄片或锯齿状边缘类型的缺陷,并获得在布局之后宽度不变的冠。
P从0到几千埃变化,通常是500-(50到100nm)。
在图6B中,支承衬底43没有像布局后的那样显示。
布局后的衬底被标记为4'。
下面的步骤如图6C所示,所述步骤包括对布局后的掩埋绝缘体42的横向边缘420实现封装。该步骤的作用是使得形成表面层41的半导体材料向边缘420流动,起到保护边缘420以及使所述边缘420对后续的化学蚀刻不可进入的作用,从而同时避免了分层现象。
封装绝缘体42的材料41的部分,标记为410。所述布局后的和封装的衬底被标记为4″。
用于施加该封装步骤的方法将如下所述。
优选地,封装步骤在布局后立即进行,以便在所述封装之前避免布局后的衬底受到任何意外的污染,并最多地限制通过布局获得的薄膜边缘的质量改变的风险。应该在边缘失去其整齐之前进行封装。所述薄膜包括表面层41和掩埋绝缘体42,所述薄膜之后仍然只稍微粘附到接受支承衬底43上,且所述薄膜边缘尤其应该加固以避免撕裂或划痕。
根据可选择的实施方案,所述封装也可以在清洗步骤后立即进行,所述清洗步骤本身在布局之后。
布局:
该布局可以通过不同的技术进行,且尤其是通过机械研磨或蚀刻步骤。
例如研磨可以通过以下步骤实现,将衬底4放置在驱动到旋转的支承上,使所述衬底4的边缘接近抛光模座,任选地覆盖有研磨作用的溶液(“研磨液”),并将抛光模座应用在衬底4待布局的超过宽度L的边缘上。
关于该主题可以参考描述通过研磨和/或抛光进行布局的文件US6,797,632和US2007/0243694。
所述布局也可以通过湿法蚀刻或干法蚀刻进行。
湿法蚀刻包括在表面层41的前表面411的中央部分形成保护的掩膜,该掩膜的直径小于所述层41的直径,以便留下无保护的周边环形区域。所述衬底接下来顺序暴露到针对形成表面层41和绝缘层42的材料的特定蚀刻溶液,从而蚀刻和移除衬底4的无保护的边缘。
干法蚀刻包括使衬底4的无掩膜保护的边缘经受气体蚀刻,例如用各向同性或各向异性等离子体。
例如,可以参考描述干法蚀刻方法的文件US2009/0170285。
当期望获得特别完全的布局后的边缘时,即垂直或准垂直于前表面411所在的平面,可以使用与图7A-7D相关的现在将描述的设施及布局方法。
在这些附图中,衬底4为槽形,所以所述衬底4的前表面411被分解成非槽形的平面中心区域411a和成槽形的周边区域411b,所以其后表面430也被分解成非槽形平面区域430a和槽形区域430b。
所述衬底4的侧边标记为46。
在用于形成等离子体的反应器5内部进行布局,如下图7A-7D所示。
该反应器包括外壳50,里面安装有两个绝缘件53、54和两个电极51、52,两个电极被连接到电力供应(图中未示出)。
所述待布局的衬底4放置在穿过衬底后表面430的下部电极52和下部绝缘件54上。
下部电极52被有圆形轮廓的下部绝缘件54环绕。优选地,电极52是圆形的且绝缘件54是环形的。
此外,上部绝缘件53是盘状的,且环绕上部绝缘件53的电极51是环形的。
上部绝缘件53安装在驱动装置上(图中未示出),允许上部绝缘件53沿垂直于衬底4的中间面的垂直轴位移,其本身平行于后表面430。因此上部绝缘件53可以在如图7D所示的位置(其中上部绝缘件53最大程度的远离待布局的衬底4)和如图7B和7C所示的位置(其中使上部绝缘件53更靠近该衬底4)之间移动。
用于形成等离子体的所述气体经由管道被引入外壳50内部,例如这里的总共两个,标记为55和56。
发生在外壳50内部的反应过程中所产生的挥发性材料,可以用吸出装置并经过孔(图中未示出)从外壳50排出。
电压被应用于电极51和52,其作用是在外壳50内部产生电场,并将引入管道55和56的气体转化为等离子体。
不同的参数,比如在所述外壳50内部现行的温度和压力,引入外壳50内部的气体的性质、流速和比例,所述等离子体的频率以及应用于电极51和电极52的接点的功率,对形成的等离子体的化学成分和对其性质(即沉积等离子体或蚀刻等离子体)具有影响。这些参数将详细说明如下。
优选地,形成的用来沉积和蚀刻的等离子体是各向同性的。这意味着,等离子体的功能可以经由等离子体中产生的自由基优选地获得,并任选地可以经由在所述等离子体的鞘中加速的离子来执行。
事实上,衬底4的后表面430与下部电极52和下部绝缘件54接触,允许在后表面上限定排除区域,标记为540,所述排除区域被掩盖,因此对等离子体是不可进入的。
如图中所示,绝缘件54的外直径精确地对应于后表面540上的排除区域的直径,因为待布局的衬底4直接接触绝缘件54。
此外,理所当然地放置待布局的衬底4,以便相对居中到环形绝缘件54上。
此外,上层绝缘件53还允许限定衬底的前表面411,即等离子体不可进入的所谓的“前面排除”区域530。
所述前面排除区域530的直径,不仅取决于上层绝缘件53的直径,而且还取决于其与待布局的衬底4的距离。因此,绝缘件53越是移动远离衬底的前表面411,前面排除区域530的直径越小(见图7A),相反,绝缘件53越是移动接近衬底的前表面411,前面排除区域530的直径越大(见图7B)。
衬底4的等离子体仍然可进入的周边环形区域,即延伸出上述区域530和区域540的该区域,标记为500。
现在将描述布局方法的不同步骤。
在将待布局的衬底4引入外壳5使得待布局的衬底4的后表面430抵靠在绝缘件54和下部电极52上之后,调整用于形成等离子体的参数,以便在所述可进入的环形区域400上沉积一层保护材料6。
优选地,该保护材料6是聚合物。
还是优选地,保护材料6是聚乙烯类型的聚合物,获得由乙烯C2H4形成的等离子体。
上层绝缘件53接下来更靠近衬底4的前表面411,从而扩大前面排除区域530并增大其直径。应注意到,绝缘件53从不接触衬底的前表面411,以避免损坏前表面411或污染前表面411。
在该位置中,如图7B所示,调整等离子体的参数,以便获得保护材料6的局部蚀刻。该蚀刻是局部的,因此其能够只在衬底4的前表面411上保持保护材料的环60。
由于扩大的前面排除区域530,该局部蚀刻是可能的。在绝缘件53的位置,如图7A所示,环60建立的区域是等离子体可进入的,且保护材料6能够沉积其中。另一方面,当绝缘件53降低时,保护材料对应于环60的部分不再是等离子体可进入的,因此不被等离子体蚀刻。换言之,当前面排除区域530的表面区域增大时,等离子体可进入的区域500减小。所述减小的可进入区域标记为500'。
蚀刻保护材料6的等离子体的性质一定取决于该材料的化学性质。
例如,对聚乙烯的保护材料,通过基于氧气(O2)的等离子体进行蚀刻。
接下来的步骤如图7C所示。保持上部绝缘件53在如图7B所示的位置同时,改变引入外壳50内部的气体的性质,以生成能够蚀刻处于等离子体可进入的周边环形区域500'的表面层41的材料的等离子。然后修改参数用于蚀刻绝缘层42。
例如,当形成表面层41的材料是硅时,蚀刻等离子体是氩气和SF6(六氟化硫)以及可选的氮气的混合,而当形成绝缘层42的材料是氧化物时,那么刻蚀的等离子体是氮气和CHF3(三氟甲烷)的混合,或进一步为氧气(O2)和四氟化碳(CF4)的混合。
如图7C所示,在其周边获得待布局的衬底4不仅在其前表面与其后表面上,也在其侧面上。
如果必要,可以继续布局,以便蚀刻支承43的一部分到深度P。
最后,如图7D所示,上层绝缘件之后远离衬底4的前面41且修改等离子体的参数以生成允许移除保护材料的环60的蚀刻等离子体。该蚀刻等离子体通常与用于如图7B所示的蚀刻步骤的蚀刻等离子体一样。
对于刚刚描述的所有步骤,其涉及到沉积等离子体或蚀刻等离子体的使用,惯例的等离子体频率是13.56MHz。功率约为大约100W到500W。等离子体的应用的持续时间在5至40秒之间改变。等离子体的功率和持续时间的组合尤其起到影响蚀刻厚度的作用。最后,外壳内部的压力为大约几托(1托相当于大约102Pa或者更准确地说是133Pa)。
封装:
该封装可以通过快速热退火处理(已知首字母缩写为“RTA”(快速热退火))实现,清洗步骤可有利地先于快速热退火处理。
通常情况下,标准的清洗(步骤)可以是这样的顺序:臭氧(O3)/RCA,RCA处理包括处理表面,其顺序有:
第一溶液浴,其已知首字母缩写为“SC1”(“Standard Clean 1”,其意思为“标准清洗液1”)下,所述溶液包括氢氧化铵(NH4OH)、过氧化氢(H2O2)和去离子水的混合,
第二溶液浴,其已知首字母缩写为“SC2”(“Standard Clean 2”,其意思为“标准清洗液2”)下,所述溶液包括盐酸(HCL)、过氧化氢(H2O2)和去离子水的混合。
在短时间的高温中进行RTA处理。
优选地,该热处理在温度在约1150°C到1300°C之间进行,还是优选地在1200°C。该处理进行的持续时间为15秒到5分钟,优选地为少于3分钟。该RTA(快速热退火)优选地在过氢气和/或氩气气氛下获得。
也可以通过外延进行封装处理。
可以通过RTA处理然后通过外延获得封装,反之亦然,这些步骤在如前面所述的那些(条件)的同样条件下获得。
也可以通过激光退火(“laser anneal”)获得封装,该退火可以任选地定位且限于包括表面层41和绝缘层42的布局后的薄膜的边缘。
根据本发明的所述方法因此有很多优点。用所述方法,能够获得在封装部分周围的周边冠(其宽度为L1),不仅有规律地在SeOI型衬底的周围,还按可复制的方式从一个衬底到其它衬底(见图6C)。
根据本发明所述方法进一步可大量减少衬底制造中的总体缺陷率。事实上,布局步骤不仅允许移除薄片44和锯齿状边缘45,也允许移除边缘处出现的大部分颗粒,且如果缺少这样的步骤,颗粒就能够在RTA处理之前的清洗步骤过程中被沉积在SeOI型衬底的表面层41上。没有该布局步骤,此半导体材料颗粒可能从周边向衬底的中心移动,且半导体材料颗粒在RTA处理过程中被最后密封在该衬底上。
最后,本发明发现在包括牺牲氧化和脱氧步骤的精加工处理中的具体应用。
将提及两个示例性的方法,包括根据本发明的精加工步骤。
第一个方法包括如下步骤:
-拆离,以进行层的转移并获得SOI型衬底,
-布局,之后为根据本发明方法的封装处理,
-第一次牺牲氧化,之后为第一次脱氧,
-RTA处理,
-任选地第二牺牲氧化步骤,之后为第二脱氧步骤,
第二个方法如下:
-拆离,以进行层的转移并获得SOI型衬底,
-RTA处理,
-第一牺牲氧化,之后为第一脱氧,
-布局,之后为根据本发明方法的封装处理,
-任选地第二牺牲氧化步骤,之后为第二脱氧步骤。
这两种方法都具体地用于提供意在部分或全部耗尽型SOI应用的衬底。

Claims (15)

1.一种精加工绝缘体上半导体SeOI型衬底(4)的方法,所述衬底包括埋在两层半导体材料(41,43)之间的绝缘体层(42),所述方法顺序包括:
-对所述衬底(4)的周边环形的进行布局,以获得布局后的衬底(4'),
-用半导体材料(410)对所述布局后的衬底(4')进行封装,从而覆盖所述掩埋绝缘体层(42)的布局后的侧边缘(420);
其特征在于,所述布局包括如下步骤:
-用等离子体在所述SeOI型衬底(4)的周边环形区域上沉积一层保护材料(6),
-用等离子体完成所述保护材料(6)的局部蚀刻,从而在所述SeOI型衬底(4)的前表面(411)上保持保护材料的环(60),所述环(60)从所述衬底的侧边缘(46)延伸一定距离,并由此限定了所述衬底对于等离子体可进入的缩小的周边环形区域(500’),
-生成局部蚀刻的等离子体,位于所述SeOI型衬底(4)的所述缩小的周边环形区域(500’),以便蚀刻出现在该区域(500’)中超过一定厚度的材料,
-通过等离子体移除保护材料(6)的所述环。
2.根据权利要求1所述的精加工绝缘体上半导体SeOI型衬底(4)的方法,其特征在于,在布局后立即进行对所述布局后的衬底(4')的封装。
3.根据权利要求1或权利要求2所述的精加工绝缘体上半导体SeOI型衬底(4)的方法,其特征在于,通过研磨所述SeOI型衬底(4)的所述周边环形边缘进行所述布局。
4.根据权利要求1或权利要求2所述的精加工绝缘体上半导体SeOI型衬底(4)的方法,其特征在于,通过蚀刻所述SeOI型衬底(4)的所述周边环形边缘进行所述布局。
5.根据权利要求1所述的精加工绝缘体上半导体SeOI型衬底(4)的方法,其特征在于,通过使所述布局后的衬底(4')经受快速热退火处理来进行对所述布局后的衬底(4')的封装。
6.根据权利要求5所述的精加工绝缘体上半导体SeOI型衬底(4)的方法,其特征在于,所述快速热退火处理在1150℃至1300℃之间的温度下进行,持续时间为15秒至5分钟。
7.根据权利要求5或权利要求6所述的精加工绝缘体上半导体SeOI型衬底(4)的方法,其特征在于,所述快速热退火处理在氢气和/或氩气气氛下进行。
8.根据权利要求1所述的精加工绝缘体上半导体SeOI型衬底(4)的方法,其特征在于,通过外延进行封装所述布局后的衬底(4')的所述步骤。
9.根据权利要求1所述的精加工绝缘体上半导体SeOI型衬底(4)的方法,其特征在于,通过快速热退火处理以及通过外延进行封装所述布局后的衬底(4')的所述步骤。
10.根据权利要求1所述的精加工绝缘体上半导体SeOI型衬底(4)的方法,其特征在于,通过用激光退火进行封装所述布局后的衬底(4')的步骤。
11.根据权利要求1所述的精加工绝缘体上半导体SeOI型衬底(4)的方法,其特征在于,在用于形成各向同性的等离子体的外壳(50)中进行所述布局。
12.根据权利要求11所述的精加工绝缘体上半导体SeOI型衬底(4)的方法,其特征在于,所述布局通过如下步骤进行:
-将所述SeOI型衬底(4)引入用于形成各向同性的等离子体的外壳(50)内部,所述外壳配备有盘形的上部绝缘件(53)和两个电极(51,52),所述两个电极中的一个电极(52),即所谓的下部电极被圆形轮廓的下部绝缘件(54)环绕,所述衬底(4)位于所述外壳(50)中,使得所述衬底(4)的后表面(430)接触所述下部电极(52)并接触所述下部绝缘件(54),以便在所述后表面上限定对于等离子体不可进入的排除区域(540),所述上部绝缘件(53)位于距离所述SeOI型衬底(4)的所述前表面(411)一定距离处,以在所述前表面上也限定对于等离子体不可进入的排除区域(530),下部绝缘件(54)和上部绝缘件(53)的外直径均小于待布局的所述SeOI型衬底(4)的直径,所述衬底的剩余部分形成对于等离子体可进入的所述周边环形区域(500),
-通过在所述外壳(50)中形成的等离子体将所述一层保护材料(6)沉积在所述周边环形区域(500)上,
-使所述上部绝缘件(53)更接近所述SeOI型衬底的所述前表面(411),并对所述保护材料(6)进行所述局部蚀刻,
-将所述上部绝缘件(53)保持在其位置的同时,蚀刻形成所述SeOI型衬底(4)的所述材料的一定厚度,
-使所述上部绝缘件(53)移动远离所述衬底的所述前表面(411),并移除保护材料的所述环(60)。
13.根据权利要求1所述的精加工绝缘体上半导体SeOI型衬底(4)的方法,其特征在于,在所述布局与封装的步骤之后为牺牲氧化步骤,接着为脱氧步骤。
14.根据权利要求13所述的精加工绝缘体上半导体SeOI型衬底(4)的方法,其特征在于,在所述牺牲氧化和脱氧步骤之后进行快速热退火处理,接着任选地进行第二牺牲氧化和第二脱氧。
15.根据权利要求1所述的精加工绝缘体上半导体SeOI型衬底(4)的方法,其特征在于,所述方法包括第一系列步骤,所述第一系列步骤包括快速热退火处理和其后的牺牲氧化和脱氧,且该第一系列步骤在用于布局和封装所述衬底的所述步骤之前。
CN201180013740.3A 2010-03-18 2011-03-14 精加工绝缘体上半导体型衬底的方法 Active CN102792438B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
FR1051918 2010-03-18
FR1051918A FR2957716B1 (fr) 2010-03-18 2010-03-18 Procede de finition d'un substrat de type semi-conducteur sur isolant
PCT/EP2011/053760 WO2011113775A1 (en) 2010-03-18 2011-03-14 Method for finishing a substrate of the semiconductor-on-insulator type

Publications (2)

Publication Number Publication Date
CN102792438A CN102792438A (zh) 2012-11-21
CN102792438B true CN102792438B (zh) 2014-10-22

Family

ID=42983498

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180013740.3A Active CN102792438B (zh) 2010-03-18 2011-03-14 精加工绝缘体上半导体型衬底的方法

Country Status (8)

Country Link
US (1) US8617962B2 (zh)
EP (1) EP2548220A1 (zh)
JP (1) JP2013522896A (zh)
KR (1) KR101905788B1 (zh)
CN (1) CN102792438B (zh)
FR (1) FR2957716B1 (zh)
SG (1) SG183797A1 (zh)
WO (1) WO2011113775A1 (zh)

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
WO2013005619A1 (ja) 2011-07-07 2013-01-10 日産化学工業株式会社 樹脂組成物
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
FR2987682B1 (fr) 2012-03-05 2014-11-21 Soitec Silicon On Insulator Procede de test d'une structure semi-conducteur sur isolant et application dudit test pour la fabrication d'une telle structure
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
FR2999801B1 (fr) 2012-12-14 2014-12-26 Soitec Silicon On Insulator Procede de fabrication d'une structure
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
JP6036732B2 (ja) * 2014-03-18 2016-11-30 信越半導体株式会社 貼り合わせウェーハの製造方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9343343B2 (en) * 2014-05-19 2016-05-17 Asm Ip Holding B.V. Method for reducing particle generation at bevel portion of substrate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD816735S1 (en) 2016-08-23 2018-05-01 Samsung Electronics Co., Ltd. Door for refrigerator
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
FR3091620B1 (fr) * 2019-01-07 2021-01-29 Commissariat Energie Atomique Procédé de transfert de couche avec réduction localisée d’une capacité à initier une fracture
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5233218A (en) * 1990-09-20 1993-08-03 Fujitsu Limited Semiconductor wafer and process for producing same
CN1223458A (zh) * 1998-01-13 1999-07-21 三菱电机株式会社 半导体衬底的处理方法和半导体衬底
CN1757097A (zh) * 2003-03-04 2006-04-05 S.O.I.Tec绝缘体上硅技术公司 对多层晶片的环圈的预防性处理工艺
CN1826433A (zh) * 2003-07-24 2006-08-30 S.O.I.Tec绝缘体上硅技术公司 外延生长层的制造方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3521587B2 (ja) * 1995-02-07 2004-04-19 セイコーエプソン株式会社 基板周縁の不要物除去方法及び装置並びにそれを用いた塗布方法
JP3846657B2 (ja) * 1997-11-28 2006-11-15 株式会社Sumco 貼り合わせ基板およびその製造方法
DE60029578T2 (de) 1999-10-14 2007-07-26 Shin-Etsu Handotai Co., Ltd. Verbundscheiben-herstellungsmethode
JP2002110654A (ja) * 2000-10-04 2002-04-12 Sony Corp 半導体装置の製造方法
FR2827423B1 (fr) * 2001-07-16 2005-05-20 Soitec Silicon On Insulator Procede d'amelioration d'etat de surface
JP2003224247A (ja) * 2002-01-29 2003-08-08 Shin Etsu Handotai Co Ltd Soiウエーハ及びsoiウエーハの製造方法
KR100447891B1 (ko) * 2002-03-04 2004-09-08 강효상 반도체 웨이퍼의 건식 식각 방법
JP5028845B2 (ja) 2006-04-14 2012-09-19 株式会社Sumco 貼り合わせウェーハ及びその製造方法
JP2007317988A (ja) 2006-05-29 2007-12-06 Shin Etsu Handotai Co Ltd 貼り合わせウエーハの製造方法
FR2935535B1 (fr) 2008-09-02 2010-12-10 S O I Tec Silicon On Insulator Tech Procede de detourage mixte.
FR2941302B1 (fr) * 2009-01-19 2011-04-15 Soitec Silicon On Insulator Procede de test sur le substrat support d'un substrat de type "semi-conducteur sur isolant".
FR2953988B1 (fr) * 2009-12-11 2012-02-10 S O I Tec Silicon On Insulator Tech Procede de detourage d'un substrat chanfreine.

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5233218A (en) * 1990-09-20 1993-08-03 Fujitsu Limited Semiconductor wafer and process for producing same
CN1223458A (zh) * 1998-01-13 1999-07-21 三菱电机株式会社 半导体衬底的处理方法和半导体衬底
CN1757097A (zh) * 2003-03-04 2006-04-05 S.O.I.Tec绝缘体上硅技术公司 对多层晶片的环圈的预防性处理工艺
CN1826433A (zh) * 2003-07-24 2006-08-30 S.O.I.Tec绝缘体上硅技术公司 外延生长层的制造方法

Also Published As

Publication number Publication date
US8617962B2 (en) 2013-12-31
FR2957716A1 (fr) 2011-09-23
FR2957716B1 (fr) 2012-10-05
KR20130050924A (ko) 2013-05-16
US20130005122A1 (en) 2013-01-03
WO2011113775A1 (en) 2011-09-22
SG183797A1 (en) 2012-10-30
EP2548220A1 (en) 2013-01-23
CN102792438A (zh) 2012-11-21
KR101905788B1 (ko) 2018-10-08
JP2013522896A (ja) 2013-06-13

Similar Documents

Publication Publication Date Title
CN102792438B (zh) 精加工绝缘体上半导体型衬底的方法
KR101145074B1 (ko) 반도체 기판의 제조 방법 및 이를 이용한 반도체 장치의 제조 방법
JP4977999B2 (ja) 貼合せ基板の製造方法及びその方法で製造された貼合せ基板
US8357587B2 (en) Method for routing a chamfered substrate
US7776719B2 (en) Method for manufacturing bonded wafer
WO2005117123A1 (ja) Soi基板及びその製造方法
KR101667961B1 (ko) 실리콘-온-인슐레이터 기판의 씨닝 방법
KR101487371B1 (ko) 기판의 재활용 방법, 적층 웨이퍼 제작 방법 및 적합한 재활용 도너 기판
KR20070116224A (ko) 접합 웨이퍼의 제조방법 및 접합 웨이퍼
US20140273480A1 (en) Method for producing a substrate provided with edge protection
CN109712926B (zh) 一种半导体器件的制造方法
US9484263B1 (en) Method of removing a hard mask on a gate
KR20180015634A (ko) 접합 soi 웨이퍼의 제조방법
KR20180016394A (ko) Soi웨이퍼의 제조방법
US20140021631A1 (en) Semiconductor device and manufacturing method thereof
US9558927B2 (en) Wet cleaning method for cleaning small pitch features
KR100898649B1 (ko) Soi기판 및 그 제조방법
JP4581349B2 (ja) 貼合せsoiウェーハの製造方法
US20070077772A1 (en) Apparatus and method for manufacturing semiconductor device using plasma
TWI752561B (zh) 形成半導體結構的方法、形成絕緣層上半導體(soi)基底的方法以及半導體結構
CN215869300U (zh) 一种半导体结构
CN108172513A (zh) 使用具有由不含氧材料形成的顶板的室进行蚀刻
US20210098281A1 (en) Method of forming semiconductor-on-insulator (soi) substrate
JP2008205456A (ja) 半導体素子の形成方法
KR20060099614A (ko) 반도체 소자의 소자분리막 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant