WO2004008052A2 - Systeme et procede de refroidissement d'un appareil de traitement thermique - Google Patents

Systeme et procede de refroidissement d'un appareil de traitement thermique Download PDF

Info

Publication number
WO2004008052A2
WO2004008052A2 PCT/US2003/021645 US0321645W WO2004008052A2 WO 2004008052 A2 WO2004008052 A2 WO 2004008052A2 US 0321645 W US0321645 W US 0321645W WO 2004008052 A2 WO2004008052 A2 WO 2004008052A2
Authority
WO
WIPO (PCT)
Prior art keywords
gas
plenum
port
vessel
cooling
Prior art date
Application number
PCT/US2003/021645
Other languages
English (en)
Other versions
WO2004008052A3 (fr
Inventor
Taiquing Qiu
Craig Collins
Original Assignee
Aviza Technology, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aviza Technology, Inc. filed Critical Aviza Technology, Inc.
Priority to AU2003256486A priority Critical patent/AU2003256486A1/en
Publication of WO2004008052A2 publication Critical patent/WO2004008052A2/fr
Publication of WO2004008052A3 publication Critical patent/WO2004008052A3/fr

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Definitions

  • the present invention relates generally to systems and methods for heat- treating objects, such as substrates. More specifically, the present invention relates to a system and method for cooling an apparatus used for heat treating, annealing, and depositing layers of material on or removing layers of material from a semiconductor wafer or substrate.
  • Thermal processing apparatuses are commonly used in a wide variety of industries including in the manufacture of integrated circuits (ICs) or semiconductor devices from semiconductor substrates or wafers.
  • Thermal processing of semiconductor wafers include, for example, heat treating, annealing, diffusion or driving of dopant material, deposition or growth of layers of material, and etching or removal of material from the wafer.
  • These processes often require the wafer to be heated to a temperature as high as 350°C -1300°C before and during the process, and that one or more fluids, such as a process gas or reactant, be delivered to the wafer.
  • the wafer must be maintained at a uniform temperature throughout the process, despite variations in the temperature of the process gas or the rate at which it is introduced into the process vessel.
  • FIG. 1 A cross-sectional schematic view of a thermal processing apparatus is shown in FIG. 1.
  • a conventional thermal processing apparatus 20 typically consists of a voluminous process chamber or vessel 22 positioned in or surrounded by a furnace 24. Wafers 26 to be thermally processed are held in a cassette or boat 27 and placed in the process vessel 22, which is then heated by the furnace 24 to a desired temperature at which the processing is performed. For many processes the sealed process vessel 22 seals to a base plate 28 and is evacuated through a valve 29 prior to processing. Once the process vessel 22 has reached the desired pressure and temperature reactive or process gases are introduced to process the wafer 26.
  • deposition and etching processes are often highly temperature dependent.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • thermal stress on residues formed on surfaces of the process vessel caused by fluctuations of the process vessel temperature during processing. These thermal fluctuations can occur, for example, when the furnace is de-energized or operated at reduced power during transport of the wafer in and out of the process vessel. Thermal fluctuations of the process vessel during processing can cause it to expand and contract such that material deposited thereon flakes off during processing and contaminate the wafer being processed. This particulate contamination can render the wafer unusable, or require costly reprocessing of the wafer.
  • Conventional temperature control systems include water-jacket re-circulating systems and forced-air cooling systems.
  • Water-jacket systems re-circulate water through cooling channels that surround the process vessel.
  • the cooling channels occupy space around the process vessel that is often needed for placement of other external components.
  • the cooling channels have to avoid the external components, localized hot spots often occur at locations bypassed by the cooling channels.
  • Forced air cooling systems are described in, for example, U.S. Pat. No. 5,160,545, which is incorporated herein by reference. Forced air cooling systems, typically use a fan to blow air across the process vessel surfaces and through a heat exchanger for cooling. However, portions of the process vessel surface that are shielded from the cooling air by components become hotter than other unshielded portions. Moreover, because the primary mode of heat transfer is conduction through contact with gas molecules, forced air systems require large fans to provide flow rates sufficient to control large temperature fluctuations, such as the temperature changes caused by turning on and off the furnace. Large fans can present difficulties in placement in or near a controlled environment, such as a clean room in which thermal processing apparatus is usually operated.
  • a forced-air system 30 for controlling the temperature of a process vessel 22 is shown in FIG. 1.
  • heating elements 32 of the furnace 24 maintain the temperature stable during idle and run modes. Excess heat is dissipated by a fan or blower 34 that blows re-circulated air into an annular passageway or plenum 36 defined by an inner wall 38 of the furnace 24 and an outer surface 40 of the process vessel 22.
  • a fan or blower 34 that blows re-circulated air into an annular passageway or plenum 36 defined by an inner wall 38 of the furnace 24 and an outer surface 40 of the process vessel 22.
  • air or a cooling gas is injected near the lower end of the furnace 24 and flows up through plenum 36 over the surface 40 of the process vessel 22 and out to a heat exchanger 42 having cooling coils 44 through which a heat transfer fluid is passed.
  • the first problem arises, because cold air is injected near the bottom of the process vessel 22 the wafers 26 disposed near a lower portion of a stack of wafers are cooled more quickly than those wafers located toward the top portion of the wafer stack. This results in non-uniform temperature distribution an non-uniform processing of the wafers 26. Moreover, because cold air is usually injected on one or a few sides of the process vessel 22, one side of the process vessel and the wafers 26 therein may be cooled more quickly than another resulting in a temperature gradient across the wafers caused by convective and conductive heat transfer of thermal radiant energy from the wafers to the process vessel. In addition, although not shown in FIG.
  • portions of the process vessel surface 40 are often shielded from the cooling air by components on the base plate or attached to or near the process vessel. These shielded portions of the process vessel 22 can become hotter than other unshielded portions, again resulting in a temperature gradient across the wafers 26. Such temperature gradients are particularly undesirable when processing wafers 26 having a crystalline structure since excessive radial thermal gradient can produce slip dislocations in the crystalline structure of in the wafers.
  • the second problem with conventional forced- air systems 30, arises from the fact that cold air travels linearly from the bottom 28 of the plenum 36 to the top resulting in an ineffective cooling capacity.
  • pushing the cold air to the top of the plenum 36 typically requires a large blower 34.
  • a large blower 34 is also required to provide volume or flow rates sufficient to effectuate appropriate heat exchange and to control large fluctuations in heat load, such as those caused by turning on and off the heating elements 32.
  • This requirement for a large or high capacity blowers 34 leads to more expensive manufacturing costs for conventional forced-air systems 30.
  • Large blowers 34 can present difficulties in placement in or near a controlled environment, such as a clean room in which thermal processing apparatus is usually operated. That is, the mechanical vibrations induced by a large blower 34 can cause flaking of deposits formed on the process vessel 22 surfaces or movement of the enclosed wafer 26, both of which are undesirable.
  • cooling system and method for quickly and uniformly cooling a process vessel of a thermal processing apparatus. It is further desirable that the cooling system and method be able to quickly control large fluctuations in heat load. It is also desirable that the cooling system and method not require large fans or blowers located near the thermal processing apparatus that could induce the mechanical vibrations which can damage or interfere with processing of an enclosed wafer.
  • the cooling system of the present application provides a solution to these and other problems, and offers other advantages over the prior art.
  • a cyclonic cooling system and method are provided for cooling a thermal processing apparatus used for heating work pieces, such as semiconductor substrates or wafers, for performing processes such as annealing, diffusion or driving of dopant material, deposition or growth of layers of material, and etching or removal of material from the wafer.
  • a cyclonic cooling system for cooling a thermal processing apparatus used for processing a substrates held in a carrier at high or elevated temperatures.
  • the apparatus includes a vessel for containing the substrate to be processed, and a heat source having a number of heating elements supply thermal radiation to heat the substrate.
  • the vessel has a cylindrical portion and a wall made of a material that is thermally conductive or substantially transparent to thermal radiation, and the heating elements are distributed around and spaced apart from the vessel to form a plenum which extends from the vessel to the heat source.
  • the plenum has an annular portion and an outer circumference defined by an inner limit of the heat source.
  • the cooling system has a first port and a blower with an outlet coupled to the first port to supply a gas thereto.
  • Gas is ejected from the plenum through a second port axially separated from the first port.
  • the first port is oriented to inject the gas into the plenum substantially tangential to the outer circumference thereof to initiate a vortex flow along the wall of the vessel.
  • the cooling system is a closed-loop cooling system including an gas-to-fluid heat exchanger coupled to an inlet of the blower to supply cooled gas thereto, and coupled to the second port to receive gas ejected therefrom.
  • the heat exchanger is also coupled to a source of cooling fluid, such as a facility chilled water supply.
  • the cooling system further includes flow switching valves coupled between the inlet and outlet of the heat exchanger and the first and second ports to reverse direction of the vortex flow of the gas.
  • the cooling system further includes a controller to control operation of the flow switching valves to alternate the direction of the vortex flow of the gas at least once during a cooling operation.
  • the cooling system the heat source includes an insulator having an inner wall with a cylindrical portion that is radially separated from the vessel by the plenum, and is coaxial with the heating elements, the vessel and the plenum.
  • the cylindrical portion of the inner wall of the insulator includes baffles to direct the vortex flow of the gas.
  • the heating elements can be recessed or embedded in the insulator adjacent to the inner wall thereof, or attached to the inner wall of the insulator.
  • the baffles also direct the vortex flow of the gas along the wall of the vessel to cool the vessel.
  • at least some of the baffles also direct the vortex flow of the gas to cool the heating elements.
  • the first port and baffles are oriented to cause the gas to rotate around the vessel at least once before being ejected from the plenum.
  • the first port includes a duct extending through the insulator, and the duct is tapered from a first cross-sectional area adjacent to an outer wall of the insulator to a second, smaller cross-sectional area adjacent to the inner wall of the insulator to increase velocity of the gas injected into the plenum.
  • the apparatus generally includes a vessel for containing the substrate to be processed, and a heat source with a heating elements to supply thermal radiation to heat the substrate.
  • the vessel has a cylindrical wall.
  • the heating elements are distributed around and spaced apart from the vessel to form a plenum which extends from the vessel to the heat source.
  • the plenum has an annular portion and having an outer circumference defined by an inner limit of the heat source.
  • the method involves: (i) injecting a gas through a first port oriented substantially tangentially to the outer circumference of the plenum; and (ii) ejecting the gas from the plenum through a second port axially separated from the first port to eject the gas from the plenum, whereby a vortex flow of the gas is initiated along the wall of the vessel to cool the vessel and/or the heating elements.
  • the steps of injecting the gas through the first port and ejecting the gas from the plenum include the steps of injecting the gas through the first port and ejecting the gas from the plenum through the second port to cause the gas to rotate around the vessel at least once before being ejected from the plenum.
  • the apparatus further includes a blower with an outlet coupled to the first port to supply gas thereto, and a gas-to-fluid heat exchanger coupled to an inlet of the blower to supply cooled gas thereto, and to the second port to receive gas ejected therefrom.
  • the step of injecting gas through the first port includes the step of operating the blower to supply gas to the first port, and the step of ejecting the gas from the plenum through the second port includes the step of receiving gas ejected therefrom in the heat exchanger.
  • the apparatus further includes flow switching valves coupled between the heat exchanger and the first and second ports to reverse direction of the vortex flow of the gas
  • the method includes the further step of operating the flow switching valves to alternate the direction of the vortex flow of the gas at least once during a cooling operation.
  • a cooling system for cooling a heat source or furnace used in a thermal processing apparatus for processing a substrates held in a carrier at high or elevated temperatures.
  • the apparatus includes a vessel for containing the substrate to be processed, and a heat source having a number of heating elements to heat the substrate.
  • the vessel has a top wall and a side wall made of a material which is thermally conductive or substantially transparent to thermal radiation.
  • the heating elements are distributed about and spaced apart from the vessel to form a plenum which extends from the vessel to the heat source.
  • the heat source further includes an insulator disposed about the heating elements and separated from the vessel by the plenum.
  • the insulator has a side portion with an inner wall and a top block abutting the side portion.
  • the cooling system includes an actuator adapted to move the top block a predetermined distance from the side portion of the insulator to define a gap therebetween, thereby enabling a fluid introduced into the plenum to flow through the plenum and out through the gap to cool the heat source.
  • the actuator can include any suitable device capable of being operated to lift the top block, and can include mechanical devices such as pneumatic cylinders, hydraulic cylinders, solenoids, hoists or lead screws.
  • the fluid is a cooling gas
  • the apparatus further includes an injection port axially separated from the gap to introduce the cooling gas into the plenum.
  • the apparatus further includes a blower coupled to the injection port to supply cooling gas thereto.
  • the cooling system is a closed-loop cooling system including a gas-to-fluid heat exchanger that is coupled to the plenum through the gap to receive heated cooling gas ejected therefrom, and coupled to the injection port through the blower to supply cooled cooling gas thereto. The heat exchanger being also coupled to a source of cooling fluid.
  • the cooling system further includes a controller to control operation of the actuator to automatically move the top block to initiate flow through the plenum and out through the gap.
  • the controller and the actuator are adapted to move the top block to a first predetermined position to provide a first rate of cooling, and to a second predetermined position to provide a second rate of cooling.
  • FIG. 1 prior art is a cross-sectional schematic view of a prior art thermal processing apparatus having a conventional forced-air cooling system
  • FIG. 2 is a cross-sectional schematic view of a thermal processing apparatus having a cyclonic cooling system according to an embodiment of the present invention
  • FIG. 3 is a perspective view of a portion of the thermal processing apparatus having a cyclonic cooling system according to an embodiment of the present invention
  • FIG. 4 is a cross-sectional side view of an insulator of the thermal processing apparatus of FIG. 3 showing a vortex flow of gas injected by the cooling system according to an embodiment of the present invention
  • FIG. 5 is a cross-sectional top view of a portion of an insulator of a thermal processing apparatus showing contour of the injection port and ejection port according to an embodiment of the present invention
  • FIG. 6 is a cross-sectional side view of a portion of the insulator of FIG. 5;
  • FIG. 7 is a cross-sectional side view of an insulator of a thermal processing apparatus showing vortex flow of gas injected by the cooling system according to another embodiment of the present invention.
  • FIG. 8 is flowchart showing an embodiment of a process for cooling an apparatus for thermally processing a substrate using a cyclonic cooling system according to an embodiment of the present invention whereby apparatus is quickly and uniformly cooled to a desired temperature;
  • FIG. 9 is a cross-sectional schematic view of a thermal processing apparatus having a cooling system according to an embodiment of the present invention
  • FIG. 10 is a cross-sectional schematic view of the thermal processing apparatus of FIG. 9 showing a top block in a raised position
  • FIG. 11 is a cross-sectional schematic view of a thermal processing apparatus having a cooling system according to another embodiment of the present invention.
  • FIG. 12 is flowchart showing an embodiment of a process for cooling an apparatus for thermally processing a substrate using an embodiment a cooling system having a movable top block according to the present invention.
  • a system and method is provided for cooling a thermal processing apparatus used for thermal processing work pieces, such as semiconductor substrates or wafers.
  • thermal processing it is meant processes that require or result in the work piece or wafer being heated to a desired temperature before and during processing.
  • semiconductor wafers are heated as high as 1300°C.
  • Thermal processing of semiconductor wafers can include, for example, heat treating, annealing, diffusion or driving of dopant material, deposition or growth of layers of material, such as chemical vapor deposition or CVD, physical vapor deposition (PVD) processes, and etching or removal of material from the wafers.
  • thermal processing apparatus according to one embodiment will now be described with reference to FIG. 2. For purposes of clarity, many of the details of thermal processing apparatuses that are widely known and are not relevant to the present invention have been omitted. Thermal processing apparatuses are described in more detail in, for example, commonly assigned U.S. Patent number 6,005,225, which is incorporated herein by reference.
  • FIG. 2 is a cross-sectional view of a thermal processing apparatus for thermally processing a batch of semiconductor wafers, and having an embodiment of a cyclonic cooling system.
  • the thermal processing apparatus 100 generally includes a process vessel 102 having a support 104 adapted for receiving a carrier or boat 106 with a batch of wafers 108 held therein, and heat source or furnace 110 having a number of heating elements 112 for raising a temperature of the wafers to the desired temperature for thermal processing.
  • the thermal processing apparatus 100 further includes one or more optical or electrical temperature sensing elements, such as a resistance temperature device (RTD) or thermal couple (T/C), for monitoring the temperature within the process vessel 102 and/or controlling operation of the heating elements 112.
  • RTD resistance temperature device
  • T/C thermal couple
  • the thermal processing apparatus 100 can also include one or more injectors 116 (only one of which is shown) for introducing a fluid, such as a gas or vapor, into the process vessel 102 for processing and/or cooling the wafers 108, and one or more vents or purge ports 118 (only one of which is shown) for introducing a gas to purge the process vessel and/or to cool the wafers.
  • injectors 116 only one of which is shown
  • vents or purge ports 118 only one of which is shown
  • the thermal processing apparatus 100 may further include a vessel liner 120 inside the process vessel 102 to increase the concentration of processing gas or vapor near the wafers 108, and to reduce contamination of the wafers from flaking or peeling of deposits that can form on interior surfaces of the process vessel 102.
  • the process vessel 102 is sealed by a seal, such as an o-ring 122, to a platform or base-plate 124 to completely enclose the wafers 108 during thermal processing. Openings for the injectors 116, T/Cs 114A, and purge ports 118 are sealed using seals such as o-rings, VCR ® , or CF ® fittings.
  • Gases or vapor released or introduced during processing are evacuated through an exhaust port 126 or foreline formed in a wall of the process vessel 102 (not shown) or in a plenum of the baseplate 124, as shown in FIG. 2, or by any other suitable technique.
  • the process vessel 102 can be maintained at atmospheric pressure during thermal processing or evacuated to a vacuum as low as 4 millitorr through a pumping system (not shown) including one or more roughing pumps, blowers, hi -vacuum pumps, and roughing, throttle and foreline valves.
  • the process vessel 102 and liner 120 can be made of any metal, ceramic, crystalline or glass material that is capable of withstanding the thermal and mechanical stresses of high temperature and high vacuum operation, and which is resistant to erosion from gases and vapors used or released during processing.
  • the process vessel 102 is made from an opaque, translucent or transparent quartz glass having a sufficient thickness to withstand the mechanical stresses and that resists deposition of process byproducts, thereby reducing potential contamination of the processing environment.
  • the process vessel 102 and liner 120 are made from a quartz that reduces or eliminates the conduction of heat away from the region or processing zone 128 in which the wafers 108 are processed to the seal 122.
  • a portion of the process vessel 102 near the seal 122 comprises an opaque quartz to reduce the transfer of heat from the process vessel to the seal 122 and to increase thermal efficiency of the apparatus by reducing the transfer of heat away from the processing zone 128 through sidewalls of the process vessel.
  • the batch of wafers 108 is introduced into the process vessel 102 through a load lock or loadport (not shown) and an access or opening in the process vessel or base-plate 124 capable of forming a gas tight seal therewith.
  • the process vessel 102 is a vertical reactor and the access utilizes a movable pedestal 130 that is raised during processing to seal with a seal, such as an o-ring 132 on the base-plate 124, and lowered to enable an operator or an automated handling system, such as a boat handling unit (BHU) (not shown), to position the carrier or boat 106 on the support 104 affixed to the pedestal.
  • a boat handling unit BHU
  • the thermal processing apparatus 100 further includes a cyclonic cooling system 140 adapted to inject a cooling fluid into a generally annular space or plenum 142 which is defined by and extends from the process vessel 102 the furnace 110.
  • the annular portion of the plenum 142 has an outer circumference defined by an inner limit of the furnace 110 or heating elements 112.
  • the cyclonic cooling system 140 is adapted to inject the cooling fluid substantially tangentially to the outer circumference of the plenum 142 to initiate a helical or vortex flow over the surface of the process vessel 102 to cool the vessel.
  • the cyclonic cooling system 140 generally includes a first opening or injection port 144 located at one end of the plenum 142 through which the cooling fluid is injected and a second opening or exhaust port 146 located at the other end of the plenum axially separated from the injection port through which the cooling fluid exits the plenum.
  • the cooling fluid is a cooling gas, such as air or nitrogen
  • the cyclonic cooling system 140 further includes a pump, fan or blower 148 with an outlet 150 coupled to the injection port 144 to supply cooling gas thereto.
  • the cooling system is a closed-loop cooling system including an gas-to-fluid heat exchanger 152 coupled to an inlet 154 of the blower 148 to supply cooled gas thereto and to exhaust port 146 to receive gas ejected therefrom.
  • the heat exchanger 152 is also coupled via cooling fluid supply lines 155 to a source of cooling fluid, such as chilled water or equipment cooling water supplied from the building or facility in which the thermal processing apparatus 100 is located.
  • the cyclonic cooling system 140 further includes a thermo-couple probe 143, a housing for power cables 145 and a temperature indicator 147.
  • the cooling gas is injected through a first opening or injection port 144 located at one end of the plenum 142 and rotates at least once around the process vessel 102 before exiting through a second opening or exhaust port 146 located at the other end of the plenum axially separated from the injection port.
  • the cooling gas can be made to rotate a number of times about the process vessel 102 depending on a variety of factors. These factors can include the flow velocity of the cooling fluid, e.g., air, the process vessel 102 size, the temperature, and orientation and configuration of the injection port 144 and exhaust port 146.
  • This cyclonic cooling mechanism increases cooling efficiency while reducing the necessary amount of cooling flow, that is the volume of and flow rate of cooling gas passed through the plenum 142 in a given time period, thereby minimizing the size, cost, noise, and vibration of the heat exchanger and blower system.
  • FIG. 3 is a perspective view of a portion of the thermal processing apparatus 100 having a cyclonic cooling system 140 as described above. Referring to FIG. 3, in a first flow path indicated by solid arrows 160, cooling gas is blown from the outlet 150 of the blower 148 (not shown in this figure) though a first flow switching valve 156, through an external trunk or duct 162 and into an injection port 144 located near a top of the furnace 110.
  • the heated cooling gas is ejected or exhausted from an exhaust port 146 through another external trunk or duct 164, through a second flow switching valve 158 and back to the heat exchanger 152.
  • cooling gas is blown from the outlet 150 of the blower 148 (not shown in this figure) though the first flow switching valve 156, directly into a second injection port (not shown in this figure) located near the bottom of the furnace 110, without passing through the external duct 162.
  • the heated cooling gas is ejected or exhausted from a second exhaust port 168 located near the top of the furnace 110, through the second flow switching valve 158 and back to the heat exchanger 152.
  • the flow switching valves 156, 158, and blower 148 are under control of a temperature controller (not shown) that operates the valves and blower to alternate the direction of cooling gas flow a number of times during a cooling cycle, thereby achieving a more uniform cooling axially along the height of the process vessel 102, and therefore across the stack of wafers therein, than possible with conventional unidirectional cooling systems.
  • a temperature controller not shown
  • the temperature controller is a dynamic feed forward temperature controller that uses a theoretical model to predict the thermal response of the system which is used as a feed forward loop into the regular control methodology.
  • the temperature controller includes a learning sequence that uses historical processing data to improve dynamic response and to reduce sensitivity to the variations in thermal load, caused for example by variation in the number of wafers 108 being processed.
  • an interior surface 170 of the furnace chamber 110 which defines an outer circumference of the plenum 142, can include a number of air- foils or baffles 172 to aid in directing the helical flow of cooling gas through the plenum.
  • FIG. 4 is a cross-sectional side view of the interior of the furnace 110 of the thermal processing apparatus 100 of FIG. 3 showing a vortex or helical flow of cooling gas injected by the cyclonic cooling system 140.
  • the baffles 172 extend radially inward from the interior surface 170 of the furnace chamber 110.
  • the baffles can have a radial length from a minimum of about 10 mm, to about 30 mm.
  • the baffles 172 extend radially inward from the interior surface 170 to a point near but not abutting an exterior surface of the process vessel 102.
  • This embodiment has the advantage of isolating the process vessel 102 from vibrations induce by the blower during operation and facilitating the placement of the isolating the process vessel in the furnace 110 during assembly of the thermal processing apparatus 100 and/or.
  • baffles 172 as well their orientation, particularly their angle with respect to the direction of flow, can significantly effect the number of complete revolutions cooling gas helically flow about the process vessel 102 will make before exiting the plenum 142.
  • FIG. 5 is a cross-sectional top view of a portion of the furnace 110 showing contours of the injection port and exhaust port according to an embodiment of the present cooling system 140.
  • FIG. 6 is a cross- sectional side view of the portion of the furnace 110 shown in FIG. 5.
  • both the injection ports 144 and exhaust ports 146 are oriented tangential to the inner surface 170 of the furnace 102.
  • the injection port 144 comprises a shallow scoop shaped portion 174 having a radius that decreases for a point of entry into the plenum 142 to a point distal from the point of entry.
  • the decreasing radius of the scooped shaped portion 174 gradual redirects the cooling gas from flow in a linear direction tangential to the plenum 142 to a laminar or substantially laminar helical flow within the plenum.
  • the exhaust port 146 can include a portion 176 having a substantially rectangular cross-section, thereby maximizing the cross-sectional area of the exhaust port for exhausting of cooling gas and reducing the complexity and expense of fabricating the exhaust port.
  • the exhaust port 146 can also include such a scooped shaped portion 174, not shown in this figure, thereby enabling the heated cooling gas to maintain a laminar flow while being ejected or exhausted from the plenum 142.
  • This alternative embodiment has the further advantages of enabling the exhaust port 146 to serve as an injection port 144 when flow is reversed as described above, and of avoiding the generation of turbulence, which can occur at high flow rates.
  • both the injection ports 144 and exhaust ports 146 have tapered portions 178, 180, of smoothly changing cross-sectional area to alter the velocity or flow rate of cooling gas entering or leaving the plenum 142.
  • the tapered portion 178 of the injection port 144 has a decreasing cross- sectional area from a point near an entry to the injection port to a point near the plenum to increase the velocity of cooling gas entering the plenum. It has been found that increasing the velocity of cooling gas entering the plenum 142 above a minimum amount is desirable to initiate and maintain a helical flow. That is if the cooling gas is introduced at too low of a flow rate the cooling gas tends to move or flow upward disrupting the helical flow.
  • cooling gas is injected at a speed of at least about 5 meters per second (mps), and more preferably at speeds of gas of from about 5 mps to about 30 mps.
  • the tapered portion 180 of the exhaust port 146 decreases the velocity of the cooling gas entering the heat exchanger 152, thereby increasing the length of time the heated cooling gas is in the heat exchanger and increasing cooling efficiency of the heat exchanger.
  • the inside diameter of the tapered portion 180 can increase from about 2 inches at the inlet to about 3 inches at the outlet.
  • the cyclonic cooling system 140 includes two injection ports 144A, 144B, axially located near a center of the furnace 110 and two exhaust ports 146A, !46B located at either end to produce two co-axial helical flow paths rotating in opposite directions.
  • This embodiment has the advantage of increasing the cooling near a center of the process vessel 102, which is frequently nearest to the process zone 128, thereby maximizing cooling of the wafers 108.
  • the cooling system 140 described above is particularly useful for cooling the thermal processing apparatus 100 and the wafers 108 therein to a pull temperature after processing of the wafers 108 in preparation for unloading of the wafers 108 and by the BHU.
  • the cooling system 140 can be operated for other purposes during processing of the wafers 108.
  • the cooling system 140 can be operated to provide reduced or varying degrees of cooling during processing, thereby affording a constant thermal load to the heating elements 112 and minimizing or eliminating localized hot spots or thermal transients.
  • FIG. 8 is a flowchart showing steps of a method for cooling the thermal processing apparatus 100 using the cyclonic cooling system 140 described above.
  • cooling gas is injected through an injection port 144 oriented tangentially to the outer circumference of the plenum 142 to initiate a vortex or helical flow of cooling gas adjacent to the process vessel (Step 184).
  • Cooling gas is then ejected from the plenum 142 through an exhaust port 146 axially separated from the injection port 144 (Step 186).
  • the cyclonic cooling system 140 further includes flow switching valves 156, 158, and the method involves the further step of operating the flow switching valves to alternate the direction of the vortex flow of the gas at least once during the cooling operation (Step 188).
  • the step of injecting the cooling gas, step 184 includes injecting the gas through the injection port 144 in such a manner as to cause the cooling gas to rotate in a helix around the process vessel 102 at least once before being exhausted from the plenum 142.
  • the thermal processing apparatus 100 further includes a chimney cooling system adapted to cool a heat source or furnace 110 and/or the process vessel 102.
  • the heat source or furnace 110 further includes an insulator 202 disposed about the heating elements 112 and having a cylindrical side portion 204 with an inner wall 206 that is coaxial with a side wall 208 of the process vessel 102, and a top insulation block or top block 210 abutting the side portion.
  • the chimney cooling system includes an actuator 212 adapted to move the top block 210 a predetermined distance from the side portion 204 of the insulator 202 to define a gap (not shown in this figure) therebetween, thereby enabling a fluid introduced into the plenum 142 to flow up through the plenum and out through the gap to cool the furnace 110 and/or the process vessel 102.
  • the cooling fluid is generally a cooling gas, such as air or nitrogen.
  • the chimney cooling system includes a heat exchanger to remove heat from the fluid or gas circulated through the plenum 142.
  • chimney cooling system includes an integral gas-to-fluid heat exchanger formed by a number of cooling coils 216, and a housing or enclosure 218 on which the actuator 212 is mounted.
  • the gas-to-fluid heat exchanger 214 can further include additional cooling coils 220 external to the housing 218 to cool the housing or to serve as a heat radiating portion of a closed loop gas-to-fluid heat exchanger for the cooling coils 216 within the housing.
  • the cooling coils 216 are adjacent to an outer surface 222 of the side portion 204 of the insulator 202, and extend above the side portion so that when the top block 210 is lifted to define the gap 224, heated fluid from the plenum 142 rises and flows out through the gap past the cooling coils 216 to cool the cooling fluid.
  • the cooling gas from the plenum 142 is further cooled by the gas-to-fluid heat exchanger 214 in a second plenum 226 defined between the exterior of the insulator and the interior of the housing 218.
  • the further cooling and condensing of the cooling gas in the second plenum 226 causes it to sink toward injection ports 228 near the bottom of the second plenum resulting in a natural circulation of cooling gas through the plenum 142 as shown by arrows 230.
  • natural circulation it is meant the initiation and/or sustaining of a flow of cooling gas through the plenum 142.
  • the natural circulation of cooling gas through the plenum 142 can be supplemented by the use of a fan 229, blower, or other mechanical flow sustaining means.
  • the actuator 212 can include any suitable mechanical device capable of being remotely operated to lift the top block 210, and can include mechanical devices such as pneumatic cylinders, hydraulic cylinders, solenoids, hoists or lead screws.
  • the actuator 212 includes a chain or cable hoist 232 driven by an electric motor 234. Referring to FIG. 10, the motor thereby varying the degree or rate of cooling provided to the furnace 110 and/or the process vessel 102.
  • the chimney cooling system is a closed-loop cooling system
  • the heat exchanger 214 is a separate compact heat exchanger 214
  • the chimney cooling system further includes a blower 236 coupled to the injection port 228 to supply cooled gas thereto.
  • this embodiment does not rely on natural circulation to initiate or sustain the flow of cooling gas through the plenum 142, it will be appreciated that the heating of the cooling gas as it rises up through the plenum does aid maintaining a sufficient and laminar flow of cooling gas through the plenum, thereby enabling use of a smaller blower than would otherwise be required.
  • the chimney cooling system further includes a controller (not shown) for controlling operation of the actuator 212 to automatically move the top block 210 to initiate flow through the plenum 142 and out through the gap 224.
  • the controller and the actuator 212 are adapted to move the top block 210 to a first predetermined position to provide a first rate of cooling, and to a second predetermined position to provide a second rate of cooling.
  • the chimney cooling system can be operated to cool the furnace, and therefore the wafers 108 held therein, at one or more different rates during the cool down cycle.
  • FIG. 12 is a flowchart showing an embodiment of a process for cooling an apparatus 100 for thermally processing a wafer 108 using an embodiment a chimney cooling system having a movable top block 210.
  • the top block 210 is moved a predetermined distance from the side portion 204 of the insulator 202 to define a gap 224 therebetween (Step 240).
  • a cooling fluid is injected into the plenum 142 through an injection port 228 axially separated from the gap 224 (Step 242), and ejected from the plenum 142 through the gap 224 so as to induce a flow through the plenum thereby cooling the process vessel 102 (Step 244).
  • the cooling fluid is a cooling gas
  • the step of introducing a fluid into the plenum, step 242 involves injecting cooling gas into the plenum 142.
  • the step of introducing a fluid into the plenum, step 242 is accomplished by forcing the cooling gas into the plenum 142 using a blower 236 coupled to the injection port 228.
  • the chimney cooling system is a closed-loop cooling system including a gas-to-fluid heat exchanger 214 coupled to the plenum 142 through the gap 224, and coupled to the injection port 228 through the blower 236, and the step of introducing a fluid into the plenum, step 242, includes the step of supplying cooled cooling gas to the blower from the gas-to-fluid heat exchanger, and the step of ejecting the fluid from the plenum 142 through the gap 224, step 244, includes the step of receiving in the gas-to-fluid heat exchanger 214 heated cooling gas ejected fluid from the plenum through the gap.
  • the movement of the top block is precisely controlled by a controller, and the step of moving the top block, step 240, includes the step of moving the top block to a first predetermined position to provide a first rate of cooling, and the method includes the further step of moving the top block to a second predetermined position to provide a second rate of cooling (Step 246).

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Furnace Details (AREA)
  • Resistance Heating (AREA)
  • Control By Computers (AREA)
  • Physical Vapour Deposition (AREA)
  • Control Of Resistance Heating (AREA)
  • Muffle Furnaces And Rotary Kilns (AREA)
  • Control Of Fluid Pressure (AREA)
  • Control Of Non-Electrical Variables (AREA)

Abstract

La présente invention concerne un système de refroidissement et un procédé destinés à refroidir un appareil (100) possédant une cuve (102) contenant un substrat (108) à traiter, et des élément chauffants (10012) répartis de manière coaxiale autour de la cuve et espacés de celle-ci de façon à former un plénum (142) entre ces éléments. Dans un mode de réalisation de l'invention, le système (140) possède un premier orifice (144) avec un ventilateur (148) raccordé à cet orifice, ce premier orifice étant orienté de façon à injecter du gaz sur la tangente de la circonférence du plénum (142) afin d'initier un écoulement tourbillonnaire dans ce plénum. Un second orifice (146) axialement séparé du premier (144) éjecte du gaz du plénum (142). De préférence, ce système (140) est un système en circuit fermé comprenant un échangeur thermique (152) couplé à un orifice d'entrée du ventilateur (148) de façon à fournir un gaz refroidi à cet orifice, et au second orifice (146) de façon à recevoir le gaz éjecté par cet orifice. Eventuellement, ce système (140) comprend aussi des vannes de commutation de flux (156, 158) entre l'échangeur thermique (152) et le premier et le second orifice (144, 146) destinées à inverser le sens de l'écoulement tourbillonnaire.
PCT/US2003/021645 2002-07-15 2003-07-10 Systeme et procede de refroidissement d'un appareil de traitement thermique WO2004008052A2 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003256486A AU2003256486A1 (en) 2002-07-15 2003-07-10 System and method for cooling a thermal processing apparatus

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US39653602P 2002-07-15 2002-07-15
US60/396,536 2002-07-15
US42852602P 2002-11-22 2002-11-22
US60/428,526 2002-11-22

Publications (2)

Publication Number Publication Date
WO2004008052A2 true WO2004008052A2 (fr) 2004-01-22
WO2004008052A3 WO2004008052A3 (fr) 2004-05-13

Family

ID=30118590

Family Applications (9)

Application Number Title Priority Date Filing Date
PCT/US2003/021647 WO2004008494A2 (fr) 2002-07-15 2003-07-10 Systeme et procede de commande de servomoteurs dans un environnement de fabrication de semi-conducteurs
PCT/US2003/021641 WO2004007105A1 (fr) 2002-07-15 2003-07-10 Appareil et procede de remplissage d'une chambre de traitement de plaquette a semiconducteur
PCT/US2003/021645 WO2004008052A2 (fr) 2002-07-15 2003-07-10 Systeme et procede de refroidissement d'un appareil de traitement thermique
PCT/US2003/021575 WO2004008491A2 (fr) 2002-07-15 2003-07-10 Systeme de traitement thermique et chambre verticale configurable
PCT/US2003/021642 WO2004008493A2 (fr) 2002-07-15 2003-07-10 Procede et appareil destines a supporter des plaquettes a semiconducteur
PCT/US2003/021646 WO2004008008A2 (fr) 2002-07-15 2003-07-10 Commande d'un environnement gazeux dans une chambre de chargement de tranches
PCT/US2003/021648 WO2004008054A1 (fr) 2002-07-15 2003-07-10 Element chauffant variable destine a des gammes de temperatures basses a elevees
PCT/US2003/021644 WO2004007800A1 (fr) 2002-07-15 2003-07-10 Appareil de traitement thermique et procede d'evacuation d'une chambre de traitement
PCT/US2003/021973 WO2004007318A2 (fr) 2002-07-15 2003-07-15 Appareil de port de chargement et son procede d'utilisation

Family Applications Before (2)

Application Number Title Priority Date Filing Date
PCT/US2003/021647 WO2004008494A2 (fr) 2002-07-15 2003-07-10 Systeme et procede de commande de servomoteurs dans un environnement de fabrication de semi-conducteurs
PCT/US2003/021641 WO2004007105A1 (fr) 2002-07-15 2003-07-10 Appareil et procede de remplissage d'une chambre de traitement de plaquette a semiconducteur

Family Applications After (6)

Application Number Title Priority Date Filing Date
PCT/US2003/021575 WO2004008491A2 (fr) 2002-07-15 2003-07-10 Systeme de traitement thermique et chambre verticale configurable
PCT/US2003/021642 WO2004008493A2 (fr) 2002-07-15 2003-07-10 Procede et appareil destines a supporter des plaquettes a semiconducteur
PCT/US2003/021646 WO2004008008A2 (fr) 2002-07-15 2003-07-10 Commande d'un environnement gazeux dans une chambre de chargement de tranches
PCT/US2003/021648 WO2004008054A1 (fr) 2002-07-15 2003-07-10 Element chauffant variable destine a des gammes de temperatures basses a elevees
PCT/US2003/021644 WO2004007800A1 (fr) 2002-07-15 2003-07-10 Appareil de traitement thermique et procede d'evacuation d'une chambre de traitement
PCT/US2003/021973 WO2004007318A2 (fr) 2002-07-15 2003-07-15 Appareil de port de chargement et son procede d'utilisation

Country Status (6)

Country Link
EP (2) EP1522090A4 (fr)
JP (2) JP2005533232A (fr)
CN (1) CN1643322A (fr)
AU (9) AU2003249029A1 (fr)
TW (9) TW200405401A (fr)
WO (9) WO2004008494A2 (fr)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1030360C2 (nl) * 2005-11-07 2007-05-08 Holding Mij Wilro B V Oven en werkwijze voor de productie van fotovoltaïsche zonnecellen gebruikmakend van een diffusieproces.
DE102007058053A1 (de) * 2007-11-30 2009-06-04 Von Ardenne Anlagentechnik Gmbh Diffusionsofen und Verfahren zur Erzeugung einer Gasströmung
US8136618B2 (en) 2009-01-21 2012-03-20 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
WO2022229643A1 (fr) * 2021-04-29 2022-11-03 Edwards Limited Système de traitement de semi-conducteurs
TWI824899B (zh) * 2021-12-23 2023-12-01 南韓商Hpsp有限公司 高壓熱處理裝置

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
WO2007142690A2 (fr) 2005-11-04 2007-12-13 Applied Materials, Inc. Appareil et procédé de dépôt de couche atomique améliorée au plasma
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
WO2007099387A1 (fr) 2006-03-03 2007-09-07 Mymetics Corporation Vésicules de type virosome comprenant des antigènes dérivés de gp41
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US9157150B2 (en) * 2007-12-04 2015-10-13 Cypress Semiconductor Corporation Method of operating a processing chamber used in forming electronic devices
JP4885901B2 (ja) * 2008-03-31 2012-02-29 株式会社山武 流量制御システム
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5794497B2 (ja) 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 連結システム
KR101877494B1 (ko) * 2010-12-24 2018-07-13 엘지이노텍 주식회사 진공 열처리 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9018567B2 (en) 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9147584B2 (en) * 2011-11-16 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Rotating curing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101440307B1 (ko) * 2012-09-17 2014-09-18 주식회사 유진테크 기판처리장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10177014B2 (en) 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
WO2014151475A1 (fr) 2013-03-15 2014-09-25 Watkins Bobby G Ii Commande de débit et procédé de gazométrie
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015112328A1 (fr) * 2014-01-27 2015-07-30 Applied Materials, Inc. Concepts de système et chambre d'epi rapide
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI642137B (zh) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6143964B1 (ja) * 2016-01-25 2017-06-07 三菱電機株式会社 制御装置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
FR3057391B1 (fr) * 2016-10-11 2019-03-29 Soitec Equipement de traitement thermique avec dispositif collecteur
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102127130B1 (ko) * 2017-02-17 2020-06-26 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP7158133B2 (ja) 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI629441B (zh) * 2017-07-07 2018-07-11 寶成工業股份有限公司 Smart oven
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN111373519B (zh) * 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (fr) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Procédés de dépôt cyclique pour former un matériau contenant du métal et films et structures comprenant le matériau contenant du métal
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7206678B2 (ja) * 2018-07-30 2023-01-18 Tdk株式会社 ロードポート装置、半導体製造装置及びポッド内雰囲気の制御方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) * 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
JP7203588B2 (ja) * 2018-12-17 2023-01-13 東京エレクトロン株式会社 熱処理装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11407000B2 (en) 2019-09-23 2022-08-09 S. C. Johnson & Son, Inc. Volatile material dispenser
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy SUBSTRATE PROCESSING APPARATUS AND METHOD
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114990299B (zh) * 2022-08-01 2022-10-04 兴化市天泰合金制品科技有限公司 一种球墨铸铁合金制备用热处理装置

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
US4787844A (en) * 1987-12-02 1988-11-29 Gas Research Institute Seal arrangement for high temperature furnace applications
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
EP0164928A3 (fr) * 1984-06-04 1987-07-29 Texas Instruments Incorporated Réacteur vertical à parois chaudes pour dépôt chimique à partir de la phase vapeur
JPS61191015A (ja) * 1985-02-20 1986-08-25 Hitachi Ltd 半導体の気相成長方法及びその装置
DE3855871T2 (de) * 1987-09-11 1997-10-16 Hitachi Ltd Vorrichtung zur Durchführung einer Wärmebehandlung an Halbleiterplättchen
JPH088220B2 (ja) * 1988-09-05 1996-01-29 株式会社日立製作所 半導体ウェハの熱処理装置、及び熱処理方法
US4992301A (en) * 1987-09-22 1991-02-12 Nec Corporation Chemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness
JP2654996B2 (ja) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 縦型熱処理装置
JPH02130943A (ja) * 1988-11-11 1990-05-18 Tel Sagami Ltd 収容治具
DE3906075A1 (de) * 1989-02-27 1990-08-30 Soehlbrand Heinrich Dr Dipl Ch Verfahren zur thermischen behandlung von halbleitermaterialien und vorrichtung zur durchfuehrung desselben
US5127365A (en) * 1990-02-27 1992-07-07 Kabushiki Kaisha Toshiba Vertical heat-treatment apparatus for semiconductor parts
JP2819073B2 (ja) * 1991-04-25 1998-10-30 東京エレクトロン株式会社 ドープド薄膜の成膜方法
JP3040212B2 (ja) * 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
WO1993023713A1 (fr) * 1992-05-15 1993-11-25 Shin-Etsu Quartz Products Co., Ltd. Appareil de traitement thermique a disposition verticale et materiau thermo-isolant
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JP3024449B2 (ja) * 1993-07-24 2000-03-21 ヤマハ株式会社 縦型熱処理炉及び熱処理方法
US5706627A (en) * 1994-02-02 1998-01-13 Tetra Laval Holdings & Finance, S.A. Control system for a packaging machine
JPH088194A (ja) * 1994-06-16 1996-01-12 Kishimoto Sangyo Kk 気相成長機構および熱処理機構における加熱装置
US6361618B1 (en) * 1994-07-20 2002-03-26 Applied Materials, Inc. Methods and apparatus for forming and maintaining high vacuum environments
US5724786A (en) * 1994-09-28 1998-03-10 Tetra Laval Holdings & Finance S.A. Control system having error correcting apparatus
JP2732224B2 (ja) * 1994-09-30 1998-03-25 信越半導体株式会社 ウエーハ支持ボート
JPH08213446A (ja) * 1994-12-08 1996-08-20 Tokyo Electron Ltd 処理装置
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
WO1997003225A1 (fr) * 1995-07-10 1997-01-30 Cvc Products, Inc. Appareil de niveau salle blanche, programmable pour la rotation electromagnetique de substrat et procede destine a un equipement de fabrication de composants micro-electroniques
JP3471144B2 (ja) * 1995-09-06 2003-11-25 東京エレクトロン株式会社 縦型熱処理装置及びその断熱構造体並びに遮熱板
JP3423131B2 (ja) * 1995-11-20 2003-07-07 東京エレクトロン株式会社 熱処理装置及び処理装置
JPH09306980A (ja) * 1996-05-17 1997-11-28 Asahi Glass Co Ltd 縦型ウエハボート
US20010052359A1 (en) * 1997-02-21 2001-12-20 Masayoshi Ikeda Method of substrate temperature control and method of assessing substrate temperature controllability
US5826406A (en) * 1997-05-01 1998-10-27 Tetra Laval Holdings & Finance, S.A. Servo-controlled conveyor system for carrying liquid filled containers
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
WO1999036587A1 (fr) * 1998-01-15 1999-07-22 Torrex Equipment Corporation Dispositif et procede de traitement vertical active par plasma
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
ATE418451T1 (de) * 1998-06-18 2009-01-15 Kline & Walker L L C Automatische vorrichtung zur überwachung von auf abstand zu bedienende ausrüstungen und maschinen weltweit anwendbar
JP3487497B2 (ja) * 1998-06-24 2004-01-19 岩手東芝エレクトロニクス株式会社 被処理体収容治具及びこれを用いた熱処理装置
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
US6140833A (en) * 1998-11-16 2000-10-31 Siemens Aktiengesellschaft In-situ measurement method and apparatus for semiconductor processing
US6449428B2 (en) * 1998-12-11 2002-09-10 Mattson Technology Corp. Gas driven rotating susceptor for rapid thermal processing (RTP) system
US6193811B1 (en) * 1999-03-03 2001-02-27 Applied Materials, Inc. Method for improved chamber bake-out and cool-down
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP2000311862A (ja) * 1999-04-28 2000-11-07 Kokusai Electric Co Ltd 基板処理装置
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
US6391163B1 (en) * 1999-09-27 2002-05-21 Applied Materials, Inc. Method of enhancing hardness of sputter deposited copper films
JP3404674B2 (ja) * 2000-01-21 2003-05-12 株式会社真空技研 超高温熱処理装置
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP2002083780A (ja) * 2000-09-05 2002-03-22 Hitachi Kokusai Electric Inc 半導体製造装置
US6589350B1 (en) * 2000-09-08 2003-07-08 Advanced Micro Devices, Inc. Vacuum processing chamber with controlled gas supply valve
US20030082031A1 (en) * 2001-10-30 2003-05-01 Olivier Vatel Wafer handling device and method for testing wafers
JP4873820B2 (ja) * 2002-04-01 2012-02-08 株式会社エフティーエル 半導体装置の製造装置

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
US4787844A (en) * 1987-12-02 1988-11-29 Gas Research Institute Seal arrangement for high temperature furnace applications
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1030360C2 (nl) * 2005-11-07 2007-05-08 Holding Mij Wilro B V Oven en werkwijze voor de productie van fotovoltaïsche zonnecellen gebruikmakend van een diffusieproces.
WO2007053016A2 (fr) * 2005-11-07 2007-05-10 Holdingmij. Wilro B.V. Four et procede de fabrication de cellules solaires photovoltaiques faisant appel a un processus de diffusion
WO2007053016A3 (fr) * 2005-11-07 2007-10-25 Holdingmij Wilro B V Four et procede de fabrication de cellules solaires photovoltaiques faisant appel a un processus de diffusion
DE102007058053A1 (de) * 2007-11-30 2009-06-04 Von Ardenne Anlagentechnik Gmbh Diffusionsofen und Verfahren zur Erzeugung einer Gasströmung
DE102007058053B4 (de) * 2007-11-30 2009-10-15 Von Ardenne Anlagentechnik Gmbh Diffusionsofen und Verfahren zur Erzeugung einer Gasströmung
US8136618B2 (en) 2009-01-21 2012-03-20 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
US8459387B2 (en) 2009-01-21 2013-06-11 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
WO2022229643A1 (fr) * 2021-04-29 2022-11-03 Edwards Limited Système de traitement de semi-conducteurs
TWI824899B (zh) * 2021-12-23 2023-12-01 南韓商Hpsp有限公司 高壓熱處理裝置

Also Published As

Publication number Publication date
WO2004007105A1 (fr) 2004-01-22
WO2004008493A3 (fr) 2004-05-27
WO2004008054A1 (fr) 2004-01-22
WO2004007318A3 (fr) 2004-08-05
TW200411717A (en) 2004-07-01
TW200411960A (en) 2004-07-01
WO2004008008A2 (fr) 2004-01-22
AU2003259104A8 (en) 2004-02-02
EP1540258A1 (fr) 2005-06-15
WO2004008491A2 (fr) 2004-01-22
WO2004008052A3 (fr) 2004-05-13
EP1522090A4 (fr) 2006-04-05
AU2003253874A1 (en) 2004-02-02
JP2005533378A (ja) 2005-11-04
WO2004008494A2 (fr) 2004-01-22
AU2003256486A8 (en) 2004-02-02
WO2004007800A9 (fr) 2005-01-13
AU2003249030A8 (en) 2004-02-02
AU2003249028A1 (en) 2004-02-02
AU2003253907A8 (en) 2004-02-02
AU2003259104A1 (en) 2004-02-02
TW200419890A (en) 2004-10-01
TW200416775A (en) 2004-09-01
WO2004008491A3 (fr) 2004-06-03
WO2004008008A3 (fr) 2004-12-16
TW200409176A (en) 2004-06-01
AU2003249030A1 (en) 2004-02-02
EP1522090A2 (fr) 2005-04-13
AU2003256486A1 (en) 2004-02-02
AU2003253907A1 (en) 2004-02-02
AU2003256487A1 (en) 2004-02-02
AU2003249029A8 (en) 2004-02-02
TW200405401A (en) 2004-04-01
TW200416773A (en) 2004-09-01
WO2004008493A2 (fr) 2004-01-22
CN1643322A (zh) 2005-07-20
WO2004008493A9 (fr) 2004-07-22
WO2004008054A9 (fr) 2005-01-13
WO2004007318A2 (fr) 2004-01-22
TW200406818A (en) 2004-05-01
WO2004007800A1 (fr) 2004-01-22
WO2004008494A3 (fr) 2005-04-21
AU2003253873A1 (en) 2004-02-02
JP2005533232A (ja) 2005-11-04
TW200416774A (en) 2004-09-01
AU2003253874A8 (en) 2004-02-02
AU2003249029A1 (en) 2004-02-02

Similar Documents

Publication Publication Date Title
WO2004008052A2 (fr) Systeme et procede de refroidissement d'un appareil de traitement thermique
JP4174837B2 (ja) 縦型熱処理炉
KR960012876B1 (ko) 열처리 장치
US6352593B1 (en) Mini-batch process chamber
KR100241293B1 (ko) 고속열처리로의 온도제어방법 및 그 장치
US7812285B2 (en) Apparatus and method for heating substrate and coating and developing system
US6492621B2 (en) Hot wall rapid thermal processor
US20050098107A1 (en) Thermal processing system with cross-flow liner
US20070243317A1 (en) Thermal Processing System and Configurable Vertical Chamber
US20100154711A1 (en) Substrate processing apparatus
KR101135742B1 (ko) 처리 장치, 처리 방법 및 기억 매체
JPH06302523A (ja) 縦型熱処理装置
JP2007515054A (ja) 回転可能な注入器を含む交差流れ注入システムを備えた熱処理システム
WO2003073487A1 (fr) Systeme de traitement thermique
US20090184109A1 (en) Processing apparatus and process method
KR102272314B1 (ko) 열 챔버 어플리케이션들 및 프로세스들을 위한 광 파이프 윈도우 구조
JP3910151B2 (ja) 熱処理方法及び熱処理装置
US5239614A (en) Substrate heating method utilizing heating element control to achieve horizontal temperature gradient
JP2008103707A (ja) 基板処理装置および半導体装置の製造方法
US5626680A (en) Thermal processing apparatus and process
KR20110112074A (ko) 기판 처리 장치 및 방법
JP2012069831A (ja) 基板処理装置および半導体装置の製造方法
KR20210029668A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US6538237B1 (en) Apparatus for holding a quartz furnace
US11688621B2 (en) Batch processing oven and operating methods

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase in:

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP