TW200409176A - System and method for cooling a thermal processing apparatus - Google Patents

System and method for cooling a thermal processing apparatus Download PDF

Info

Publication number
TW200409176A
TW200409176A TW92119297A TW92119297A TW200409176A TW 200409176 A TW200409176 A TW 200409176A TW 92119297 A TW92119297 A TW 92119297A TW 92119297 A TW92119297 A TW 92119297A TW 200409176 A TW200409176 A TW 200409176A
Authority
TW
Taiwan
Prior art keywords
gas
space
container
cooling
port
Prior art date
Application number
TW92119297A
Other languages
English (en)
Inventor
Taiquing Thomas Qiu
Craig Collins
Original Assignee
Asml Us Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Us Inc filed Critical Asml Us Inc
Publication of TW200409176A publication Critical patent/TW200409176A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Furnace Details (AREA)
  • Resistance Heating (AREA)
  • Control By Computers (AREA)
  • Control Of Resistance Heating (AREA)
  • Muffle Furnaces And Rotary Kilns (AREA)
  • Physical Vapour Deposition (AREA)
  • Control Of Fluid Pressure (AREA)
  • Control Of Non-Electrical Variables (AREA)

Description

200409176 ⑴ 玖、發明說明 【發明所屬之技術領域〕 本發明係有關一種熱處理物品,如基底,的系統及方 法。具體言之,其係有關一種冷卻一用以熱處理、退火、 及塗佈材料層在一半導體或基底上,或將材料層自一半導 體或基底上移除之系統及方法。 【先前技術〕 φ 熱處理裝置已普遍用在各種工業上,包含自半導體基 底或晶圓製造積體電路(I c s )或半導體裝置。半導體晶 圓的熱處理包括,例如熱處理、退火、摻雜物材料的擴散 或激勵、材料層的塗佈或生長、及自晶圓上蝕刻或移除材 料。該等至程在作業前或作業時,需加熱晶圓高達 3 5 0 ° C 至]3 0 0 °C的溫度,且需將一或更多的流體,如作業氣體 或作業反應劑,傳遞給晶圓。此外,晶圓在整體作業過程 中,不論作業氣體溫度或作業氣體導入處理容器速度的變 φ 異,均需維持在一不變溫度下。 圖]顯示熱處理裝置之橫斷面。圖]中,習知的熱處 理裝置20 —般包含設於熔爐24內或環繞熔爐設置的處理 室或容器。待處理的晶圓2 6固置於一卡匣或船形容器2 7 內,且置於處理容器內,其後藉一熔爐24加熱抵作業所 需溫度。在許多製程中。密封的處理容器2 2密封至一底 板2 8,且在作業前藉由一閥2 9抽空。一旦處理容器2 2 已抵所需壓力及溫度,反應氣體或處理氣體即被導入以處 (2) (2)200409176 理該晶圓2 6。 惟在設計熱處理裝置時,需面對一些問題。第一是澱 積及蝕刻作業通常依賴高溫。例如,在化學汽相澱積( CVD )或物理汽相澱積(PVD )作業中,材料澱積速度與 晶圓及周遭處理容器的溫度有極大關係。 另一問題是作業時,處理容器溫度的變動對形成在處 理容器表面上的殘餘物造成熱應力的影響。此熱變動可在 ,例如當晶圓傳輸入或移出處理容器,熔爐被去除能量或 在降低功率下運作時發生。作業時處理容器的熱變動會導 致處理容器膨脹及收縮,使得澱積其上的材料在作業中剝 落,而污染了已經處理的晶圓。此種微粒污染會導致晶圓 不穩定,或需要另對晶圓進行昂貴的再處理。 習知溫度控制系統包含水套式再循環系統及強力空氣 冷卻系統。水套式系統藉由環繞處理容器的冷卻管路將水 加以再循環。但是,冷卻管路佔據了環繞處理容器的空間 ,而此空間通常需用以置放其他外部構件。此外,由於冷 卻管路需避開外部構件,局部的熱點會形成在冷卻管路越 過的位置。此外,常難以在處理容器內獲得均勻的熱傳遞 速度,因爲難以將冷卻管路連接至形狀或結構複雜的處理 容器表面上。 強力空氣冷卻系統例如揭示於美國專利第5;160;545 號。強力空氣冷卻系統一般利用風扇將空氣吹越處理容器 表面上,及藉由一熱交換器來冷卻。然而,被構件遮蔽而 與冷卻空氣錯開的處理容器表面的部分,變得比其他未被 (3) (3)200409176 I $得熱。此外,由於熱傳的基本模式是經與氣 體彳妾ί躅I的傳導,故強力空氣系統需要龐大的風扇來提 # &夠]的流速以控制巨大溫度變動,例如因打開或關閉熔 Μ所造成的溫度改變。龐大的風扇難以置放在一受控制的 胃境’例如熱處理裝置通常在其內作業的淸潔室內或附近 〇 ffi I顯、示用以控制處理容器2 2溫度的強力空氣冷卻 系統3 0。此系統中,熔爐24的加熱元件3 2在空轉或運 轉模式時保持溫度的穩定。超額的熱藉由一風扇或鼓風機 3 4排放’風扇或鼓風機將再循環的空氣吹入一由熔爐的 內壁3 8及處理容器的一外表面所界定的環形通路或空間 3 6內。如圖1 ’空氣或冷卻氣體注射在熔爐24下端附近 ,且經空間3 6向上流,跨越處理容器2 2的表面4 0,且 流出至具有冷卻線圈的熱交換器4 2,而熱傳流體在該冷 卻線圈內通過。雖然已有對水冷卻做過改良,但習知的強 力空氣系統3 0仍有數項缺失,如受限於鼓風機3 4容積流 量的冷卻能力及反應時間,及因處理容器22的不均冷卻 造成在待處理晶圓2 6上形成的溫度梯度。 第一個問題的形成是因冷空氣被注射在處理容器2 2 底部附近,造成設於批次晶圓下部分的晶圓,比設於批次 晶圓上部分.的晶圓’較快冷卻。此造成不均勻的溫度分佈 ,而造成晶圓2 6的不均勻製程。此外,由於冷空氣通常 被注射在處理容器22的一或數側邊,處理容器一側及其 內的晶圓2 6會比另側較快冷卻,造成因爲熱輻射能量自 - -6 - (4)200409176 晶圓朝向 梯度。此 底板上的 而與冷卻 變得比其 度梯度。 晶結構的 結晶結構 習知 因爲冷空 冷卻能力 龐大的鼓 子接觸的 流速,以 例如因啓 巨大或高 的製.造成 受控制的 內或附近 致形成於 封之晶圓 因此 裝置之處 可快速控 處理容器熱對流及熱傳導,而使晶圓上形成溫度 外’雖未不於圓],處理容器表面4 0部分常被 拷’牛’或連接至處理容器或其附近的構件所遮蔽 .錯開。該等受遮蔽的處理容器2 2部分,會 他未被遮蔽的部分來得熱,再次在晶圓上形成溫 止匕溫度梯度是非常不良的,尤其是當製造具有結 曰曰®日寸尤然’因爲過多的徑向熱梯度會在晶圓的 内產生滑移位錯。 強力空氣冷卻系統3 0的第二個問題的形成,是 氣由全間3 6的底部2 8線性的移動至頂部,造成 °尤其是,將冷空氣推至空間3 6的頂部需 風機。丨卜 此A ’由於熱傳的基本模式是經與氣體分 傳導,_?·々印; ^ ™次龐大的鼓風機來提供足夠的體積或 ^成足夠的熱交換,及控制熱負荷的巨大變動, 或關閉加熱元件32所造成的改變。由於需求 能个! 0勺鼓風機,此將導致習知強力空氣系統3〇 焉°此外,龐大的鼓風機3 4難以置放在一 @ ’例如熱處理裝置通常在其內作業的淸潔室 易曰之’巨大鼓風機34產生的機械震動會導 Μ 1里谷器2 2表面上的澱積物剝落,或造成被密 白勺移動’此兩者均會導致不良的影響。 有必要尋求一種可快速及均勻的冷卻一熱處理 王里谷ϋ的系統及方法,且該冷卻系統及方法也應 ^在熱負荷內的巨大變動;且該冷卻系統及方法 (5) (5)200409176 不而在熱,處理裝直1¾近設有巨大風扇或鼓風機,因其等會 W β极械振動,而損害或千擾一密封晶圓製程。 [發明內容〕 本發明的冷卻系統可克服上述問題或缺失,且較之習 知技藝具有其他優點。 本發明提供一種氣旋式冷卻系統及方法,可冷卻一用以加 熱工件’如半導體基底或晶圓的裝置,以執行退火、摻雜 物材料的擴散或激勵、材料層的塗佈或生長、、及自晶圓 上蝕刻或移除材料的製程。 在本發明的一型態中,其提供一種氣旋式冷卻系統, 可冷卻一用以處理置於在高溫或上升溫度下的載器內的基 底。該裝置包含:容裝待處理基底的容器、具有複數加熱 元件以對該基底提供熱輻射的熱源。一般上,該容器具有 一圓柱形部,及由一可熱傳導的材料,或對該熱輻射大致 絕緣的材料製成的壁,且該等加熱元件環繞該容器分佈, 且與該容器間隔開,以形成一自該容器延伸至該熱源的空 間。該空間具有一環形部分,及由熱源的一內部界限所界 疋的外周邊。一般上,該冷卻系統具有第一 口,及具有一 出口的鼓風機,該出口連接至弟一口’以封其供應氣體。 氣體自該空間,經與該第一口軸向分隔開的第二口射出。 該冷卻系統中,第一口大致與該空間的外周邊成切線的定 位’以注射氣體進入該空間內,以沿容器的壁形成一渦流 (6) (6)200409176 在另一實例中,該冷卻系統是一閉合環路冷卻系統, 具有氣體一流體熱交換器,連接至鼓風機的入口,以對其 供應冷卻氣體,及連接至第二口,以接受其射出的氣體。 該熱交換器也連接至冷卻流體的一來源,如冷凍水供應源 。此外。該冷卻系統可另具有流向切換閥,連接於熱交換 器的入口及出口之間,及氣體注射口及氣體排出口之間, 以使氣體的渦流逆轉方向。在此實例的另一型態中,該冷 卻系統另包含一控制器,以控制流向切換閥的作業,以在 該冷卻作業中,更替氣體渦流的方向至少一次。 在另一實例中,該熱源包含具有一內壁的絕緣體,該 內壁的一圓柱形部藉該空間與該容器成徑向間隔開,且與 加熱元件、容器及空間同軸向。在此實例的另一型態中, 該絕緣體內壁的圓柱形部具有複數擋板,以引導氣體的漩 渦流。該等加熱元件可置於絕緣體內,毗鄰絕緣體的內壁 ,或連接至絕緣體的內壁。此外,該等擋板也沿容器壁引 導氣體的漩渦流來冷卻該容器。在此實例的另一型態中, 該等複數擋板的至少一部份也導引氣體的漩渦流來冷卻該 等加熱元件。在此實例的另一型態中,該第一口及擋板係 設計成在氣體自該空間排出前,至少環繞該容器旋轉一次 〇 在此實例的另一型態中,該第一 口包含一延伸通過該 絕緣體的導管,且該導管是由鄰接絕緣體一外壁的第一橫 截面積,朝向鄰接絕緣體內壁的第二、較小橫截面積逐漸 呈尖細,以使注射入該空間的速度加快。 -9 - (7) (7)200409176 本發明另提供一種用以熱處理一基底之方法。如上述 ,該裝置包含:容裝待處理基底的容器、具有一加熱元件 以對該基底提供熱輻射的熱源。該容器具有一圓柱形壁。 該等加熱元件環繞該容器分佈,且與該容器間隔開,以形 成一自該容器延伸至該熱源的空間。該空間具有一環形部 分,及由熱源的一內部界限所界定的外周邊。一般上,該 冷卻方法包含:(i )經與該空間的外周邊成切線定位的 第一口射出一氣體;及(i i )將氣體自該空間,經與該第 一 口軸向分隔開的第二口射出,以沿容器的壁形成一渦流 來冷卻容器及/或加熱元件。另可選擇性的包含經第一口 注射氣體的步驟,及經第二口排出氣體的步驟,以使氣體 自該空間排出前至少環繞該容器旋轉一次。 在一實例中,該裝置另包含:具有一連接至氣體注射 口,以對其供應氣體的出口的鼓風機,及連接至鼓風機的 入口,以對其供應冷卻氣體的氣體一流體熱交換器,該熱 交換器也連接至第二口,以接受其射出的氣體。在此實例 中,該經第一口注射氣體的步騾包括做動該鼓風機以將氣 體供給第一口的步驟;而將氣體自該空間,經第二口射出 的步驟包括在熱交換器內接受其射出的氣體。在此實例的 另一型態中,該裝置另包含:流向切換閥,連接於熱交換 器、第一口及第二口之間,以使氣體的渦流逆轉方向;且 該方法另包含控制該流向切換閥的作業,以在該冷卻作業 中,更替氣體渦流的方向至少一次。 在另一型態中,本發明提供一種冷卻系統,將一熱處 -10 - (8) (8)200409176 理裝置內的熱源或熔爐加以冷卻,該熱源或熔爐是用以處 理置於在高溫或上升溫度下的載器內的基底者。該裝置包 含:容裝待處理基底的容器、具有複數加熱元件以加熱該 基底的熱源。該容器具有由一可熱傳導的材料,或對該熱 輻射大致絕緣的材料製成的頂壁及側壁。該等加熱元件環 繞該容器分佈,且與該容器間隔開,以形成一自該容器延 伸至該熱源的空間。該熱源包含一絕緣體,沿加熱元件配 置,及藉該空間與該容器分隔開。該絕緣體包含一配設有 內壁的側部及一鄰接該側部的頂部塊體。該冷卻系統具有 一促動器,適於將頂部塊體自絕緣體的側部移動一特定距 離,以在其等之間界定一間隙,讓自該空間導引的流體經 由該空間流過,及流過該間隙以冷卻該熱源。 該促動器可包含任何可舉起頂部塊體的適當裝置,且 可包含機械式裝置,如氣動式汽缸、液壓汽缸、螺線管、 起重機、或導螺旋。 此外,該流體包含一冷卻氣體,且其中該裝置另包含 一注射口,與該間隙軸向分隔開以將冷卻氣體導入該空間 內。在此實例的另一型態中,該裝置另包含一連接至該注 射口的鼓風機,以對其供應冷卻氣體。在一實例中,該冷 卻系統是一閉合環路冷卻系統,具有經該間隙連接至該空 間的氣體一流體熱交換器,以接受自該空間排出的受熱冷 卻氣體,且經該鼓風機連接至該注射口,以對其供應冷卻 氣體,該熱交換器係連接至一冷卻流體源。 在另一實例中,該冷卻系統另包含一控制器以控制促 -11 - 200409176 ⑼ 動器的作業’以移動頂部塊體來致使通過該空間的流動, 及流出間隙。此外,該控制器及促動器係構製成可將頂部 塊體移動至第一特定位置,以提供第一冷卻速率,及移動 至第二特定位置,以提供第二冷卻速率。 【實施方式〕 本發明係有關一種將用於熱處理工件,如半導體基底 或晶圓,的熱處理裝置加以冷卻之系統及方法。 所謂熱處理,是在處理前或處理時,將工件或晶圓加 熱至一所欲溫度。例如,在製造積體電路中,晶圓加熱至 高達1 3 0 0 ° C。半導體晶圓的熱處理熱處理可包含,熱處 理、退火、摻雜物的擴散或操縱、材料層的澱積或生長, 例如物理汽相澱積(P v D )處理、及由晶圓腐蝕或去除材 料。 圖2敘述依據本發明一實例的熱處理裝置。爲了簡化 敘述起見。熱處理裝置的習知技術將不加以贅述。可參證 美國第6,0 0 5 ; 2 2 5號專利案以瞭解熱處理裝置的相關詳盡 技術。 圖2是用以加熱一批半導體晶圓的熱處理裝置的剖面 圖,且具有一氣旋式冷卻系統。熱處理裝置]0 0具有一處 理容器]02,處理容器]〇2具有一支座104及一熱源或熔 爐]]0 ;支座]〇4適於接納一其內固持有一批晶圓]〇8的 載器或船形容器]0 6 ’而熱源或熔爐]】0具有複數加熱元 件]]2,以將晶圓溫度加熱至熱處理所欲的溫度。熱處理 ^ 12 - (10) (10)200409176 裝置]0 Q另具有一或更多的光學或電子溫度感測器,例如 電阻溫度裝置(RTD )或熱偶(.T/C ),以監控在處理容 器]Q 2內的溫度,及/或控制加熱元件]]2的作業。在所 示型態中,溫度感測元件是具有複數獨立溫度感測節或點 (未不)以感測處理谷窃]〇 2內的多處位置之溫度的 T / C ] 1 4 A、] ] 4 B。熱處理裝置1 0 0也可具有一或更多的注 射器]1 6 (此圖僅顯示一個)以將一流體,例如氣體或蒸 汽’導入處理谷器]〇 2內供處理’及/或冷卻晶圓]〇 8 ;及 具有一或更多的出口或淸除口 Π 8 (此圖僅顯示一個), 以將一氣體導入以淸洗處理容器及/或冷卻晶圓。熱處理 裝置100可在處理容器102內另具有一容器襯墊12〇,以 增加在晶圓1 0 8附近的處理氣體或蒸汽的濃度,及減少晶 圓因源積物(形成於處理容器]0 2的內表面上)的剝落而 受到的污染。 一般上,處理容器1 0 2是藉由一密封件,例如〇形 環]2 2,密封至一平台或底板]2 4,以在熱處理時,完全 密封晶圓]0 8。注射器]1 6,T / C ] 1 4 A及淸除口 1 1 8係藉 密封件,例如V CR®或CF®配件加以密封。處理時釋放或 導入的氣體或蒸汽,藉形成於處理容器1 〇 2 —壁(未示) '或形成於底板1 2 4 —空間內的排廢口] 2 6或前級管線加 以排空,如圖2所示。處理容器]〇 2可在熱處理時保持於 大氣壓下,或是藉具有一或多個低真空泵、鼓風機、高真 空泵、及低節流活門 '及前級管線閥的泵系統(未示)排 空至低達4毫托(】” i ] ] i 1 〇 ]· r )的真空。 -13- (11) (11)200409176 處理容器]〇2及櫬墊]2G,可由任何可承受高溫及高 真空作業的熱應力及機械應力,或可抗拒來自在作業時採 用或釋放的氣體或蒸汽的腐蝕的金屬、陶瓷、水晶或玻璃 材料製成。此外,處理容器10 2也可由具有足夠厚度的不 透明、半透明、或透明石英玻璃製成,以承受機械應力, 及抗拒作業副產品的源積物’進而減少處理環境的潛在污 染。在此實施例的一態勢中,處理容器]0 2及櫬墊1 2 0是 由一石英製成,該石英可減低或消除自處理晶圓]〇 8的處 理區1 2 8傳導至祀封件1 2 2的熱。在此實施例的另.一態勢 中,在密封件]2 2附近的處理容器]〇 2包含一不透明石英 ’以減低自處理容器傳導至密封件1 2 2的熱,及藉經處理 容器1 02的側壁移除處理區1 2 8內的熱,而提升該裝置的 熱效應。 該晶圓]〇 8批次是藉一可與處理容器]〇 2形成氣密密 支寸的負載栓或負載口(未不),或處理容器或底板]24內 的一開口’而被導入處理容器]〇 2內。在圖2的型態中, 處理容器1 〇 2是一垂直反應器,且入口使用一可移動的台 座]a 0 ’在處理時,可升起以與密封件,例如在底板.]2 4 上的〇形環]2 2,相互密封;且該台座1 3 〇可降下,使得 作業員或自動處理系統,例如船形處理單元(Β Η u )(未 不)’可將載器或船形容器I 06置於連接至台座的支座 ]〇 4 上。 熱處理裝置]〇 〇另具有一氣旋式冷卻系統]4 〇,適於 將一冷卻液體注射入由處理容器]〇2所界定,且由處理容 (12) (12)200409176 器]〇 2延伸至熔爐Π 0的大致環形空間]4 2內。空間丨4 2 的環形部分具有一由熔爐Π 〇的內部界限或加熱元件]]2 所界定的外周邊。該氣旋式冷卻系統]4 0適於將該冷卻液 體切線的注射至空間]42的外周邊,以形成一渦流,越過 處理容器]02的表面來冷卻該容器。 如圖所不,氣旋式冷卻系統]4 0大致具有設於空間 ]4 2 —端,供冷卻流體通過其中的第一開口或注射口] 4 4 ,及設於該空間另一端,與注射口軸向分隔開,供冷卻流鲁 體經其流出的第二開口或排出口 ] 4 6。此外,冷卻流體也 可以是,例如空氣或氮氣的冷卻氣體,且該氣旋式冷卻系 統Μ0另具有一泵、風扇或鼓風機]48,其一出口 150連 接至注射口 1 4 4以對其供應冷卻氣體。在此實施例的一態 勢中,冷卻系統是一閉合環路冷卻系統,包含氣體一流體 熱交換器1 5 2,連接至鼓風機1 4 8的一入口] 5 4,以對其 供應冷卻空氣;熱交換器1 5 2也連接至排出口] 4 6,以接 受自其注射出的氣體。熱交換器1 5 2也藉冷卻流體供應管 φ 線]5 5連接至一冷卻流體源,例如自熱處理裝置1 0 0設置 其內的建物或設施供應的冷凍水或設施冷卻水。 在所示實施例中,氣旋式冷卻系統]4 0另具有一熱電 偶探針]4 3、電源電纜]4 5的外殼、及溫度指示器]4 7。 冷卻氣體經設於空間1 4 2 —端的第一開口或注射口 1 44注 射出,且在經設於該空間另一端,與注射口軸向分隔開的 第二開口或排出口] 4 6排出前,至少環繞處理容器]〇 2旋 轉一圈。精於本藝之人士可顯見冷卻氣體可依據各種因素 -15 - (13) (13)200409176 而環繞處理容器]02旋轉數圈。這些因素包括冷卻流體, 例如氣體的流速、處理容器]〇2的尺寸及溫度、注射口 ]4 4及排出口] 4 6的座向及輪廓。 氣旋式冷卻機構在減少所需冷卻流數量(即在一特定 時間週期內通過空間]42的冷卻氣體的體積及流率)的同 時,提升了冷卻效果;因此,減少了熱交換器及鼓風機系 統的尺寸、成本、噪音及震動。 依據另一實施例,一對流向切換閥1 5 6、] 5 8切換或 φ 更替流動方向,即使冷卻氣體在空間]4 2的頂部及底部之 間交替的注射。圖3是具有上述氣旋式冷卻系統]4 0的熱 處理裝置]0 0 —部份的立體圖。參圖3,在由實線箭頭 1 6 0所示的第一流徑中,冷卻氣體由鼓風機]4 8的出口 ]5 0出來,流經第一流向切換閥]56,通過一外部幹線或 導管]6 2,再進入一設於熔爐Π 0頂部附近的注射口] 4 4 。在流過及冷卻該處理容器]〇2 (此圖未示)後,已加熱 的冷卻氣體經另一外部幹線或導管]64自一排出口] 4 6排 φ 出,通過第二流向切換閥]5 8,再回至熱交換器]5 2。在 由虛線箭頭I 6 6所示的另一或第二流徑中,冷卻氣體由鼓 風機]4 S的出口] 5 0流出,流經第一流向切換閥1 5 6,直 接進入一設於熔爐Π 0底部附近的第二注射口(未示), 沒有通過外部幹線]62。在以漩渦方式向上流過處理容器 ]02 (此圖未示)後,已加熱的冷卻氣體經設於熔爐 Π 0 頂部附近的第二排出口 ] 6 8排出,通過第二流向切換閥 ]5 8,再回至熱交換器]5 2。 -16- (14) (14)200409176 需指出者’其他通過空間]4 2的路徑,或是更替流動 方向的手段’亦屬本發明的範嶋。例如,由箭頭]6〇所示 的流徑的流向’可藉顛倒鼓風機]4 8的方向,使之反向, 而不需重新定位流向切換閥]5 6、1 5 8。然而,爲了減少 鼓風機]4 8及相接的馬達(未示)暴露在上升的溫度下, 以增長使用聶命’通常可將鼓風機設於熱交換器]5 2的出 口上。 在另一實施例中,流向切換閥]5 6 '] 5 8及鼓風機鲁 1 4 8是在一溫度控制器(未示)的控制下,其做動該等閥 及鼓風機,以在一冷卻循環時,更替冷卻氣體流的方向數 次’因此’可比習知的單向冷卻系統,沿處理容器〗0 2的 軸向高度,進而跨越其內的晶圓批次,獲得一較均勻的軸 向冷卻。 此外,溫度控制器也可以是一使用理論模型以預測該 系統的熱反應之動態前饋溫度控制器,該系統充當正規控 制方法的前饋環路使用。 φ 在本實施例的一態勢中,溫度控制器具有一教學指令 序列,使用以往的處理數據來改進動態響應,及減低對熱 負載內的變動(例如因待處理晶圓]〇 8的數量所造成的變 動)的敏感度。 在圖4所示的另一實施例中,熔爐室]1 0的內表面 1 7 〇 (其界定空間]4 2的一外周邊)可包含數個翼狀物或 擋板]7 2,以協助引導冷卻氣體的漩渦流通過該空間。圖 4乃圖3熱處理裝置]〇 0之熔爐Π 〇內部的剖面側視圖, (15) (15)200409176 黑貝不氣,旋式冷卻系統]4 〇所注射的冷卻氣體的漩渦流。擋 板]72由’丨容爐室1 ] 〇的內表面]7 0徑向向內延伸。該等擋 板]7 2的徑向長度介於]〇毫米(m m )至’3 0毫米之間。 摇板〗7 2由益內表面]7 〇徑向向內延伸至接近處理容器 1 0 2外表面的〜點,但並不鄰接該外表面。此實施例的優 點是其能使處理容器]〇2與鼓風機做業時產生的振動相隔 絕’且在熱處理裝置]〇 〇組裝時,便利處理容器置入熔爐 ]]0內。在此賃施例的一態勢中,在擋板】7 2及處理容器 ]0 2的外表面之間存有一至少爲8毫米的間隙。需指出者 ’該等檔板]7 2的數量及位置,及座向,尤其是與流動方 向所成的角度,.可顯著的影響冷卻氣體在離開空間]4 2前 ’螺旋狀的環繞處理容器丨〇 2完整旋轉一圈的圈數。 參圖5及6以更進一步了解注射口 及排出口 ]46 的細節。圖5是熔爐n 0 一部份的剖面頂視圖,顯示本發 明冷卻系統]4 0 —實施例的注射口] 4 4及排出口〗4 6的輪 廓。圖6是圖5所示熔爐1 ] 〇部分的剖面側視圖。 注射口 144及排出口]46兩者均與溶爐1]〇的內表面 】7 〇成正切。在一實施例中,注射口 1 44具有一淺勺狀部 分]7 4,其半徑由遠離進入空間丨7 4的進入點遞減至該進 入點。淺勺狀部分1 7 4的遞減半徑,在空間]4 2內逐步的 將冷卻氣體正切向空間M2的線性方向流動,改變成層流 幵多或|大致層式螺旋狀的流動。 排出口] 4 6可包含一大致成長方形剖面的部分]7 6, 以使周以排出冷卻氣體的排出口] 4 6的截面積爲最大値, (16) (16)200409176 及IJ化排d_! 口並減少製造成本。此外,排出口 ] 4 6也可包 含第二勺狀部分]7 4 (未示),俾使已加熱的冷卻氣體在 自空間]4 2排出前,保持層流狀流動。此實施例的額外優 點是,當流動如上文述及般反向時,排出口] 4 6可充當注 射口] 4 4 ;且可避免在高流速時,湍流的發生。 依據另一實施例,注射口 1 4 4及排出口〗4 6具有平順 的改變橫截面積的推拔部]7 8、] 8 0,以改變冷卻氣體進 入或離開該空間]4 2的速度或流率。注射口] 4 4的推拔部 其橫截面積自接近該空間的一點處,逐漸朝向接近進入注 射口的一點處遞減,以使進入該空間的冷卻氣體的速度加 快。經發現加速進入該空間的冷卻氣體的速度達一特定値 時,可維持螺旋狀的流動。即是,如冷卻氣體以太慢的流 率導入,冷卻氣體傾向於向上移動或流動,而會瓦解螺旋 狀的流動。此將導致在處理容器]0 2上形成局部的熱點, 或在冷卻氣體不流過的處理容器表面的一些區域形成不均 的冷卻。較佳者’爲了獲得冷卻氣體螺旋狀旋轉的適度動 量,冷卻氣體係以至少約爲每秒5米(5 mp s )的速度注 射,更佳者是約爲5 m p s至3 0 m p s的速度。 排出口] 4 6的推拔部1 8 〇減緩了進入熱交換器]5 2的 冷卻氣體的速率’因此增長了已加熱的冷卻氣體在熱交換 器內的時間,而提升熱交換器的冷卻效應。例如,推拔部 ]8 0的內徑可由入口處的約2英吋增加至在出口處的約3 英吋。 在圖7的另一實施例,氣旋式冷卻系統]4 0具有雨只 (17)200409176 軸向 及兩 軸向 是在 )強 ]〇〇 BHU 的作 冷卻 負載 流程 裝置 間] 毗鄰 )〇 146 140 卻作 的方 性的 間] 的設於熔爐]]〇的中心附近的注射口 ] 4 4 A、] 4 4 13, 只設於任何兩端,以形成兩相互朝相反方向旋轉的同 螺旋狀流徑的排出口 ] 4 6 A、] 4 6 B。此實施例的優點 處锂容器]0 2中央附近(通常是最接近處理區]2 8者 化冷卻,進而對晶圓]〇 8施予最大値的冷卻。 需指出者,上述冷卻系統特別有效於冷卻熱處理裝釐 及其內的晶圓]〇 8 (該等晶圓]0 8經處理以備藉由 卸載)。 让·匕外,冷卻系統]4 〇可在晶圓的處理過程中爲其他目 業。例如,冷卻系統]4 〇可在處理過程中提供減量的 或改變冷卻程度,以對加熱元件]1 2提供一恆常的熱 ’及減少或消除局部熱點。 圖8敘述一種冷卻熱處理裝置1 〇 〇的方法。圖8是一 圖’顯示利用上述氣旋式冷卻系統]4 0來冷卻熱處理 ]〇 〇的方法的步驟。在該方法中,冷卻氣體經朝向空 4 2的外周邊切線的定位的注射口 1 4 4注射出,以形成 處理容器的冷卻氣體的渦流或螺旋狀流動(步驟184 冷卻氣體之後經由與注射口 1 4 4軸向分隔開的排出□ 自空間]4 2放射出(步驟1 8 6 )。氣旋式冷卻系統 也可另具有流向切換閥1 5 6、] 5 8,且該方法包含在冷 業時,做動該等流向切換閥,以切換或更替氣體渦流 向至少一次的額外步驟(步驟188)。另外,可選擇 包含在經注射口 Μ 4注射氣體時,使冷卻氣體在自空 4 2排出前,至少環繞處理容器]〇2旋轉一圈。 -20 - (18) 200409176 依據另一態勢,熱處理裝置]0 0另包含一適於 熱源或熔爐]1 0及/或處理容器]0 2的煙囪式冷卻 在圖 9的實施例,熱源或熔爐]]〇另包含一沿加 1 ] 2配置的絕緣體2 0 2,且具有一包含內壁2 0 6的 側部2 〇 4,該內壁2 0 6與處理容器]0 2的側壁2 0 8 ’及具有一毗鄰該側部的頂部絕緣塊或頂部塊體2 般上,煙囪式冷卻系統具有一促動器2 ] 2,適於將 體2 ] 0自絕緣體2 0 2的側部2 0 4移動一特定距離’ 等之間界定一間隙(此圖未示),藉此使導入空間 流體可經該空間向上流動,及經該間隙流出以冷 ]1 0及/或處理容器1 〇 2。如上,冷卻流體一般上是 體,如空氣或氮氣。 煙囪式冷卻系統具有一熱交換器以自循環過空 的流體或氣體移除熱。例如,在圖9的實施例,煙 卻系統具有由複數冷卻線圈2 ] 6形成的一體式氣體 熱交換器,.及其上安裝有促動器2 ] 2的殼體或封體 此外,該氣體一流體熱交換器可另包含一設於殼體 方的額外冷卻線圈22 0,以冷卻殼體2 ] 8或爲冷 2 ] 6充當閉合環路式氣體一流體熱交換器的散熱部女 在圖1 0的一實施例,冷卻線圈2 ] 6毗鄰絕緣 側部2 0 4的一外表面2 2 2,且在該側部上方延伸, 部塊體2 ] 0被舉起以界定該間隙2 2 4時,來自空間 受熱流體升起且由該間隙流出,通過冷卻線圈2 ] 6 流體。來自空間]4 2的冷卻氣體,在由絕緣體外部 冷卻一 系統。 熱元件 圓柱形 同軸向 ]0 〇 ~~^ 頂部塊 以在其 ]4 2的 卻熔爐 冷卻氣 間 ]4 2 囪式冷 一流體 2 18。 2 ] 8外 卻線圈 體202 故當頂 142的 以冷卻 及殼體 - 21 - (19) 200409176 2 1 8內部所界定的第二空間2 2 6內進 熱交換器2 ] 4冷卻之。冷卻氣體在第 步冷卻及冷凝’導致其朝向第二空丨 2 2 8下沈’造成冷卻氣體經空間]4 2 3 0所示。藉由自然循環,可經空間 卻氣體的流動。如有需要,冷卻氣體 環可藉一風扇2 2 9、鼓風機、或其他 輔助。 促動器2 ] 2可包含任何可遙控 2 1 0的適當機械式裝置,且可包含如 缸、螺線管、起重機、或導螺旋。在 ,促動器2 ] 2具有—由電動馬達2 3 4 車。爹圖1 0,馬達2 3 4是那種可藉 隙2 2 4的尺寸,進而改變對熔爐1 ] < 卻程度或速率者。 在圖]I的另一實施例,煙囪式 路冷卻系統,熱交換器2 ] 4是一分離 而煙!S式冷卻系統另具有一連接至a 2〇6 ’以對其提供冷卻氣體。雖然此 ^ &胃$台或,維持冷卻氣體經空間1 42 卻氣體自該空間上升時,冷卻氣體的 間內維持足夠的冷卻氣體及冷卻氣體 較鼓風機。 在另〜實施例,煙囪式冷卻系統 一步藉由氣體一流體 二空間2 2 6內的進一 間底部附近的注射口 2自然循環,如箭頭 142開始及/或維持冷 經空間]42的自然循 機械式流動維持裝置 做動以舉起頂部塊體 氣動式汽缸、液壓汽 圖9至Π的實施例 驅動的練條或電纜絞 精確的控制以改變間 〇及/或處理容器的冷 冷卻系統是一閉合環 的精簡式熱交換器, Ξ射口 2 2 8的鼓風機 實施例未依賴自然循 的流動,但可知當冷 加熱的確有助於在空 層流,因此允許使用 另具有一控制器(未 (20) (20)200409176 示)以控制促動器2 ] 2的作業,以自動移動頂部塊體2 ] 〇 來致使通過空間]4 2的流動,及流出間隙2 2 4。此外,控 制器及促動器2 ] 2適於將頂部塊體2 ] 〇移動至第一特定位 置,以提供第一冷卻速率,及移動至第二特定位置,以提 供第二冷卻速率。依據熔爐Π 0待冷卻的溫度而定,煙囪 式冷卻系統可在冷卻循環中,以一或更多不同的速率冷卻 熔爐]]〇,進而容置其中的晶圓]。例如,在冷卻循環 中,鼓風機2 0 6的尺寸可被調整以提供介於每分鐘]0 c 至每分鐘1〇〇 C之間範園內的冷卻。精於本藝之人士可 顯見這些範園是範例性者,其他冷卻速率(平均或瞬間) 可依據特定用途而定之。 圖1 2敘述一種以煙囪式冷卻系統來冷卻熱處理裝置 ]0 0的方法。圖]2是一流程圖,顯示利用具有一可移動 式頂部塊體2 ] 0的煙囪式冷卻系統來冷卻一用以熱處理一 晶圓的裝置1 〇 〇的方法實例。在該方法中,頂部塊體2 ! 〇 自絕緣體2 0 2的側部2 0 4被移動一特定距離,以在其等之 間界疋一間隙2 2 4 (步驟2 4 0 )。一冷卻流體經由與間隙 2 2 4軸向分隔開的注射口 2 2 8注入空間〗4 2內(步驟2 4 2 ),且藉間隙2 2 4自空間]4 2射出,以誘導一通過空間的 流動,進而冷卻處理容器]〇2 (步驟244 )。一般上,冷 卻流體是冷卻氣體’而將流體導入該空間的步騾,包括注 射冷卻氣體進入空間]4 2。 在一實施例中,將一流體導入該空間的步驟,步驟 2 4 2,是藉由使用連接至注射口 2 2 8的鼓風機2 3 6來驅使 -23 - (21) (21)200409176 冷卻氣體進入空間]4 2。在此實施例的一態勢中,煙囪式 冷卻系統是一閉合環路式冷卻系統,具有氣體一流體熱交 換器2 ] 4,藉間隙2 2 4連接至空間]4 2,及藉鼓風機2 3 6 連接至注射口 2 2 8 ;且將一流體導入該:空間的步驟,步驟 2 4 2,包含自該氣體一流體熱交換器將已冷卻的冷卻氣體 供應給鼓風機;而將來自空間]42的流體經間隙2 24射出 的步驟,步驟2 4 4,包含在氣體一流體熱交換器2 ] 4內, 接納經間隙自該空間射出的已加熱冷卻氣體。 此外,頂部塊體2 1 0的移動可藉一控制器來精確的控 制,而移動該頂部塊體的步驟,步驟2 4 0,包括將頂部塊 體移動至第一特定位置,以提供第一冷卻速率;該方法另 包含移動頂部塊體至第二特定位置,以提供第二冷卻速率 (步騾2 4 6 )。 本藝之人士可對上述實施例進行修飾、增加或刪減, 但此等作爲仍應視爲在本發明之精神及範疇內。 [圖式簡單說明】 圖]顯示具有傳統強力空氣冷卻系統的習知熱處理裝 置之橫斷面圖。 圖2係本發明一實施例、具有氣旋式冷卻系統的熱處 理裝置之橫斷面圖。 圖3係本發明一實施例、具有氣旋式冷卻系統的熱處 理裝置之部分立體圖。 圖4是圖3熱處理裝置之絕緣體的橫斷面圖,顯示一 -2^ - (22) (22)200409176 氣體旋渦流經本發明一實例的冷卻系統注射。 圖5是熱處理裝置之部分絕緣體的橫斷面頂視圖,顯 示注射口及排出口的輪廓。 圖6是圖5部分絕緣體的橫斷面側視圖。 圖7是熱處理裝置之絕緣體的橫斷面側視圖,顯示~ 氣體旋渦流經本發明另一實例的冷卻系統注射。 圖8是一圖表,顯示利用本發明一實例的氣旋式冷卻 系統,以冷卻用以熱處理一基底的裝置的方法。 圖9是具有依據本發明一實例之冷卻系統的熱處理裝 置的橫斷面示意圖。 圖]〇是圖9熱處理裝置之橫斷面示意圖,顯示.在提 起位置的頂部塊體。 圖]]威不具有本發明另一實例冷卻系統的熱處理裝 置之橫斷面示意圖。 圖]2是一流程圖,顯示利用本發明具有一可移動頂 部塊體的冷卻系統,以冷卻用以熱處理一基底的裝置的方 法實施例。 主要元件對照表 2 〇 ··熱處理裝置 22·處理室或容器 2 4 :熔爐 2 6 :晶圓 卡匣或船形容器 r~ -ZO - (23) (23)200409176 2 8 :底板 29 :閥 3 0 :強力空氣冷卻系統 3 2 :加熱元件 3 4 :風扇或鼓風機 3 6 :空間 3 8 :熔爐的內壁 4 0 :處理容器的外表面 4 2 :熱交換器 4 4 :冷卻線圈 ]〇 〇 :熱處理裝置 1 〇 2 :處理容器 1 04 :支座 1 0 6 :載器或船形容器 ]〇 8 :晶圓 ]]〇 :熱源或熔爐 1 1 2 :加熱元件 Π 4 :溫度感測器 1 1 6 :注射器 ]1 8 :出口或淸除口 ]20 :容器襯墊 ]22 :〇形環/密封件 ]2 4 :平台或底板 ]2 6 :排廢口 -26- (24)200409176 ]2 8 .處理區 ]30 :台座
1 32 : 0形環/密封件 ]4 0 :氣旋式冷卻系統 ]4 2 :環形空間 1 4 3 :熱電偶探針 ]4 4 :第一開口或注射口 ]4 4 A :注射口 ]4 4 B :注射口 ]4 5 :電源電纜 1 4 6 :第二開口或排出口 1 4 6 A :排出□
1 46B :排出口 ]4 7 :溫度指示器 1 4 8 :鼓風機 1 5 0 :出口 1 5 2 :氣體一流體熱交換器 ]5 4 :入口 ]5 5 :冷卻流體供應管線 ]5 6 :切換閥 1 5 8 :切換閥 ]6 0 :第一流徑 ]62 :外部幹線或導管 ]64 :外部幹線或導管 (25) 200409176 ]66 : 168: ]70 : 172: 174: 176: 17 8: 180: 184: 186: 188: 202 : 2 04 : 2 06 : 2 10: 2 12: 2 14: 2 16: 2 18: 22 0 : 222 : 224 : 226 第二流徑 第二排出口 熔爐室的內表面 擋板 淺勺狀部分 長方形剖面的部分 推拔咅β 推拔咅Β 步驟 步驟 步驟 絕緣體 圓柱形側部 內壁 頂部塊體 促動器 氣體一流體熱交換器 冷卻線圈 殼體或封體 冷卻線圈 冷卻線圈的外表面 間隙 第二空間 (26)200409176
2 2 9 :風扇 2 3 0 :箭頭 2 3 4 :電動馬達 2 3 6 :鼓風機 2 4 0 :步驟 2 4 2 :步驟 2 4 4 :步驟 2 4 6 :步驟
-29-

Claims (1)

  1. (1) (1)200409176 拾、申請專利範圍 1. 一種用以熱處理一基底之裝置,包含: 容裝一處理區的容器,該容器具有一圓柱形外壁部; 一封體,具有一圓柱形內壁部,與該容器的圓柱形外 壁部間隔開,以在其等之間形成一空間; 一熱源,與該容器間距開,以經該容器提供熱輻射進 入該處理區;及 一氣體注射口,設於封體的圓柱形內壁部,且大致與 該空間的一外周邊成切線的定位。 2. 如申請專利範圍第1項之裝置,其中該熱源係與 該空間成熱連續。 3. 如申請專利範圍第]項之裝置,其中該冷卻系統 另包含一氣體排出口,設於封體的圓柱形內壁部,且與該 氣體注射口軸间分隔開,大致與該空間的該外周邊成切線 的定位,該氣體注射口與氣體排出口係設計成可在該空間 內形成一渦流來冷卻該容器。 4 .如申請專利範圍第3項之裝置,其中該冷卻系統 另包含: 鼓風機,具有一出口,連接至氣體注射口,以對其供 應氣體;及 一閉合環路冷卻系統,具有氣體一流體熱交換器,連 接至鼓風機的入口,以對其供應冷卻氣體,及連接至氣體 排出口,以接受其射出的氣體,該熱交換器也連接至冷卻 流體的一來源。 -30- (2) (2)200409176 5. 如申請專利範園第 4項之裝置,其中該冷卻系統 另包含流向切換閥,連接於熱交換器的入口及出口之間, 及氣體注射口及氣體排出口之間,以使氣體的渦流逆轉方 向。 6. 如申請專利範園第5項之裝置,其中該冷卻系統 另包含一控制器以控制流向切換閥的作業,以在該冷卻作 業中,更替氣體渦流的方向至少一次。 7. 一種用以熱處理一基底之裝置,該裝置包含: 容裝待處理基底的容器,該容器具有一圓柱形部; 一熱源,具有複數加熱元件以對該基底提供熱輻射, 該等加熱元件大致環繞該容器分佈,且與該容器間隔開, 以形成一自該容器延伸至該熱源的空間,該空間具有一環 形部分,及由熱源的一內部界限所界定的外周邊;及 一冷卻系統,具有至少一第一口,大致與該空間的外 周邊成切線的定位,以注射一氣體進入該空間內,以形成 一渦流來冷卻該容器。 8 .如申請專利範圍第 7項之裝置,其中該冷卻系統 另包含一與該第一口軸向分隔開的第二口,以自該空間射 出氣體。 9.如申請專利範圍第8項之裝置,其中該冷卻系統 另包含: 鼓風機,具有一出口,連接至第一口,以對其供應氣 體;及 一閉合環路冷卻系統,具有氣體一流體熱交換器,連 -31 - (3) (3)200409176 接至鼓風機的入口,以對其供應冷卻氣體,及連接至第二 口,以接受其射出的氣體,該熱交換器也連接至冷卻流體 的一來源。 , 10. 如申請專利範園第9項之裝置,其中該冷卻系統 另包含流向切換閥,連接於熱交換器的入口及出口之間, 及第一口及第二口之間,以使氣體的渦流逆轉方向。 11. 如申請專利範圍第1 0項之裝置,其中該冷卻系 統另包含一控制器以控制流向切換閥的作業,以在冷卻作 業中,更替氣體渦流的方向至少一次。 12. 如申請專利範園第8項之裝置,其中: 該熱源另包含具有一內壁的絕緣體,該內壁的一圓柱 形部環繞該容器,且與該容器間隔開,且藉該空間與該容 器成徑向間隔開;及 其中該絕緣體內壁的圓柱形部具有複數擋板,以引導 氣體的流動,以協助形成漩渦流來冷卻該容器。 13. 如申請專利範圍第]2項之裝置,其中該等複數 擋板的至少一部份也導引氣體的漩渦流來冷卻該等加熱元 件。 ]4.如申請專利範圍第1 2項之裝置,其中該等加熱 元件係置於絕緣體內,毗鄰絕緣體的內壁,且其中該空間 的外周邊係由絕緣體的內壁所界定。 ]5 .如申請專利範圍第1 2項之裝置,其中該等加熱 元件係由絕緣體的內壁徑向向內伸突,且其中該空間的外 周邊係由該等加熱元件的內部界限所界定。 -32 - (4) (4)200409176 ]6 .如申請專利範圍第]2項之裝置,其中該第一口 及擋板係設計成在氣體自該空間排出前,至少環繞該容器 旋轉一次。 ’ 17. 如申請專利範園第]2項之裝置,其中該第一口 包含一延伸通過該絕緣體的導管,且其中該導管是由鄰接 絕緣體一外壁的第一橫截面積,朝向鄰接絕緣體內壁的第 二、較小橫截面積逐漸呈尖細。 18. 如申請專利範圍第8項之裝置,另包含氣體通過 φ 其以注射入該空間的第三口,及氣體通過其以自該空間射 出的第四口,且其中該第一口及第三口係軸向的鄰近容器 的圓柱形部分的中央設置,而第二口及第四口係軸向的鄰 近容器的圓柱形部分的相對端設置,且其中該第一口、第 二口、第三口、及第四口係設計成,使氣體以由第一口至 第二口的第一旋渦流,來環繞該容器旋轉;及以使氣體以 由第三口至第四口的第二旋渦流,來環繞該容器旋轉。 ]9 . 一種將熱處理一半導體基底之裝置加以冷卻的方 φ 法,該半導體基底置於一由容器所圍封的處理區內,該裝 置具有一設於容器外方的熱源,以經由容器加熱該處理區 ,該方法包含: 將一冷卻氣體導入一沿容器的圓柱形外壁設置的槪呈 細長狀圓柱形區內; 經該細長狀圓柱形區引導該冷卻氣體成一渦流;及 在該渦流的界限,將冷卻氣體由該細長狀圓柱形區移 除。 (5) (5)200409176 2 0 .如申請專利範圍第]9項之方法,其中: 將冷卻氣體導入細長狀圓柱形區內的步騾包含··將供 應自一閉合環路冷卻系統的冷卻氣體導入的步驟;及 在該渦流的界限,將冷卻氣體由該細長狀圓柱形區移 除的步驟包含:在該閉合環路冷卻系統內,接納自細長狀 圓柱形區移除的冷卻氣體的步驟。 2 1.如申請專利範圍第2 0項之方法,其中: 將冷卻氣體導入細長狀圓柱形區內的步驟包含:經第 一口引導冷卻氣體的步驟,而將冷卻氣體由該細長狀圓柱 形區移除的步驟包含:經第二口移除冷卻氣體的步驟,及 另包含下列步驟= 經第二口將冷卻氣體導入細長狀圓柱形區內;及 經第一口將氣體自該空間排出; 藉此沿容器的壁形成氣體的第二渦流來冷卻該容器, 而第二渦流的流動方向大致與第一渦流相反。 2 2· —種將熱處理一基底之裝置加以冷卻的方法,該 裝置具有一用以容裝待處理基底的容器,該容器具有一圓 柱形壁’及一配具有複數加熱元件以提供熱輻射來加熱該 基底的熱源,該等加熱元件環繞該容器分佈,且與該容= 間隔開,以形成一自該容器延伸至該熱源的空間,該== 具有一環形部分,及由熱源的一內部界限 开限m界疋的外周邊 ,該方法包含下列步驟: 經第一 口將一氣體注射進入該空間 _ 一 1」丨」 矽萊一 口大致 與該空間的外周邊成切線的定位;及 - 34 - (6) (6)200409176 沿該容器的壁形成氣體的第一渦流來冷卻該容器。 2 3.如申請專利範圍第2 2項之方法,其中將氣體注 射入該空間的步驟包含:將供應自一閉合環路冷卻系統的 氣體導入第一口的步驟,及另包含在該閉合環路冷卻系統 內,接納自第二口射出的氣體的步驟。 2 4 .如申請專利範圍第2 3項之方法,另包含下列步 驟: 經第二口將一氣體注射進入該空間內;及 φ 經第一口將氣體自該空間排出; 藉此沿容器的壁形成氣體的第二渦流來冷卻該容器, 而第二渦流的流動方向大致與第一渦流相反。 25.如申請專利範圍第 2 2項之方法,其中經第一口 將氣體注射的步驟也包含:引導該氣體渦流來冷卻該等加 熱元件的步騾。 2 6.如申請專利範圍第 2 2項之方法,其中經第一口 將氣體注射的步驟及自該空間將氣體排出的步驟包含:經 φ 第一口將氣體注射及經第二口將氣體自該空間排出,以使 氣體在自該空間被排出前,至少環繞該容器旋轉一次。 2 7.如申請專利範圍第2 2項之方法,其中該第一口 包含一導管,由第一橫截面積,朝向鄰接該空間外周邊的 第二、較小橫截面積逐漸呈尖細,且其中經第一口將氣體 注射的步驟包含使氣體在注射入該空間時加速的步驟。 2 8 . —種在以申請專利範園第2 2項之方法來冷卻的 裝置內處理的基底。 .. -35 - (7) (7)200409176 29. —種用以熱處理一基底之裝置,該裝置包含: 容裝一待處理基底的容器,該容器具有一頂壁及一側 壁; 一熱源,具有: 複數加熱元件以對該基底提供熱輻射,該等加熱元件 大致環繞該容器分佈,且與該容器間隔開,以形成一自該 容器延伸至由加熱元件的內部界限所界定的外周邊的空間 ,及 一絕緣體,環繞該等加熱元件配置,該絕緣體藉該空 間與該容器間隔開,且包含一配設有內壁的側部及一鄰接 該側部的頂部塊體;及 一促動器,適於將頂部塊體自絕緣體的側部移動一特 定距離,以在其等之間界定一間隙,讓自該空間導引的流 體經由該空間流過,及流過該間隙以冷卻該裝置。 3 0.如申請專利範圍第2 9項之裝置,其中該流體包 含一冷卻氣體,且其中該裝置另包含一注射口,與該間隙 軸向分隔開以將冷卻氣體導入該空間內。 3 1.如申請專利範圍第3 0項之裝置,另包含一冷卻 系統,具有一連接至該注射口的鼓風機,以對其供應冷卻 氣體。 32.如申請專利範園第3 1項之裝置,其中該冷卻系 統包含一閉合環路冷卻系統,具有經該間隙連接至該空間 的氣體一流體熱交換器,以接受自該空間排出的受熱冷卻 氣體,且經該鼓風機連接至該注射口,以對其供應冷卻氣 ., -36- (8) (8)200409176 體,該熱交換器係連接至一冷卻流體源。 33.如申請專利範圍第3 I項之.裝置,其中該冷卻系 統另包含一控制器以控制促勤器的作業,以移動頂部塊體 來致使通過該空間的流動,及流出間隙。 3 4.如申請專利範圍第3 3項之裝置,其中該控制器 及促動器係構製成可將頂部塊體移動至第一特定位置,以 提供第一冷卻速率,及移動至第二特定位置,以提供第二 冷卻速率。 3 5.如申請專利範圍第2 9項之裝置,其中該等加熱 元件係置於絕緣體內,毗鄰絕緣體的內壁。 3 6. —種將熱處理一基底之裝置加以冷卻的方法,該 裝置具有一用以容裝待處理基底的容器,該容器具有一頂 壁及側壁,及一配具有複數加熱元件以加熱該基底的熱源 ,該等加熱元件環繞該容器分佈,且與該容器間隔開,以 形成一自該容器延伸至由加熱元件的內部界限所界定的一 外周邊的空間,該熱源另包含一環繞該等加熱元件配置的 絕緣體,該絕緣體藉該空間與該容器間隔開,且包含一配 設有與容器的側壁同軸向的內壁之側部,及一鄰接該側部 的頂部塊體,該方法包含下列步驟: 將頂部塊體自絕緣體的側部移動一特定距離,以在其 等之間界定一間隙; 導引一流體經入該空間;及 經該間隙將該空間的流體排出,以產生一通過該空間 的流動來冷卻該裝置。 -37 - 200409176 Ο) 37. 如申請專利範圍第3 6項之方法,其中該流體包 含一冷卻氣體,且其中該導引一流體經入該空間的步驟包 含=導引該冷卻氣體經與該間隙軸向分隔開的一注射口進 入該空間。 38. 如申請專利範圍第34項之方法,其中該導引一 流體經入該空間的步驟包含:導引該冷卻氣體經一連接至 該注射口的鼓風機而進入該空間。 3 9.如申請專利範圍第 3 8項之方法,其中該裝置另 φ 包含一具有氣體一流體熱交換器的閉合環路冷卻系統,該 氣體一流體熱交換器經該間隙連接至該空間,及經該鼓風 機連接至該注射口,且其中該導引一流體經入該空間的步 驟包含:將來自氣體一流體熱交換器的已冷卻的冷卻空氣 供應給鼓風機,且經該間隙將該空間的流體排出的步驟包 含:在該氣體一流體熱交換器內接受經間隙通過該空間注 射出的被加熱的冷卻氣體。 4 0.如申請專利範圍第3 6項之方法,其中移動該頂 φ 部塊體的步驟包含:將頂部塊體移動至第一特定位置,以 提供第--冷卻速率,且其中該方法另包含將頂部塊體移動 至第二特定位置,以提供第二冷卻速率的步驟。 4 1 . 一種在以申請專利範圍第3 6項之方法來冷卻的 裝置內處理的基底。 -38-
TW92119297A 2002-07-15 2003-07-15 System and method for cooling a thermal processing apparatus TW200409176A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US39653602P 2002-07-15 2002-07-15
US42852602P 2002-11-22 2002-11-22

Publications (1)

Publication Number Publication Date
TW200409176A true TW200409176A (en) 2004-06-01

Family

ID=30118590

Family Applications (9)

Application Number Title Priority Date Filing Date
TW92119300A TW200405401A (en) 2002-07-15 2003-07-15 Thermal processing apparatus and method for evacuating a process chamber
TW92119295A TW200419890A (en) 2002-07-15 2003-07-15 Servomotor control system and method in a semiconductor manufacturing environment
TW92119296A TW200411960A (en) 2002-07-15 2003-07-15 Variable heater element for low to high temperature ranges
TW92119299A TW200416774A (en) 2002-07-15 2003-07-15 Apparatus and method for backfilling a semiconductor wafer process chamber
TW92119298A TW200416773A (en) 2002-07-15 2003-07-15 Thermal processing system and configurable vertical chamber
TW92119297A TW200409176A (en) 2002-07-15 2003-07-15 System and method for cooling a thermal processing apparatus
TW92119294A TW200411717A (en) 2002-07-15 2003-07-15 Method and apparatus for supporting semiconductor wafers
TW92119301A TW200416775A (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof
TW92119303A TW200406818A (en) 2002-07-15 2003-07-15 Control of a gaseous environment in a wafer loading chamber

Family Applications Before (5)

Application Number Title Priority Date Filing Date
TW92119300A TW200405401A (en) 2002-07-15 2003-07-15 Thermal processing apparatus and method for evacuating a process chamber
TW92119295A TW200419890A (en) 2002-07-15 2003-07-15 Servomotor control system and method in a semiconductor manufacturing environment
TW92119296A TW200411960A (en) 2002-07-15 2003-07-15 Variable heater element for low to high temperature ranges
TW92119299A TW200416774A (en) 2002-07-15 2003-07-15 Apparatus and method for backfilling a semiconductor wafer process chamber
TW92119298A TW200416773A (en) 2002-07-15 2003-07-15 Thermal processing system and configurable vertical chamber

Family Applications After (3)

Application Number Title Priority Date Filing Date
TW92119294A TW200411717A (en) 2002-07-15 2003-07-15 Method and apparatus for supporting semiconductor wafers
TW92119301A TW200416775A (en) 2002-07-15 2003-07-15 Loadport apparatus and method for use thereof
TW92119303A TW200406818A (en) 2002-07-15 2003-07-15 Control of a gaseous environment in a wafer loading chamber

Country Status (6)

Country Link
EP (2) EP1540258A1 (zh)
JP (2) JP2005533378A (zh)
CN (1) CN1643322A (zh)
AU (9) AU2003249029A1 (zh)
TW (9) TW200405401A (zh)
WO (9) WO2004007800A1 (zh)

Families Citing this family (335)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
NL1030360C2 (nl) * 2005-11-07 2007-05-08 Holding Mij Wilro B V Oven en werkwijze voor de productie van fotovoltaïsche zonnecellen gebruikmakend van een diffusieproces.
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
WO2007099387A1 (en) 2006-03-03 2007-09-07 Mymetics Corporation Virosome-like vesicles comprising gp41-derived antigens
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
DE102007058053B4 (de) * 2007-11-30 2009-10-15 Von Ardenne Anlagentechnik Gmbh Diffusionsofen und Verfahren zur Erzeugung einer Gasströmung
US9157150B2 (en) * 2007-12-04 2015-10-13 Cypress Semiconductor Corporation Method of operating a processing chamber used in forming electronic devices
JP4885901B2 (ja) * 2008-03-31 2012-02-29 株式会社山武 流量制御システム
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8136618B2 (en) 2009-01-21 2012-03-20 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5794497B2 (ja) 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 連結システム
KR101877494B1 (ko) * 2010-12-24 2018-07-13 엘지이노텍 주식회사 진공 열처리 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9018567B2 (en) 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9147584B2 (en) * 2011-11-16 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Rotating curing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101440307B1 (ko) * 2012-09-17 2014-09-18 주식회사 유진테크 기판처리장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10177014B2 (en) * 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
WO2014151475A1 (en) * 2013-03-15 2014-09-25 Watkins Bobby G Ii Flow control and gas metering process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN105940481A (zh) * 2014-01-27 2016-09-14 应用材料公司 高速epi系统和腔室构思
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI642137B (zh) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
JP6143964B1 (ja) * 2016-01-25 2017-06-07 三菱電機株式会社 制御装置
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
FR3057391B1 (fr) * 2016-10-11 2019-03-29 Soitec Equipement de traitement thermique avec dispositif collecteur
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102127130B1 (ko) * 2017-02-17 2020-06-26 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP7158133B2 (ja) 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI629441B (zh) 2017-07-07 2018-07-11 寶成工業股份有限公司 Smart oven
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10854483B2 (en) * 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7206678B2 (ja) * 2018-07-30 2023-01-18 Tdk株式会社 ロードポート装置、半導体製造装置及びポッド内雰囲気の制御方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7203588B2 (ja) * 2018-12-17 2023-01-13 東京エレクトロン株式会社 熱処理装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11407000B2 (en) 2019-09-23 2022-08-09 S. C. Johnson & Son, Inc. Volatile material dispenser
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
GB2610156A (en) * 2021-04-29 2023-03-01 Edwards Ltd Semiconductor processing system
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy SUBSTRATE PROCESSING APPARATUS AND METHOD
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102444786B1 (ko) * 2021-12-23 2022-09-19 주식회사 에이치피에스피 냉각 효율을 향상시키는 고압챔버
CN114990299B (zh) * 2022-08-01 2022-10-04 兴化市天泰合金制品科技有限公司 一种球墨铸铁合金制备用热处理装置

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
EP0164928A3 (en) * 1984-06-04 1987-07-29 Texas Instruments Incorporated Vertical hot wall cvd reactor
JPS61191015A (ja) * 1985-02-20 1986-08-25 Hitachi Ltd 半導体の気相成長方法及びその装置
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
JPH088220B2 (ja) * 1988-09-05 1996-01-29 株式会社日立製作所 半導体ウェハの熱処理装置、及び熱処理方法
US5001327A (en) * 1987-09-11 1991-03-19 Hitachi, Ltd. Apparatus and method for performing heat treatment on semiconductor wafers
DE3885833T2 (de) * 1987-09-22 1994-03-24 Nec Corp Chemischer Dampfabscheidungsapparat für die Herstellung von hochqualitativen epitaktischen Schichten mit gleichmässiger Dichte.
US4787844A (en) * 1987-12-02 1988-11-29 Gas Research Institute Seal arrangement for high temperature furnace applications
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
JP2654996B2 (ja) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 縦型熱処理装置
JPH02130943A (ja) * 1988-11-11 1990-05-18 Tel Sagami Ltd 収容治具
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
DE3906075A1 (de) * 1989-02-27 1990-08-30 Soehlbrand Heinrich Dr Dipl Ch Verfahren zur thermischen behandlung von halbleitermaterialien und vorrichtung zur durchfuehrung desselben
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5127365A (en) * 1990-02-27 1992-07-07 Kabushiki Kaisha Toshiba Vertical heat-treatment apparatus for semiconductor parts
JP2819073B2 (ja) * 1991-04-25 1998-10-30 東京エレクトロン株式会社 ドープド薄膜の成膜方法
JP3040212B2 (ja) * 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
WO1993023713A1 (en) * 1992-05-15 1993-11-25 Shin-Etsu Quartz Products Co., Ltd. Vertical heat treatment apparatus and heat insulating material
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JP3024449B2 (ja) * 1993-07-24 2000-03-21 ヤマハ株式会社 縦型熱処理炉及び熱処理方法
US5706627A (en) * 1994-02-02 1998-01-13 Tetra Laval Holdings & Finance, S.A. Control system for a packaging machine
JPH088194A (ja) * 1994-06-16 1996-01-12 Kishimoto Sangyo Kk 気相成長機構および熱処理機構における加熱装置
US6361618B1 (en) * 1994-07-20 2002-03-26 Applied Materials, Inc. Methods and apparatus for forming and maintaining high vacuum environments
US5724786A (en) * 1994-09-28 1998-03-10 Tetra Laval Holdings & Finance S.A. Control system having error correcting apparatus
JP2732224B2 (ja) * 1994-09-30 1998-03-25 信越半導体株式会社 ウエーハ支持ボート
JPH08213446A (ja) * 1994-12-08 1996-08-20 Tokyo Electron Ltd 処理装置
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
WO1997003225A1 (en) * 1995-07-10 1997-01-30 Cvc Products, Inc. Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
JP3471144B2 (ja) * 1995-09-06 2003-11-25 東京エレクトロン株式会社 縦型熱処理装置及びその断熱構造体並びに遮熱板
JP3423131B2 (ja) * 1995-11-20 2003-07-07 東京エレクトロン株式会社 熱処理装置及び処理装置
JPH09306980A (ja) * 1996-05-17 1997-11-28 Asahi Glass Co Ltd 縦型ウエハボート
US20010052359A1 (en) * 1997-02-21 2001-12-20 Masayoshi Ikeda Method of substrate temperature control and method of assessing substrate temperature controllability
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
US5826406A (en) * 1997-05-01 1998-10-27 Tetra Laval Holdings & Finance, S.A. Servo-controlled conveyor system for carrying liquid filled containers
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
WO1999036587A1 (en) * 1998-01-15 1999-07-22 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
AU4690899A (en) * 1998-06-18 2000-01-05 Kline & Walker Llc Automated devices to control equipment and machines with remote control and accountability worldwide
JP3487497B2 (ja) * 1998-06-24 2004-01-19 岩手東芝エレクトロニクス株式会社 被処理体収容治具及びこれを用いた熱処理装置
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
US6140833A (en) * 1998-11-16 2000-10-31 Siemens Aktiengesellschaft In-situ measurement method and apparatus for semiconductor processing
US6449428B2 (en) * 1998-12-11 2002-09-10 Mattson Technology Corp. Gas driven rotating susceptor for rapid thermal processing (RTP) system
US6193811B1 (en) * 1999-03-03 2001-02-27 Applied Materials, Inc. Method for improved chamber bake-out and cool-down
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP2000311862A (ja) * 1999-04-28 2000-11-07 Kokusai Electric Co Ltd 基板処理装置
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
US6391163B1 (en) * 1999-09-27 2002-05-21 Applied Materials, Inc. Method of enhancing hardness of sputter deposited copper films
JP3404674B2 (ja) * 2000-01-21 2003-05-12 株式会社真空技研 超高温熱処理装置
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP2002083780A (ja) * 2000-09-05 2002-03-22 Hitachi Kokusai Electric Inc 半導体製造装置
US6589350B1 (en) * 2000-09-08 2003-07-08 Advanced Micro Devices, Inc. Vacuum processing chamber with controlled gas supply valve
US20030082031A1 (en) * 2001-10-30 2003-05-01 Olivier Vatel Wafer handling device and method for testing wafers
JP4873820B2 (ja) * 2002-04-01 2012-02-08 株式会社エフティーエル 半導体装置の製造装置

Also Published As

Publication number Publication date
WO2004008008A2 (en) 2004-01-22
WO2004007800A1 (en) 2004-01-22
WO2004008494A3 (en) 2005-04-21
AU2003253873A1 (en) 2004-02-02
AU2003253874A8 (en) 2004-02-02
AU2003256487A1 (en) 2004-02-02
TW200419890A (en) 2004-10-01
AU2003249029A1 (en) 2004-02-02
EP1522090A2 (en) 2005-04-13
JP2005533378A (ja) 2005-11-04
AU2003256486A1 (en) 2004-02-02
AU2003253907A1 (en) 2004-02-02
WO2004008491A3 (en) 2004-06-03
TW200416774A (en) 2004-09-01
AU2003249030A8 (en) 2004-02-02
JP2005533232A (ja) 2005-11-04
WO2004007800A9 (en) 2005-01-13
WO2004008054A9 (en) 2005-01-13
EP1522090A4 (en) 2006-04-05
AU2003253907A8 (en) 2004-02-02
WO2004008494A2 (en) 2004-01-22
AU2003249028A1 (en) 2004-02-02
AU2003259104A8 (en) 2004-02-02
WO2004007318A2 (en) 2004-01-22
WO2004008491A2 (en) 2004-01-22
TW200411960A (en) 2004-07-01
AU2003253874A1 (en) 2004-02-02
WO2004007105A1 (en) 2004-01-22
WO2004008493A2 (en) 2004-01-22
TW200405401A (en) 2004-04-01
EP1540258A1 (en) 2005-06-15
WO2004008493A9 (en) 2004-07-22
WO2004008008A3 (en) 2004-12-16
TW200416775A (en) 2004-09-01
AU2003249029A8 (en) 2004-02-02
TW200416773A (en) 2004-09-01
WO2004007318A3 (en) 2004-08-05
AU2003249030A1 (en) 2004-02-02
TW200406818A (en) 2004-05-01
WO2004008054A1 (en) 2004-01-22
TW200411717A (en) 2004-07-01
AU2003256486A8 (en) 2004-02-02
WO2004008052A2 (en) 2004-01-22
WO2004008493A3 (en) 2004-05-27
WO2004008052A3 (en) 2004-05-13
AU2003259104A1 (en) 2004-02-02
CN1643322A (zh) 2005-07-20

Similar Documents

Publication Publication Date Title
TW200409176A (en) System and method for cooling a thermal processing apparatus
KR960012876B1 (ko) 열처리 장치
US7812285B2 (en) Apparatus and method for heating substrate and coating and developing system
JP3241401B2 (ja) 急速熱処理装置
JP3190165B2 (ja) 縦型熱処理装置及び熱処理方法
KR100241293B1 (ko) 고속열처리로의 온도제어방법 및 그 장치
US7780438B2 (en) Substrate heating apparatus and method and coating and developing system
US6059567A (en) Semiconductor thermal processor with recirculating heater exhaust cooling system
WO2003073487A1 (fr) Systeme de traitement thermique
US6300600B1 (en) Hot wall rapid thermal processor
US8354623B2 (en) Treatment apparatus, treatment method, and storage medium
US5370371A (en) Heat treatment apparatus
CN105264649B (zh) 用于热腔室应用及处理的光管窗结构
CN102651923A (zh) 微波照射装置
JP2003121023A (ja) 熱媒体循環装置及びこれを用いた熱処理装置
CN106463399B (zh) 用于低压热处理的光导管结构窗
TWM581766U (zh) MOCVD reactor
JP4618288B2 (ja) 熱媒体循環装置及びこれを用いた熱処理装置
US6538237B1 (en) Apparatus for holding a quartz furnace
JP4954176B2 (ja) 基板の熱処理装置
JP2024003678A (ja) 熱処理装置、および熱処理装置の温度調整方法
JP2008311587A (ja) 基板処理装置
JPH03288426A (ja) 熱処理装置
JPH0563039U (ja) 表面処理装置
JPH0451522A (ja) 縦型熱処理装置