JP2005533232A - 低温から高温の範囲のための可変ヒータエレメント - Google Patents

低温から高温の範囲のための可変ヒータエレメント Download PDF

Info

Publication number
JP2005533232A
JP2005533232A JP2004521645A JP2004521645A JP2005533232A JP 2005533232 A JP2005533232 A JP 2005533232A JP 2004521645 A JP2004521645 A JP 2004521645A JP 2004521645 A JP2004521645 A JP 2004521645A JP 2005533232 A JP2005533232 A JP 2005533232A
Authority
JP
Japan
Prior art keywords
heating element
coil
heating
furnace
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004521645A
Other languages
English (en)
Inventor
タイキング キウ
Original Assignee
アヴィザ テクノロジー インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アヴィザ テクノロジー インコーポレイテッド filed Critical アヴィザ テクノロジー インコーポレイテッド
Publication of JP2005533232A publication Critical patent/JP2005533232A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Furnace Details (AREA)
  • Resistance Heating (AREA)
  • Control By Computers (AREA)
  • Control Of Resistance Heating (AREA)
  • Muffle Furnaces And Rotary Kilns (AREA)
  • Physical Vapour Deposition (AREA)
  • Control Of Non-Electrical Variables (AREA)
  • Control Of Fluid Pressure (AREA)

Abstract

【解決手段】 半導体製造環境において、断熱し温度を制御するための方法及び装置である。本発明は、炉の内外間の熱移動を最小化すべく、半導体炉のプロセスチャンバのまわりに取り付けるようにデザインされた、少なくともひとつのモジュール式の加熱エレメントから構成される。ベースリングないしシリンダ200,500,700は、ヒータリングとも称されるもので、半導体のミニバッチ炉における内殻のまわりに適合すべく、そのサイズが定められている。ベースリング200,500,700は、その内周に、等間隔に隔てられた複数の通路220,520を有している。炉の内部を暖めるために、これらの通路内には、周知のタイプの加熱コイルが配置される。コイルは通路内に、取り外し可能に、又は永久的に取り付けられる。

Description

発明の詳細な説明
(関連出願)
本願は、公式に譲渡された、発明の名称を「熱処理システム("Thermal Processing System")」とする2002年7月15日に出願された米国仮特許第60/396,536号、及び発明の名称を「熱処理システム及びその使用方法("Thermal Processing System and Method for Using the Same")」とする2002年11月22日に出願された米国仮特許第60/428,526号を基礎とする優先権を主張し、これらの出願の全文をここで参照して引用する。
本発明は一般に、半導体製造環境を断熱し加熱するための方法及び装置に関し、特に、ミニバッチ炉において、広い温度範囲にわたって使用するのに好適な、選択可能な断熱・加熱エレメントに関する。
炉は広範囲の産業において一般的に使用されていて、それらには、半導体基板ないしウエハからの集積回路ないし半導体デバイスの製造が含まれる。半導体ウエハの熱処理には、例えば、加熱処理や、アニーリング、ドーパント材料の拡散若しくはドライビング、材料層の蒸着若しくは成長、及び基板からの材料のエッチングないし除去などが含まれる。これらの処理工程では、しばしば、処理工程前に又は処理工程中に、250〜1200℃の温度にまでウエハを加熱することが必要になる。さらに、これらの処理工程では代表的に、処理ガスの温度変動や処理ガスのプロセスチャンバへの導入速度変動にもかかわらず、処理工程にわたって均一な温度にウエハが維持されることが求められる。
従来の炉は、代表的に、炉内に配置され、又は炉に取り囲まれた、容積の大きいプロセスチャンバから構成されている。炉は、代表的に、複数の相互連結された加熱コイルを有している。熱処理されるべき基板は、プロセスチャンバ内に密封されてから、炉によって所望の温度にまで加熱されて、処理工程が実行される。多くの処理工程、例えば化学蒸着法では、最初に密封されたプロセスチャンバを排気して、その後に、反応ガスやプロセスガスを導入して、基板上に反応核種を形成ないし堆積させている。
熱処理装置の熱的な要求条件を満たすために、いくつかのデザイン上の困難な点がある。例えば、プロセスチャンバの温度は、例えば熱処理の開始時及び終了時などに、迅速に変化しなければならない。さらに、いずれの1日についても、処理される半導体ウエハの数が最大になるためには、炉のダウン時間は最小であるべきである。また、コスト効率のためには高い運転温度における電力消費は最小であるべきである一方、半導体処理工程に過剰にオペレータを介入させることを避けるためには、低い温度における温度制御は容易でなければならない。
複数の相互連結された加熱コイルを有する半導体炉は、炉を加熱・冷却するための簡単な方法を提供するけれども、1個のコイルが故障した場合には、加熱アレイ全体を交換しなければならない。さらに、この構造は、すべての加熱コイルへの電力を同時に増やすことによってのみ、プロセスチャンバの温度勾配に応答することができるので、チャンバの異なった部分の温度変動を解消するためには、チャンバの特定の部分が過熱されることがある。これは、ウエハに悪影響を与える。このことは、最新の大型のウエハサイズと、複雑な集積回路においては、1枚のウエハが極めて高価であるために、特に関心事になる。
従って、上述した問題点を解決できるような、装置及び方法に対するニーズが存在する。
一般に、本発明は、半導体製造環境において、断熱し温度を制御するための装置及び方法を開示している。より詳しくは、本発明は少なくともひとつのモジュール式の加熱エレメントを備え、該加熱エレメントは、ベースリングと取り付けられた断熱ブロックとから構成されている。加熱エレメントは、炉の内外間の熱移動を最小化すべく、半導体炉のまわりに取り付けられるようにデザインされている。
実施形態においては、ベースリングないしシリンダは、ヒータリングとも称されるもので、半導体のミニバッチ炉における内殻のまわりに適合すべく、そのサイズが定められている。ベースリングは、その内周に、等間隔に隔てられた複数の通路を有していて、これらのそれぞれが加熱コイルを収容している。コイルは通路内に、取り外し可能に、又は永久的に取り付けられる。
本発明の実施形態の説明を続けると、炉のプロセスチャンバを完全に断熱するために、3つのヒータリングが、積み上げるように配置される。変形例としては、2個、5個などの異なる数のヒータリングを使用して、プロセスチャンバを取り囲むようにしても良い。複数のリングを使用して、それぞれリングに対応するような別々の加熱領域に炉を分割して、1又は複数のリング内のコイルへの電力を選択的に調節することによって、領域間における温度勾配を容易に監視し制御することが可能になる。さらに、万一、加熱コイルが故障したとしても、取り外して交換しなければならないのは、当該コイルを含む加熱エレメントだけである。これは、それ以外の加熱エレメントを取り外すことなく、炉の運転中に実行することができる。
本発明のひとつの実施形態は、外側に配置された多数の断熱ブロックを有している。断面視においては、そうしたヒータリングは歯車に似ていて、断熱ブロックは歯車の歯に対応している。断熱ブロックの数、厚み、及び幅については、必要とされる正確な加熱/断熱特性に応じて、変更される。
この実施形態は、各リングの加熱及び断熱特性を変更するために、“オン・ザ・フライ”にて調節される。断熱ブロックが取り付けられた間のスペースに、各リングの高さに沿って、断熱材料の追加的なスペーサを挿入する。これらのスペーサは、ヒータリングの断熱効果を増加させる。
本発明の更に別の実施形態では、リングの外側に補助インターロックシリンダを配置することによって、ヒータリングの熱特性が変更される。シリンダの内径には、多数の内側断熱ブロック(「内側断熱体」)が取り付けられていて、補助シリンダをヒータリングのまわりに配置させたときには、ベースリングの外側に沿った断熱ブロック間のスペース中に、内側断熱体は嵌入する。補助シリンダは、様々な形式に配列された断熱ブロックを有することができる。
[概要]
一般に、本願に開示される方法及び装置は、半導体製造環境における、断熱及び温度制御のためのものである。より詳しくは、本願に開示されるモジュール式の加熱エレメントは、炉の内外間の熱移動を最小化すべく、半導体炉のプロセスチャンバのまわりに取り付けられるように、デザインされている。
実施形態においては、ベースリングないしシリンダは、ヒータリングとも称されるもので、半導体のミニバッチ炉における内殻のまわりに適合すべく、そのサイズが定められている。ベースリングは、その内周に、等間隔に隔てられた複数の通路を有している。炉の内部を暖めるために、これらの通路内には、周知のタイプを含む任意の適当なタイプの加熱コイルが配置される。コイルは通路内に、取り外し可能に、又は永久的に取り付けられる。
本発明の実施形態の説明を続けると、炉を完全に断熱して取り囲むために、複数のヒータリングが、積み上げるように配置される。例えば、図1の実施形態では、3つのヒータリング100が互いに積み上げられていて、炉内の側壁を完全に取り囲んでいる。複数のリングを使用して、それぞれ1又は複数のリングに対応するような別々の加熱領域に炉を分割して、1又は複数のリング内のコイルへの電力を選択的に調節することによって、領域間における温度勾配を容易に監視し制御することが可能になる。さらに、万一、加熱コイルが故障したとしても、取り外して交換しなければならないのは、当該コイルを含む加熱エレメントだけである。このため、図1の実施形態では、修理コストが最小になるが、というのは、1個のコイルが故障しても、3分の2の加熱コイルと断熱部材とは廃棄されることがないからである。
別の実施形態は、広い温度範囲で炉を運転できるように、異なったデザインをもったヒータリングを含んでいる。概して、半導体の製造は、200〜1250℃の温度範囲において行われる。実行されるプロセスや製造される半導体の数に応じ、炉は異なった温度範囲にわたって運転されるので、異なる温度について、異なる量の断熱が要求される。
断熱性が小さくなると、代表的に、加熱の安定性は良くなり、制御は容易になる。例えば、小さい断熱量のヒータリングを用いると、炉壁を介して熱が容易に発散されるため、例えば目標の炉の温度をオーバーシュートした場合などに、温度下降にかかる時間は最短になる。同様に、制御の容易さは最大になるが、というのは、多くの従来の炉に使用されているような電力制御の固体素子は、総出力のおよそ3%を越える安定した状態の電力消費時に、より精密に電力を制御するからである。例についての説明を続けると、断熱性が大きくなると、与えられた温度を維持するために必要な電力は減少するが、というのは、炉から失なわれる熱損失が少なくなるためである。従って、熱移動が課題として最小になる低温にあっては小さい断熱性が好ましく、一方、熱移動が電力消費を増加させる高温にあっては大きな断熱性が好ましいことになる。
ひとつの実施形態は、外側に配置された多数の断熱ブロックを有している。断面視においては、そうしたヒータリングは歯車に似ていて、断熱ブロックは歯車の歯に対応している。断熱ブロックの数、厚み、及び幅については、必要とされる正確な加熱/断熱特性に応じて、変更される。例えば、低温の加熱エレメントは、比較的幅狭の断熱ブロックを有していて(これらは、長手方向には必要に応じて連続していても不連続でも良い。)、各ブロック間には大きな隙間を有している一方で、高温の加熱エレメントは、厚みないし幅の大きいブロックを有していて、あるいは、より多数のブロックを有していて、又はこれらの組み合わせによるブロックを有している。極度に高い温度においては、断熱ブロックに代えて、断熱材料の中実なシリンダを用いる。ヒータリングはモジュール式になっているので、半導体炉がその運転モードを低温から高温へ変更させる場合には、1又は複数のリングを容易に交換することができる。
さらに、この実施形態では、各リングの加熱及び断熱特性を変更するために、“オン・ザ・フライ”にて調節をすることができる。断熱ブロックが取り付けられた間のスペースに、各リングの長さに沿って、断熱材料の追加的なスペーサを挿入する。これらのスペーサは、ヒータリングの断熱効果を増加させるので、本発明のユーザは、必要に応じて熱特性を調節することができる。従って、高温において電力使用を最小化するのに高い断熱性が必要な場合には、リングを交換せずに、追加的な断熱スペーサを加えても良い。
本発明の更に別の実施形態では、リングの外側に補助インターロックシリンダを配置することによって、ヒータリングの熱特性が変更される。補助断熱シリンダは、ヒータリングの直径をわずかに上回る直径を有している。さらに、シリンダの内径には、多数の内側断熱ブロック(「内側断熱体」)が取り付けられていて、補助シリンダをヒータリングのまわりに配置させたときには、ベースリングの外側に沿った断熱ブロック間のスペース中に、内側断熱体は嵌入する。補助シリンダは、様々な形式に配列された内側断熱体を有することができる。例えば、ひとつの補助シリンダは、一連の断熱ブロックを有していて、これらは低温のヒータリングに嵌め合わせられると、補助シリンダの断熱ブロックはヒータリングの断熱ブロックと接触するように配列される。この構成によれば、断熱ブロック間の隙間を完全に排除することができ、極めて高温の断熱構成を模擬することができる。別の補助シリンダは、中間温度の環境にて使用するために、断熱ブロック間に比較的小さな隙間を残すように構成される。
[運転環境]
図1は、半導体のミニバッチ炉のための模式的な運転環境を示している。炉140は一般に、ウエハ108のバッチを受け入れるように適合しているキャリアないしボート106と、ウエハ108の温度を熱処理のための所望の温度に昇温させる複数の加熱エレメント100を有する熱源140と、を備えたプロセスチャンバ102を含んでいる。炉140はさらに、1又は複数の光学的又は電子的な温度検出要素114を含んでいて、これは例えば、抵抗温度素子(RTD)や熱電対などであり、プロセスチャンバ102の中の温度を監視し、及び/又は、加熱エレメント100の動作を制御する。
図示の実施形態では、温度検出要素114は、プロセスチャンバ102の中における複数箇所の温度を検出するための、複数の独立した温度検出ノードないし箇所を有する、プロファイル熱電対114である。変形例としては、温度検出要素は、加熱エレメント100から延在し、互いに関係をもたないような、一連のスパイク熱電対(図示せず)でも良い。炉140はまた、処理工程のために及び/又はウエハ108の冷却のために、流体やガス、蒸気をプロセスチャンバ102の中へ導入するための1又は複数のインジェクタ116と、パージ要素をプロセスチャンバの中へ導入するための1又は複数の排気ないしパージポート118(1つだけを図示している)とを含んでいる。ウエハ108の付近のプロセスガスや蒸気の濃度を高めると共に、プロセスチャンバの囲み101の内面に形成された蒸着物のフレークや剥離片によってウエハが汚染されることを抑制するために、ライナー120を用いている。
一般的に、プロセスチャンバ102は、Oリング122などのシールによって、プラットホームないしベースプレート124に対して密封されて、熱処理中には、ウエハ108を完全に閉じ込める。インジェクタ116、熱電対114、及びパージポート118のための開口部は、Oリングや、VCR(登録商標)、又はCF(登録商標)の取付具などのシールを用いて密封される。処理工程中に、放出され又は導入されるガスや蒸気は、プロセスチャンバ102の壁に形成された排気ポート126を通して、又は図1に示すように、ベースプレート124のプレナム127を介して排出される。プロセスチャンバ102は、熱処理工程中には、大気圧に維持したり、又は1又は複数の荒引き(ラフィング)ポンプ、ブロワ、高真空ポンプ、及び、ラフィング弁、スロットル弁、及び/又はフォアライン弁を含むような、ポンプ装置(図示せず)によって、ほぼ真空にまで排気したりすることができる。
プロセスチャンバの囲み101とライナー120とは、任意の金属、セラミックス、結晶又はガラス材料であって、高温及び高真空の運転による熱及び機械応力に耐えられる、及び処理工程中に使用され又は放出されるガス及び蒸気による腐食に抵抗をもつような材料から作ることができる。好ましくは、プロセスチャンバの囲み101は、機械的応力に耐えるのに充分な厚みをもち、プロセスの副産物の堆積に抵抗力がある、不透明、半透明、又は透明な石英ガラスから作られていて、処理環境の汚染の可能性を低下させている。任意的な事項としては、プロセスチャンバの囲み101とライナー120とは、不透明な石英から作られて、ウエハ108が処理される領域ないし処理領域128からシール122へ熱伝導して放出されることを抑制ないし排除する。
図1の実施形態では、例示的に、6つの加熱エレメントが採用されている。第1の加熱エレメント152は、プロセスチャンバ102の上部に隣接していて、一方、第2のエレメント154は、チャンバの底部に沿って配置されている。第3の加熱エレメント156は、チャンバの底部部分を包囲している。第4、第5、及び第6の加熱エレメント100は、機能及び動作的には同一のものになっていて、プロセスチャンバ102の残りの側部を取り囲んでいる。これらの3つの加熱エレメント100は、プロセスチャンバを3つの温度領域に分割していて、これらは互いに独立的に制御される。一般的に、加熱エレメントは、プロセスチャンバ102をおよそ250〜1250℃の間の運転温度に維持するように動作する。正確な運転温度は、チャンバ内に積み込まれたウエハ、製造されるウエハのタイプ、プロセス条件などに応じて変化する。従って、各加熱エレメント100は、完全に、潜在的な運転温度の全範囲に維持することができる。
[加熱エレメント]
1. 低温の実施形態
図2は、加熱エレメント100又は加熱エレメント156のいずれかについての、ひとつの実施形態240を示している。図2に示した加熱エレメント240は、低温の環境において使用すべく構成されている。加熱エレメント240は、ベースリング200と、間隔を隔てられた複数の断熱ブロック210とを含んでいる。ベースリング200の内側には、等間隔に、一連のコイル凹部220が設けられている。
ベースリング200は代表的に、断熱特性をもった、真空成形された繊維から作られている。例えば、ベースリングは、低密度アルミナ・シリカ繊維断熱材から作られる。低密度アルミナ・シリカ繊維断熱材を用いた物品の製造については、当業者に一般に知られている。断熱ブロック210は、ベースリング200と一体的をなす部分として作っても良いし、後になって取り付けても良い。断熱ブロックをベースリングに固定するためには、当業者に知られているあらゆる取付手段を採用することができる。
一般的に言えば、ベースリング200のサイズは、プロセスチャンバ102の外側まわりに合致するように定められている。ベースリング200の内側は、プロセスチャンバ102の外壁(図1参照)から小さい距離を隔てるように、又はチャンバと面一に配置されるようになっている。ベースリング200とプロセスチャンバ102との間に小さい空間を残すことによって、介在した空気は加熱コイルが発生させた熱を分配して、ウエハにわたる均一な温度分布を発生させる助けになり、また、冷却の助けにもなる。プロセスチャンバ102の殻に対して、ベースリング200を面一に取り付けるならば、プロセスチャンバの内側への熱移動は減少するので、電力についての要求条件を最小限にすることができる。従って、状況が異なれば、異なったサイズの加熱エレメント100が必要になる。しかしながら、本実施形態においては、ヒータリング100の内径は約20.5インチであり、外径は約26.5インチであり、高さは約3〜9インチである。代表的に、単一の炉に、複数のヒータリング100が使用される。本実施形態においては、上部断熱リング(約3インチの高さ)と、3つのメインヒータリング(それぞれ約9インチの高さ)と、ベースヒータリング(約3インチの高さ)とのすべてが、単一の炉のまわりに配置されていて、“ヒータの積み重ね”を形成している。このヒータの積み重ねの高さは、概略36インチである。
本実施形態においては、ベースリング200のまわりには、等間隔に複数の断熱ブロック210が配置されている。代表的には、断熱ブロック210は、ベースリング200と同一の材料から作られるけれども、必要があれば、異なるタイプの断熱材から作っても良い。さらに、本実施形態においては、各断熱ブロック210は同一の材料から形成されているけれども、必要があれば、異なる断熱材から様々なブロックを作っても良い。本実施形態においては、断熱ブロック210の幅は、外縁部とベースリング200に接触する縁部とで概略等しくなっているけれども、変形例の実施形態においては、ブロック210の長さにわたって、断熱材の幅を増減させても良い。
図2に示した加熱エレメント240は、低温運転用にデザインされているために、断熱ブロック210は、ベースリング200の全表面積のうちの、比較的小さい割合をカバーしている。低温の運転においては、熱損失は比較的小さい。このことは、また、電力についての要求条件が最小であることを意味している。従って、小さい断熱性を使用することによって、本実施形態による加熱エレメント100では、加熱の安定性を良くすると共に、温度制御を容易にしている。
断熱ブロック210のひとつを通って、一対のヒータスタッド230が延通している。
図3は、ヒータスタッド230とコイル300とによって形成される電気回路図を示している。ヒータスタッド230は、コイル凹部220に沿って設けられた加熱コイル300に電気的に結合されていて、該コイルに電力を供給する。一連の加熱コイル300とヒータスタッド230とが一緒になって、電気的なループを形成していて、電源(図示せず)からコイルに電力が供給される。スタッド230によって加熱コイル300に結合される電源は、直接的に又は遠隔的に、物理的に又は電気的に、スタッドに結合することができることに留意されたい。すなわち、電源が図3に示した電気ループを閉じても良いし、スタッド230と電源との間に複数の介在的な要素が存在していても良い。スタッドを介してコイルへ電力を流すことができる、当業者に知られたあらゆる方法及び構成は、ここで想定されるものである。加熱コイル300は、もちろん、当業者に周知のように、コイルの高い抵抗によって、電気エネルギーを熱に変換するものである。従って、ヒータスタッド230を介して加熱コイル300に供給される電力を変化させるならば、加熱エレメント100が発生する熱を容易に制御することができる。加熱コイル300に適した材料には、ニッケル−クロムの電気抵抗合金や、鉄−クロム−アルミニウムの電気抵抗合金が含まれる。
図4は、図2に示した加熱エレメント100の平面図を示している。ベースリングと、突出した断熱ブロック210と、加熱コイル凹部220と、ヒータスタッド230とのすべてが示されている。一般に、加熱コイル凹部を通り抜ける破線と破線に対して垂直な個々の線との交点は、それぞれの加熱コイル凹部の中心を示している。
2. 中間温度の実施形態
図5は、中間温度の環境において好適に使用できる、第2の実施形態540を示している。図5に示した加熱エレメント520もまた、ベースリング500と、リングの外側に配置された一連の断熱ブロック510と、ベースリングの外側に沿って配置されたコイル凹部520と、一対のヒータスタッド530とを有している。概略的には、中間温度の構成による加熱エレメント540は、図2に示した構成と同一になっている。これらの実施形態間における相違点を以下に列挙する。
まず、本実施形態に含まれる断熱ブロック510の数は、図2の低温の実施形態における数に比べると、実質的に増加している。一般に、本実施形態においては、それぞれのコイル凹部520の後方に、ひとつの断熱ブロック510が配置されている。ベースリング500のおよそ50%が、断熱ブロック510によってカバーされている。それぞれのコイル300の後方にひとつの断熱ブロックを配置することによって、表面温度が最も高い箇所に、ゆえに熱損失が最も速く生じる箇所に、リング500の外面に沿った断熱が提供される。しかしながら、リングを構成する材料及びリングの物理的寸法によって決定されるベースリング500の熱特性によっては、コイル300から放射される熱はリングの外側に沿って充分に均一に分配される。そうした事例においては、必要に応じて、断熱ブロック210は、リング200の外側に沿った任意の箇所に配置することができる。
ベースリング500の比例的に大きい割合が断熱ブロックによってカバーされているので、図5に示した加熱エレメント540は、図2に示した実施形態に比べて、熱をより良く維持する。従って、本実施形態においては、熱的な安定性は犠牲になるけれども、電力消費は比較的小さくなる。
図6は、図5に示した中間温度の加熱エレメント100の平面図を示している。一般に、加熱コイル凹部を通り抜ける破線と破線に対して垂直な個々の線との交点は、それぞれの加熱コイル凹部の中心を示している。
3. 高温の実施形態
図7に示した実施形態による加熱エレメント740は、高温運転用のものであり、前述したものと同様に、ベースリング700とヒータスタッド730とを含んでいる。しかしながら、本実施形態による加熱エレメント740は、個別的な断熱ブロックを備えていない。代わりに、断熱シリンダ710は、ベースリング700を完全に包囲している。シリンダ710は、最大の断熱性及び熱保持を提供するもので、これは、電力損失と、炉の外側の加熱とを最小化するべく、高温運転において望ましいものである。一般に、図7に示した実施形態の動作は、前述した実施形態の動作と同様である。
図2〜6に示した実施形態は、等間隔に隔てられた断熱ブロックを有しているけれども、ベースリングの周に沿ってブロックを均一に分配しなければならないという理由はないことに留意されたい。加熱エレメントの製造中に、必要に応じてブロックをずらしても、ほとんどの状況において、エレメントの動作特性に悪影響を与えることはない。さらに、前述した実施形態においては、複数のコイルは、互いに電気的に結合されて様々なコイル凹部220の中に取り付けられていたけれども、変形例の実施形態においては、コイルを別々の電気要素にしたり、及び/又は、取り外し可能にしたりしても良い。
4. 複数領域制御運転の実施形態
図8は、特定の実施形態による加熱エレメント100が据え付けられて動作している、ミニバッチ炉840の横断面図を示している。一般的に言えば、炉140は、3つの別個の温度領域に分割され(図8に“TZ1”、“TZ2”、“TZ3”と示している)、これらがそれぞれ、固有の加熱エレメント800,810,820に対応している。加熱エレメント800,810,820は、個別に設置され、取り外され、制御される。それぞれの加熱エレメントを別々に据え付けたり交換したりできるために、いずれかのひとつのコイルが故障したとしても、交換が必要になるのは加熱コイル300の1/3だけである。本実施形態においては、炉840の運転中には、加熱エレメント800,810,820を交換することは出来ないけれども、変形例の実施形態においてはそうした“稼動中交換”を可能にしている。
さらに、各加熱エレメント800,810,820は独立的に制御されるので、必要に応じて単一の領域TZ1,TZ2,TZ3の温度を上昇させ又は下降させることで、プロセスチャンバ102からの熱損失を補償したり、ウエハにわたる均一な熱分布を確保したりすることができる。
例えば、チャンバ102内の望ましいプロセス温度は750℃であるとする。前述の如く、プロセスチャンバ102内の複数箇所における温度は、列をなすスパイク熱電対830,840,850,860,870や、プロファイル熱電対880、又は他の温度検出要素によって測定される。さらに、スパイク熱電対は、加熱エレメントを貫通して延在していても良く(例えばスパイク熱電対830)、または、隣接する加熱エレメント間の空間を占有していても良い(例えばスパイク熱電対890)。チャンバ102内の平均温度が750℃であるとしても、ひとつの熱電対850が検出した領域TZ3の箇所の温度は730℃であることがある。領域TZ3の温度を上昇させるべく、すべての加熱エレメント800,810,820の電力を増加させるのではなく、2つの加熱エレメント800,810は安定状態に保持したまま、加熱不足領域に関連のある加熱エレメント820へ、追加的な電力を迂回させる。この結果、領域TZ3の温度は上昇し、(熱移動効果を無視すれば)他の領域TZ1及びTZ2の温度は比較的一定なままに維持される。従って、熱をより直接的に適用できるおかげで、単一領域の温度をより迅速に昇温できるだけでなく、装置全体の電力は節約される。
[殻が1つの環境]
図9に断面図を示した実施形態においては、炉140の外殻950の内側に、様々な加熱エレメント900,910,920,930が配置されている。加熱エレメントは、斜線の陰影によって表わしている。斜線の陰影は、全体的に加熱エレメントを示していて、加熱エレメントの個別の構成要素は示していないことを理解されたい。この運転環境においては、実際に外殻950に接触しているのは、断熱ブロック210(あるいは実施形態に応じて510又は710)だけである。ベースリング200は接触していない(図9の断面は一連の断熱ブロック210に沿った断面である。)。
加熱エレメント900,910,920において唯一、外殻950に接触している部分が、断熱ブロック210であるために、外殻は比較的低温に維持され、触ることができる。加熱コイル300が発生させる熱の大部分は、断熱ブロック210のシールド特性と、ベースリング200と外殻950との間にある空洞とのために、外殻950へ達することがない。従って、オペレータは、火傷する危険なく、運転中の炉に安全に触れることができる。
また、炉140の外殻950には、1又は複数の入口ポート960と出口ポート970とが設けられている。炉140の底部ないし外殻950の底部に設けられた入口ポート960に流入した空気は、それぞれの加熱エレメント900,910,920,930のベースリング200に取り付けられた断熱ブロック210の間の空間を通って上昇し、出口ポート970から排出される。隣接する断熱ブロックと、ベースリングと、外殻とによって形成される空間は、煙突として有効に機能する。熱は上昇するので、冷たい空気が入口ポート960から引き込まれて、加熱された後には、出口ポート970を通って排出される。空気の動きは、対流式の冷却器のように働いて、それぞれの“煙突”内の温度を、もって外殻950と加熱エレメント900,910,920,930の温度を効果的に引き下げる。
さらに、それぞれの入口ポート960及び出口ポート970には、カバー(図示せず)を設けても良い。カバーを開き又は閉じることによって、対流冷却効果を利用したり排除したりすることができる。さらに、カバーに、完全な封止から完全な開口までの範囲の様々な動作をさせることで、炉140に吸い込まれる正確な空気量を容易に調節することができる。これは、外殻950についてだけでなく、加熱エレメント900,910,920,930及びプロセスチャンバ102自体についても、温度調節の追加的な手段を提供する。
[殻が2つの環境]
図10は、内殻1000と外殻1010とを備えた炉1050にて運転している、本発明の実施形態を示している。一般に、加熱エレメント1050,1060,1070は、内殻1000と炉壁との間に配置されている。ここでも、斜線の陰影は、全体的に加熱エレメントを示していて、加熱エレメントの個別の構成要素は示していない。外殻1010の表面には、入口ポート1020と出口ポート1030とが設けられる。それぞれのポートは、完全に又は部分的に開閉できるようなカバーを備えると良い。
この環境においては、内殻1000と外殻1010とによって形成された円筒形チャンバ1040は、前述した断熱ブロック210間にある空間と同じように作用して、空気を循環させ、外殻を対流によって冷却する。空気流は、ポートカバー1020,1030を調節することによって調整することができる。この実施形態では、空気流は主として外殻1010を冷却するように作用して、外殻に安全に触れられるようにしている。加熱エレメント100に与える影響は、空気が加熱エレメントに沿って直接流れない限りにおいて、最小である。
しかしながら、入口ポート1000と出口ポート1010とを完全に気密カバーで閉じた場合には、円筒形チャンバ1040の内側は追加的な断熱層として作用して、運転中の炉1050から熱が逃げることを防止する。変形例としては、真空ポンプ(図示せず)をチャンバ1040に開口している別のポートに取り付けて、チャンバ内を部分真空にしても良い。この部分真空になった空間は、さらに効果的な断熱材として働く。いずれかの(空気又は真空による)断熱方法によれば、プロセスチャンバ102内の温度維持が助長させて、加熱エレメントについての電力要求条件を緩和させることができる。
図10の殻が2つの実施形態は、図7の実施形態による加熱エレメントと併用すると特に有用であることが理解されるだろう。
[断熱スペーサ]
本発明の更に別の実施形態においては、様々な断熱スペーサ1100を追加したり取り外したりすることによって、加熱エレメント100の断熱特性を“オン・ザ・フライ”にて変更することができる。図11は、複数の断熱スペーサ1100を含んでなる加熱エレメント100を示している。断熱シリンダ710は、代表的には、加熱エレメント100と同じくシリカ繊維とアルミニウムとの複合材料から形成されるけれども、変形例の実施形態においては、異なる断熱特性をもつ異なる材料から作るようにしても良い。
断熱スペーサ1100のサイズは、該スペーサが、隣接する断熱ブロック210、ベースリング200の外側、及び、図9に示した炉にあっては外殻950、また、図10に示した炉にあっては内殻1000によって形成された空間内に配置されるようになっている。スペーサ1100は、追加的な断熱性を得るべく、簡単に炉の上部又は底部から滑り入れることができ、加熱エレメント100を分解したり異なるエレメントを据え付けたりする必要はない。より多くの断熱スペーサ1100を加えれば、加熱エレメントの総合的な断熱効果は高まる。従って、単に多数のスペーサを加えることで、低温の加熱エレメント100(例えば図2)は、中間温度の又は高温の加熱エレメント(例えば図5及び図7)の特性を模擬することができる。同様に、中間温度の加熱エレメント100は、充分な断熱スペーサ1100を加えることによって、高温の加熱エレメントの効果を複製することができる。
代表的には、断熱スペーサ1100は、断熱ブロック210と概略同一である幅及び高さを有しているけれども、必要な断熱特性に応じた長さに変更しても良い。変形例の実施形態においては、それぞれの断熱スペーサ1100は、ベースリング200ないし断熱ブロック210に対して、およそ3倍の高さになっているので、ひとつの断熱スペーサを、運転中に、3つの加熱エレメント100の全域に沿って配置することができる。
[補助シリンダ]
前述した断熱スペーサ1100の変形例は、補助断熱シリンダ1200の概念である。図12は、加熱エレメント100とこれに合致した補助シリンダ1200とを上から見た断面図である。
一般に、補助断熱シリンダ1200は、外側シェル1210と、少なくともひとつの内側断熱体1220とを備えている。シェル1210の内面について測定したときの直径は、ベースリング200の直径にひとつの断熱ブロック210の幅を加えた寸法に概略等しい。こうして、シェル1210の内面は、断熱ブロック210外側に、ぴったりと接触する。内側断熱体1220は、加熱エレメント100の断熱ブロック210と概略同一である高さ及び幅を有していて、ベースリング200の外側にぴったりと合致する。内側断熱体1220の数及び配置については、補助断熱シリンダ1200を加熱エレメント100のまわりに嵌め合わせたときに、内側断熱体が断熱ブロック210と重ならないようになっている。実際上、2つの部材は、断熱ブロックと内側断熱体とが歯である歯車のように噛合する。
シェル1210は比較的薄いので、使用時には、シェルはほとんど又は全く断熱を提供することがない。代わりに、補助シリンダ1200によって提供される追加的な断熱の大部分は、内側断熱体1220に由来するものである。従って、シェル1210は、炉140の運転温度に耐えられるようなあらゆる材料から作ることができ、一方、内側断熱体は代表的には、前述したシリカ繊維とアルミニウムとの複合材料から形成される。変形例の実施形態においては、シェル1210は、断熱効果を提供するようになっていて、内側断熱体1220及び加熱エレメント100と同一の断熱複合材から作られるようにしても良い。
本発明のそれぞれの実施形態においては、所望の追加的な断熱特性に応じて、様々な補助シリンダ1200をデザインし、製造し、採用することができる。例えば、図2に示した低温の実施形態では、2つの異なる種類の補助断熱シリンダ1200を使用することができる。第1のシリンダは比較的少数の内側断熱体1220を有していて、低温の実施形態のまわりに配置されると、中間温度の加熱エレメント100をシミュレートして、空間に形成された通路を通って空気が流れられるようになっている。第2のシリンダ1200は、ずっと多い(あるいは長い)内側断熱体1220を有していて、加熱エレメント100の断熱ブロック210間にあるすべての空間を充填する。これは、高温の加熱エレメント100をシミュレートすることになる。
[結論]
本発明の例示的な実施形態についての以上の説明から当業者は理解するだろうが、本発明の精神及び範囲から逸脱せずに、説明した実施形態に対して多数の変更を行うことが可能である。例えば、加熱エレメントに異なる物理的寸法をもたせたり、これを異なる材料から製造したりすることができる。さらに、特定の実施形態及び方法に関連させて本発明を説明したけれども、そうした説明は例示であって限定ではない。従って、本発明の適切な範囲は特許請求の範囲によって定められるものであり、前述した例示によって定められるものではない。
図1は、本発明の実施形態のための例示的な運転環境を示している。 図2は、低温環境での使用に適している、本発明の第1の実施形態を示している。 図3は、本発明による実施形態の電気回路図を示している。 図4は、図2の実施形態を示した平面図である。 図5は、中間温度環境での使用に適している、本発明の第2の実施形態を示している。 図6は、図5の実施形態を示した平面図である。 図7は、高温環境での使用に適している、本発明の第3の実施形態を示している。 図8は、適当な運転環境において運転している3つの加熱エレメントを示している。 図9は、1つの殻の環境で運転している、本発明の第1の実施形態を示している。 図10は、2つの殻の環境で運転している、本発明の第1の実施形態を示している。 図11は、本発明の実施形態と併用される、断熱スペーサの組を示している。 図12は、本発明の実施形態と併用される、補助シリンダを示している。

Claims (20)

  1. 半導体製造炉の一部分を加熱するための加熱エレメントであって、この加熱エレメントが、
    ひとつのコイル凹部を有しているベースリングと、
    ひとつのコイル凹部の中に配置されたコイルと、
    ベースリングに取り付けられた断熱ブロックと、を備え、
    加熱エレメントは、炉の全体よりも実質的に少ない部分を取り囲んでいる、
    ことを特徴とする加熱エレメント。
  2. 加熱コイルは、コイル凹部の中に取り外し可能に配置されていることを特徴とする請求項1に記載の加熱エレメント。
  3. 断熱ブロックは、加熱コイルのすぐ背後に配置されていることを特徴とする請求項1に記載の加熱エレメント。
  4. ベースリングと断熱ブロックとはいずれも、同一の断熱材料から作られていることを特徴とする請求項1に記載の加熱エレメント。
  5. 断熱材料は、真空成形されたシリカ繊維とアルミニウムとの複合材料であることを特徴とする請求項4に記載の加熱エレメント。
  6. 断熱ブロックは、ベースリングに永久的に取り付けられていることを特徴とする請求項1に記載の加熱エレメント。
  7. 加熱エレメントは、低温にて運転すべく構成されていることを特徴とする請求項6に記載の加熱エレメント。
  8. 加熱エレメントは、中間温度にて運転すべく構成されていることを特徴とする請求項6に記載の加熱エレメント。
  9. 加熱エレメントは、高温にて運転すべく構成されていることを特徴とする請求項6に記載の加熱エレメント。
  10. 断熱ブロックと第2の隣接する断熱ブロックとの間に取り外し可能に配置される、断熱スペーサをさらに備えていることを特徴とする請求項6に記載の加熱エレメント。
  11. 断熱スペーサは、加熱エレメントの運転中に、一時的に配置されることを特徴とする請求項10に記載の加熱エレメント。
  12. 加熱エレメントは、さらに補助断熱シリンダを備え、この補助断熱シリンダが、
    ベースリングと少なくともひとつの断熱ブロックとの組み合わせのまわりに適合すべく、そのサイズが定められた外側円筒シェルと、
    断熱ブロックと隣接する断熱ブロックとの間に適合すべく、そのサイズが定められた内側断熱体と、
    を備えていることを特徴とする請求項6に記載の加熱エレメント。
  13. 前記加熱エレメントにおいて、
    外側円筒シェルの内面は、断熱ブロックの外面と、隣接する断熱ブロックの外面とに接触し、
    内側断熱体の内面は、ベースリングの外面に接触している、
    ことを特徴とする請求項12に記載の加熱エレメント。
  14. 半導体製造炉を加熱及び断熱するための方法であって、この方法が、
    所望の運転温度を定める段階と、
    定められた所望の運転温度に応じて、対応する加熱エレメントの構成を選択する段階と、
    適切な構成を有する第1及び第2の加熱エレメントを炉のまわりに配置する段階であって、第1の加熱エレメントは第1及び第2の温度領域に対応しているような上記配置する段階と、
    第1及び第2の加熱エレメントにおける少なくともひとつのコイルに電力を供給する段階と、
    を備えていることを特徴とする方法。
  15. 前記方法がさらに、
    第1の温度領域における温度変動を検出する段階と、
    検出された温度変動に応じて、第1の加熱エレメントの少なくともひとつのコイルに追加的な電力を供給する段階と、
    を備えていることを特徴とする請求項14に記載の方法。
  16. 前記方法がさらに、
    第1の加熱エレメントにおける加熱コイルが、もはや機能していないことを検出する段階と、
    機能していない加熱コイルの検出に応じて、第2の加熱エレメントを配置したままで、第1の加熱エレメントを交換する段階と、
    を備えていることを特徴とする請求項15に記載の方法。
  17. 前記方法がさらに、
    炉の運転温度を高めるために、少なくともひとつのコイルへの電力を増加させる段階と、
    少なくともひとつのコイルへの電力の増加に応じて、第1及び第2の加熱エレメントに断熱スペーサを追加する段階と、
    を備えていることを特徴とする請求項15に記載の方法。
  18. 前記方法がさらに、
    炉の運転温度を高めるために、少なくともひとつのコイルへの電力を増加させる段階と、
    少なくともひとつのコイルへの電力の増加に応じて、第1及び第2の加熱エレメントのまわりに補助断熱シリンダを配置する段階と、
    を備えていることを特徴とする請求項15に記載の方法。
  19. 半導体製造炉を断熱するためのヒータの構成であって、このヒータが、
    第1のヒータであって、前記第1のヒータが、
    少なくともひとつの第1のコイル凹部を有しているような、第1のベースリングと、
    少なくともひとつの第1のコイル凹部の中に配置された、少なくともひとつの第1の加熱コイルと、
    第1のベースリングに取り付けられた、少なくともひとつの第1の断熱ブロックと、を備え、
    第1のヒータに隣接して配置された第2のヒータであって、この第2のヒータが、
    少なくともひとつの第2のコイル凹部を有しているような、第2のベースリングと、
    少なくともひとつの第2のコイル凹部の中に配置された、少なくともひとつの第2の加熱コイルと、
    第2のベースリングに取り付けられた、少なくともひとつの第2の断熱ブロックと、を備え、
    少なくともひとつの第1の加熱コイルと、少なくともひとつの第2の加熱コイルとへ、電力を供給するための電力手段と、を備え、
    少なくともひとつの第1の加熱コイルと、少なくともひとつの第2の加熱コイルとは、炉内の温度を維持すべく協働する、
    ことを特徴とするヒータの構成。
  20. 第2のヒータを取り外すことなく、第1のヒータが取り外されることを特徴とする請求項19に記載のヒータの構成。
JP2004521645A 2002-07-15 2003-07-10 低温から高温の範囲のための可変ヒータエレメント Pending JP2005533232A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US39653602P 2002-07-15 2002-07-15
US42852602P 2002-11-22 2002-11-22
PCT/US2003/021648 WO2004008054A1 (en) 2002-07-15 2003-07-10 Variable heater element for low to high temperature ranges

Publications (1)

Publication Number Publication Date
JP2005533232A true JP2005533232A (ja) 2005-11-04

Family

ID=30118590

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2004521615A Pending JP2005533378A (ja) 2002-07-15 2003-07-10 熱処理装置及び設定可能な垂直チャンバ
JP2004521645A Pending JP2005533232A (ja) 2002-07-15 2003-07-10 低温から高温の範囲のための可変ヒータエレメント

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2004521615A Pending JP2005533378A (ja) 2002-07-15 2003-07-10 熱処理装置及び設定可能な垂直チャンバ

Country Status (6)

Country Link
EP (2) EP1540258A1 (ja)
JP (2) JP2005533378A (ja)
CN (1) CN1643322A (ja)
AU (9) AU2003249029A1 (ja)
TW (9) TW200405401A (ja)
WO (9) WO2004007800A1 (ja)

Families Citing this family (335)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
NL1030360C2 (nl) * 2005-11-07 2007-05-08 Holding Mij Wilro B V Oven en werkwijze voor de productie van fotovoltaïsche zonnecellen gebruikmakend van een diffusieproces.
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
WO2007099387A1 (en) 2006-03-03 2007-09-07 Mymetics Corporation Virosome-like vesicles comprising gp41-derived antigens
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
DE102007058053B4 (de) * 2007-11-30 2009-10-15 Von Ardenne Anlagentechnik Gmbh Diffusionsofen und Verfahren zur Erzeugung einer Gasströmung
US9157150B2 (en) * 2007-12-04 2015-10-13 Cypress Semiconductor Corporation Method of operating a processing chamber used in forming electronic devices
JP4885901B2 (ja) * 2008-03-31 2012-02-29 株式会社山武 流量制御システム
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8136618B2 (en) 2009-01-21 2012-03-20 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5794497B2 (ja) 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 連結システム
KR101877494B1 (ko) * 2010-12-24 2018-07-13 엘지이노텍 주식회사 진공 열처리 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9018567B2 (en) 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9147584B2 (en) * 2011-11-16 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Rotating curing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101440307B1 (ko) * 2012-09-17 2014-09-18 주식회사 유진테크 기판처리장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10177014B2 (en) * 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
WO2014151475A1 (en) * 2013-03-15 2014-09-25 Watkins Bobby G Ii Flow control and gas metering process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN105940481A (zh) * 2014-01-27 2016-09-14 应用材料公司 高速epi系统和腔室构思
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI642137B (zh) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
JP6143964B1 (ja) * 2016-01-25 2017-06-07 三菱電機株式会社 制御装置
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
FR3057391B1 (fr) * 2016-10-11 2019-03-29 Soitec Equipement de traitement thermique avec dispositif collecteur
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102127130B1 (ko) * 2017-02-17 2020-06-26 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP7158133B2 (ja) 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI629441B (zh) 2017-07-07 2018-07-11 寶成工業股份有限公司 Smart oven
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10854483B2 (en) * 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7206678B2 (ja) * 2018-07-30 2023-01-18 Tdk株式会社 ロードポート装置、半導体製造装置及びポッド内雰囲気の制御方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7203588B2 (ja) * 2018-12-17 2023-01-13 東京エレクトロン株式会社 熱処理装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11407000B2 (en) 2019-09-23 2022-08-09 S. C. Johnson & Son, Inc. Volatile material dispenser
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
GB2610156A (en) * 2021-04-29 2023-03-01 Edwards Ltd Semiconductor processing system
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy SUBSTRATE PROCESSING APPARATUS AND METHOD
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102444786B1 (ko) * 2021-12-23 2022-09-19 주식회사 에이치피에스피 냉각 효율을 향상시키는 고압챔버
CN114990299B (zh) * 2022-08-01 2022-10-04 兴化市天泰合金制品科技有限公司 一种球墨铸铁合金制备用热处理装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0269932A (ja) * 1988-09-05 1990-03-08 Hitachi Ltd 半導体ウェハの熱処理装置、及び熱処理方法
JPH09148315A (ja) * 1995-11-20 1997-06-06 Tokyo Electron Ltd 熱処理装置及び処理装置
JPH1115537A (ja) * 1997-06-11 1999-01-22 Eaton Corp 温度処理装置

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
EP0164928A3 (en) * 1984-06-04 1987-07-29 Texas Instruments Incorporated Vertical hot wall cvd reactor
JPS61191015A (ja) * 1985-02-20 1986-08-25 Hitachi Ltd 半導体の気相成長方法及びその装置
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
US5001327A (en) * 1987-09-11 1991-03-19 Hitachi, Ltd. Apparatus and method for performing heat treatment on semiconductor wafers
DE3885833T2 (de) * 1987-09-22 1994-03-24 Nec Corp Chemischer Dampfabscheidungsapparat für die Herstellung von hochqualitativen epitaktischen Schichten mit gleichmässiger Dichte.
US4787844A (en) * 1987-12-02 1988-11-29 Gas Research Institute Seal arrangement for high temperature furnace applications
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
JP2654996B2 (ja) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 縦型熱処理装置
JPH02130943A (ja) * 1988-11-11 1990-05-18 Tel Sagami Ltd 収容治具
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
DE3906075A1 (de) * 1989-02-27 1990-08-30 Soehlbrand Heinrich Dr Dipl Ch Verfahren zur thermischen behandlung von halbleitermaterialien und vorrichtung zur durchfuehrung desselben
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5127365A (en) * 1990-02-27 1992-07-07 Kabushiki Kaisha Toshiba Vertical heat-treatment apparatus for semiconductor parts
JP2819073B2 (ja) * 1991-04-25 1998-10-30 東京エレクトロン株式会社 ドープド薄膜の成膜方法
JP3040212B2 (ja) * 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
WO1993023713A1 (en) * 1992-05-15 1993-11-25 Shin-Etsu Quartz Products Co., Ltd. Vertical heat treatment apparatus and heat insulating material
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JP3024449B2 (ja) * 1993-07-24 2000-03-21 ヤマハ株式会社 縦型熱処理炉及び熱処理方法
US5706627A (en) * 1994-02-02 1998-01-13 Tetra Laval Holdings & Finance, S.A. Control system for a packaging machine
JPH088194A (ja) * 1994-06-16 1996-01-12 Kishimoto Sangyo Kk 気相成長機構および熱処理機構における加熱装置
US6361618B1 (en) * 1994-07-20 2002-03-26 Applied Materials, Inc. Methods and apparatus for forming and maintaining high vacuum environments
US5724786A (en) * 1994-09-28 1998-03-10 Tetra Laval Holdings & Finance S.A. Control system having error correcting apparatus
JP2732224B2 (ja) * 1994-09-30 1998-03-25 信越半導体株式会社 ウエーハ支持ボート
JPH08213446A (ja) * 1994-12-08 1996-08-20 Tokyo Electron Ltd 処理装置
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
WO1997003225A1 (en) * 1995-07-10 1997-01-30 Cvc Products, Inc. Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
JP3471144B2 (ja) * 1995-09-06 2003-11-25 東京エレクトロン株式会社 縦型熱処理装置及びその断熱構造体並びに遮熱板
JPH09306980A (ja) * 1996-05-17 1997-11-28 Asahi Glass Co Ltd 縦型ウエハボート
US20010052359A1 (en) * 1997-02-21 2001-12-20 Masayoshi Ikeda Method of substrate temperature control and method of assessing substrate temperature controllability
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
US5826406A (en) * 1997-05-01 1998-10-27 Tetra Laval Holdings & Finance, S.A. Servo-controlled conveyor system for carrying liquid filled containers
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
WO1999036587A1 (en) * 1998-01-15 1999-07-22 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
AU4690899A (en) * 1998-06-18 2000-01-05 Kline & Walker Llc Automated devices to control equipment and machines with remote control and accountability worldwide
JP3487497B2 (ja) * 1998-06-24 2004-01-19 岩手東芝エレクトロニクス株式会社 被処理体収容治具及びこれを用いた熱処理装置
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
US6140833A (en) * 1998-11-16 2000-10-31 Siemens Aktiengesellschaft In-situ measurement method and apparatus for semiconductor processing
US6449428B2 (en) * 1998-12-11 2002-09-10 Mattson Technology Corp. Gas driven rotating susceptor for rapid thermal processing (RTP) system
US6193811B1 (en) * 1999-03-03 2001-02-27 Applied Materials, Inc. Method for improved chamber bake-out and cool-down
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP2000311862A (ja) * 1999-04-28 2000-11-07 Kokusai Electric Co Ltd 基板処理装置
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
US6391163B1 (en) * 1999-09-27 2002-05-21 Applied Materials, Inc. Method of enhancing hardness of sputter deposited copper films
JP3404674B2 (ja) * 2000-01-21 2003-05-12 株式会社真空技研 超高温熱処理装置
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP2002083780A (ja) * 2000-09-05 2002-03-22 Hitachi Kokusai Electric Inc 半導体製造装置
US6589350B1 (en) * 2000-09-08 2003-07-08 Advanced Micro Devices, Inc. Vacuum processing chamber with controlled gas supply valve
US20030082031A1 (en) * 2001-10-30 2003-05-01 Olivier Vatel Wafer handling device and method for testing wafers
JP4873820B2 (ja) * 2002-04-01 2012-02-08 株式会社エフティーエル 半導体装置の製造装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0269932A (ja) * 1988-09-05 1990-03-08 Hitachi Ltd 半導体ウェハの熱処理装置、及び熱処理方法
JPH09148315A (ja) * 1995-11-20 1997-06-06 Tokyo Electron Ltd 熱処理装置及び処理装置
JPH1115537A (ja) * 1997-06-11 1999-01-22 Eaton Corp 温度処理装置

Also Published As

Publication number Publication date
WO2004008008A2 (en) 2004-01-22
WO2004007800A1 (en) 2004-01-22
WO2004008494A3 (en) 2005-04-21
AU2003253873A1 (en) 2004-02-02
AU2003253874A8 (en) 2004-02-02
AU2003256487A1 (en) 2004-02-02
TW200419890A (en) 2004-10-01
AU2003249029A1 (en) 2004-02-02
EP1522090A2 (en) 2005-04-13
JP2005533378A (ja) 2005-11-04
AU2003256486A1 (en) 2004-02-02
AU2003253907A1 (en) 2004-02-02
WO2004008491A3 (en) 2004-06-03
TW200416774A (en) 2004-09-01
AU2003249030A8 (en) 2004-02-02
WO2004007800A9 (en) 2005-01-13
WO2004008054A9 (en) 2005-01-13
EP1522090A4 (en) 2006-04-05
AU2003253907A8 (en) 2004-02-02
WO2004008494A2 (en) 2004-01-22
AU2003249028A1 (en) 2004-02-02
AU2003259104A8 (en) 2004-02-02
WO2004007318A2 (en) 2004-01-22
WO2004008491A2 (en) 2004-01-22
TW200411960A (en) 2004-07-01
AU2003253874A1 (en) 2004-02-02
TW200409176A (en) 2004-06-01
WO2004007105A1 (en) 2004-01-22
WO2004008493A2 (en) 2004-01-22
TW200405401A (en) 2004-04-01
EP1540258A1 (en) 2005-06-15
WO2004008493A9 (en) 2004-07-22
WO2004008008A3 (en) 2004-12-16
TW200416775A (en) 2004-09-01
AU2003249029A8 (en) 2004-02-02
TW200416773A (en) 2004-09-01
WO2004007318A3 (en) 2004-08-05
AU2003249030A1 (en) 2004-02-02
TW200406818A (en) 2004-05-01
WO2004008054A1 (en) 2004-01-22
TW200411717A (en) 2004-07-01
AU2003256486A8 (en) 2004-02-02
WO2004008052A2 (en) 2004-01-22
WO2004008493A3 (en) 2004-05-27
WO2004008052A3 (en) 2004-05-13
AU2003259104A1 (en) 2004-02-02
CN1643322A (zh) 2005-07-20

Similar Documents

Publication Publication Date Title
JP2005533232A (ja) 低温から高温の範囲のための可変ヒータエレメント
US11694912B2 (en) High pressure and high temperature anneal chamber
KR101891292B1 (ko) 로드락 배치 오존 경화
KR20210005515A (ko) 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US7208047B2 (en) Apparatus and method for thermally isolating a heat chamber
US7429717B2 (en) Multizone heater for furnace
WO2019036157A1 (en) HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
CN113555270A (zh) 基座及基质加工设备
JPH03108323A (ja) ヒータ組立体及び基板の加熱方法
US6303906B1 (en) Resistively heated single wafer furnace
US11766718B2 (en) Compound furnace
KR20160052749A (ko) 고압 급속 열 처리 장치 및 방법
US7381926B2 (en) Removable heater
US20060083495A1 (en) Variable heater element for low to high temperature ranges
US11837478B2 (en) Temperature-controllable process chambers, electronic device processing systems, and manufacturing methods
WO2004010480A1 (en) Apparatus and method for thermally isolating a heat chamber
JP4063661B2 (ja) 半導体製造装置及び半導体の製造法
KR100728408B1 (ko) 강제 대류가 지원된 급속 열로
EP1135659B1 (en) Apparatus and method for thermal processing of semiconductor substrates
JP2005286051A (ja) 基板処理装置
US5279671A (en) Thermal vapor deposition apparatus
JP2670513B2 (ja) 加熱装置
WO2001082342A1 (en) Gas assisted rapid thermal annealing
JPS61101485A (ja) 化合物半導体の結晶成長用加熱炉

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060710

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091116

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100426