KR100241293B1 - 고속열처리로의 온도제어방법 및 그 장치 - Google Patents

고속열처리로의 온도제어방법 및 그 장치 Download PDF

Info

Publication number
KR100241293B1
KR100241293B1 KR1019940013531A KR19940013531A KR100241293B1 KR 100241293 B1 KR100241293 B1 KR 100241293B1 KR 1019940013531 A KR1019940013531 A KR 1019940013531A KR 19940013531 A KR19940013531 A KR 19940013531A KR 100241293 B1 KR100241293 B1 KR 100241293B1
Authority
KR
South Korea
Prior art keywords
temperature
process tube
substrate
gas
heat treatment
Prior art date
Application number
KR1019940013531A
Other languages
English (en)
Other versions
KR950001932A (ko
Inventor
가쓰오 니시
가즈오 데라다
와타루 오오카세
겐이치 야마가
Original Assignee
마쓰바 구니유키
도오교오 에레구토론 도오호쿠 가부시키 가이샤
히가시 데쓰로
동경 엘렉트론주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP05168616A external-priority patent/JP3111395B2/ja
Priority claimed from JP16861593A external-priority patent/JP3177722B2/ja
Priority claimed from JP17848293A external-priority patent/JP3328853B2/ja
Priority claimed from JP5193996A external-priority patent/JPH0729840A/ja
Priority claimed from JP20005993A external-priority patent/JP3156110B2/ja
Priority claimed from JP25002993A external-priority patent/JPH0799163A/ja
Application filed by 마쓰바 구니유키, 도오교오 에레구토론 도오호쿠 가부시키 가이샤, 히가시 데쓰로, 동경 엘렉트론주식회사 filed Critical 마쓰바 구니유키
Publication of KR950001932A publication Critical patent/KR950001932A/ko
Application granted granted Critical
Publication of KR100241293B1 publication Critical patent/KR100241293B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1927Control of temperature characterised by the use of electric means using a plurality of sensors
    • G05D23/193Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces
    • G05D23/1932Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces to control the temperature of a plurality of spaces
    • G05D23/1934Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces to control the temperature of a plurality of spaces each space being provided with one sensor acting on one or more control means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Remote Sensing (AREA)
  • Automation & Control Theory (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

고속열처리 장치의 온도제어 방법은, 프로세스 튜브 내에 더미 기판을 모의적으로 히터로 가열하여, 더미기판이 목표온도에 도달할 때까지의 기판 승온 패턴과 가열수단이 목표온도에 도달할 때까지의 히터승온 패턴을 프로세스 튜브 내의 각 영역마다 공도검출 수단에 의하여 각각 미리 검출하여 파악하여 놓고, 처리할 기판을 면접촉(face to face) 배열로 되도록 프로세스 튜브 내에 수용하고, 웨이퍼를 가열할 때에, 상기 온도센서에 의하여 각 영역의 온도 및 히터 엘레멘트의 온도를 각각 검출하며, 각 영역의 기판의 온도가 목표온도로 도달하여 안정할 때까지의 사이에서, 상기 각 검출온도와 상기 기판 승온 패턴 및 히터 승온패턴에 의거하여 각 히터를 콘트롤러에 의하여 제어하고, 신속하고도 균일하게 기판을 승온시킨다.

Description

고속열처리로의 온도제어방법 및 그 장치
제1도는 종래의 온도제어방법을 설명하기 위한 온도 이력도.
제2도는 본 발명의 제 1 실시예의 고속열처리로를 나타내는 단면 블록도.
제3도는 실시예에 관한 온도제어방법을 설명하기 위한 온도 이력도.
제4도는 본 발명의 제 2 실시예의 고속열처리로를 나타내는 단면 블록도.
제5도는 급속가열시에 있어서의 히터온도(TH), 처리실의 내부온도(T1) 및 웨이퍼의 온도(TW)에 대하여 실측한 결과를 각각 나타내는 온도 이력도.
제6도는 급속가열시에 있어서의 히터온도(TH), 처리실의 내부온도(T1) 및 웨이퍼의 온도(TW)에 대하여 실측한 결과를 각각 나타내는 온도 이력도.
제7도는 본 발명의 제 3 실시예의 고속열처리로의 프로세스 튜브를 나타내는 종단면도.
제8도는 제 3 실시예의 고속열처리로의 프로세스 튜브를 나타내는 종단면도.
제9도는 외장을 절단하여 외장에 내장된 히터 엘레멘트를 나타내는 사시도.
제10도는 히터 엘레멘트의 부착부를 나타내는 부분단면도.
제11도는 링 트레이 상에 재치된 웨이퍼를 나타내는 부분단면도.
제12도는 링 트레이 상의 웨이퍼에의 복사열의 입열을 설명하기 위한 부분단면도.
제13도는 링 트레이 상의 웨이퍼와 그렇지 않은 웨이퍼에 있어서의 온도분포를 각각 나타내는 온도 특성도.
제14도 (a)는 본 발명의 실시예에 관한 열처리 장치를 나타내는 종단면도.
제14도 (b)는 열처리 장치 내부의 긴 쪽방향에 있어서의 온도분포를 나타내는 온도특성도.
제15도는 열처리 장치의 하부를 확대하여 나타내는 부분단면도.
제16도는 본 발명의 실시예에 관한 열처리 장치를 나타내는 종단면도.
제17도는 본 발명의 실시예에 관한 열처리 장치를 나타내는 단면 블록도.
제18도는 열처리 장치의 하부를 확대하여 나타내는 부분단면도.
제19도는 본 발명의 실시예에 관한 열처리 장치를 나타내는 부분 단면도.
제20도는 열처리장치의 냉각 시스템을 나타내는 회로도.
제21도는 열처리 장치의 다른 냉각 시스템을 나타내는 회로도.
* 도면의 주요부분에 대한 부호의 설명
1,40,82 : 프로세스 튜브 2 : 간격
3a,3b,3c : 히터 4 : 본체(외장)
5 : 웨이퍼 보트 6 : 보트 승강기구
7 : 냉기 공급구 8 : 배기구
9 : 공급관(반응가스 도입관) 9a : 가스 도입구멍
10 : 배기관 11 : 보온통
12 : 플랜지 캡 12a,88,216 : O링
12b : 냉각매체 도입공간 12,102 : 덮개체
13a,13b : 셔터 14a,14b : 히터
14c : 전원 15,16 : 팬
18a,18b,18c : 열전대 18a~18c : 내부 열전대
19a~19c : 히터 열전대 20 : 온도 콘트롤러
21 : 메모리 22 : 전원
23 : 고리 형상공간 24 : 노즐
25 : 덕트 26 : 배기덕트
27 : 열교환기 27 : 열교환기
30a~30C : 웨이퍼 열전대 40 : 냉각매체 통로
40a,40b : 이중벽 40c : 공간
40a : 외부튜브 40b : 내부튜브
40c : 공간 40d : 배기 파이프
41 : 하부구멍 42 : 처리 베이스
50 : 파이프 54 : 링 트레이
54a : 재치부 54b : 측벽부
56,56a,56b,56c : 저항 발열체(영역) 58,58a,58b,58c : 단열재
60 : 냉각 파이프 62 : 내부 쉘
64 : 외부 쉘 66 : 스테이플
70 : 세정가스 도입용 파이프 80,100 : 엘레베이터 아암
80 : 종형열처리장치 84 : 히터
86,152 : 매니홀드 88,98 : 보트 엘레베이터
90 : 프로세스 가스용 가스도입관 92 : 퍼지가스용 가스도입관
94 : 배기관 104 : 보온통 받이대
112 : 시즈히터 122 : 외부튜브
124 : 내부튜브 150 : 스카벤져부
152 : 외부 매니홀드 154 : 베이스 플레이트
156 : 내부 매니홀드 158 : 스카벤져 본체
162 : 배기구 166 : 셔터
215 : 열전대 230 : 개폐밸브
231 : 펌프 232 : 냉각수 저장탱크
320 : 덕트(순환관로) 321 : 열교환기
324 : 개폐밸브 325 : 분기관
326 : 유량 검출기 327 : 필터
328 : 열전대 329 : 관(순환관로)
330,333 : 크린 룸 330,331,332 : 열배기 라인
DW,DWa,DWb,DWc: 더미 웨이퍼 L1: 링 크레이(54)의 상호간격
TH: 히터부의 온도 TH : 히터온도
TI : 처리실의 내부 온도 TIU,TIM,TIL: 웨이퍼의 온도
W : 웨이퍼
본 발명은, 고속열처리로의 온도제어방법 및 그 장치에 관한 것이다.
반도체 웨이퍼나 유리기판 등에 대하여 확산층을 형성한다거나 실리콘 산화막 또는 실리콘 질화막 등을 형성하는 경우에는, 각종의 열처리장치가 사용된다. 예를들면 반도체 디바이스의 제조공정에 있어서는, CVD장치, 산화막 형성장치, 열확산 처리장치 등이 사용되고 있다.
예를들면 일본국 특개평 3-82016호 공보에는 다수의 웨이퍼를 뱃치처리하는 종형열처리 장치가 기재되어 있다. 이와 같은 장치를 사용하여 웨이퍼를 불순물 확산처리하기 위해서는, 종형 열처리로의 공급구 및 배기구의셔터를 닫고, 보트와 함께 웨이퍼를 프로세스 튜브 내에 수용한다. 이어서, 프로세스 온도로 히터를 가열하고, 프로세스 가스를 프로세스 튜브 내에 공급하면, 프로세스 가스가 웨이퍼에 작용하여 불순물 원소가 웨이퍼의 안에 확산하여 간다.
최근에는, 집적회로의 고속화, 고집적화 등에 수반하여 웨이퍼(W) 표면의 확신 깊이를 얕게 하는 경향이 높아 가고 있다. 얕은 확산 깊이를 제어하기 위해서는, 웨이퍼를 단시간에 소정온도 이상(예를들면 1000℃)까지 상승시킴과 동시에, 강제냉각에 의하여 소정의 온도차, 즉 소정온도(예를들면 500℃)의 유지시간 및 온도강하 시간을 제어할 필요가 있다. 이 때문에 종래에는 종형 열처리로의 공급구나 배기구에 팬을 설치하고, 강제적으로 간격 내에 냉각공기를 도입하고 있다.
그러나, 팬을 사용하여 강제적으로 냉각하면, 웨이퍼의 온도와 히터의 온도(구체적으로는 히터부에 설치한 열전대의 온도)와의 온도차가 매우 크고, 웨이퍼와 히터가 같은 온도(25℃)로 되기까지 긴 시간(20분)을 필요로 한다.
이 때문에, 제1도에 나타낸 바와 같이, 히터전원 OFF후의 초기냉각기간(C1)에서는 팬으로 히터를 강제공냉하여 히터온도(TH)를 500℃로 냉각하고, 그 후의 냉각기간(C2)에서는 팬의 ON, OFF동작을 되풀이하여 히터온도(TH)를 500℃ 정도로 콘트롤하며, 웨이퍼 온도(TW)가 500℃까지 강하할 때까지 팬의 ON, OFF동작을 되풀이한다.
이와 같이 종래에서는 팬의 ON, OFF 조작을 빈번하게 해야할 필요가 있음과 동시에, 온도강하 제어에 많은 시간을 요하고, 생산능률이 저하한다.
또 이러한 종류의 종형 열처리로에서는, 동시에 많은 장수의 웨이퍼를 처리하기 위하여, 프로세스 튜브의 상부, 중간, 하부에 위치하는 웨이퍼 상호간에 온도차가 생겨 버리며, 능률이 떨어어진다.
일본국 특개평 4-186616호 공보에 기재한 열처리 장치에서는, 발열저항체와 프로세스 튜브 사이에 SiC제의 균열부재(均熱部材)를 배치하며, 웨이퍼 상호간의 가열온도의 오차를 방지하고 있다. 이와 같은 균열부재는, 프로세스 튜브 내의 모든 웨이퍼에 대하여 열을 균일하게 널리 퍼뜨리게 하는 작용과, 발열저항체나 단열재로부터 흩날린 이물이 프로세스 튜브 내에 혼입하는 것을 방지하는 작용을 가진다.
통상, 열처리 장치에 있어서의 저항 발열체로서는 FeCrAl 합금의 히터 엘레멘트가 사용된다. FeCrAl 합금의 히터 엘레멘트는 1200℃에서 2W/㎠ 정도의 표면부하를 가진다. 그러나, 최근에 적어도 30℃/분(바람직하게는 100℃/분(승온시)과 60℃/분(강온시))의 승강은 속도가 얻어지는 고속 승강은 열처리 장치가 요망되어 오고 있고, 이것보다도 표면부하가 높은(20W/㎠) 2규소화 몰리브덴이 주목되고 있다.
그런, 상기 균열부재가 웨이퍼와 저항 발열체 사이에 존재하면, 초기에 있어서의 웨이퍼의 승온속도가 작고, 더구나 균열부재가 존재하는 것으로 발열 저항체로부터의 적외선이 투과하기 어렵게 된다.
균열부재를 설치하지 않은 경우에는, 저항 발열체 및 그 지지부재로부터 생긴 Fe, Cu, Na 등의 금속이온이 석경벽을 투과하여 프로세스 튜브 내에 침입하고, 웨이퍼가 중금속 오염된다.
본 발명의 목적은, 기판을 신속하고도 균일하게 승온 및 강온할 수 있고, 처리율의 향상 및 능률의 향상을 도모할 수 있는 고속열처리 장치의 온도제어 방법 및 그 장치를 제공함에 있다.
또 본 발명의 목적은, 기판의 중금속 오염을 방지할 수 있는 고속열처리 장치를 제공함에 있다.
본 발명에 관한 고속열처리 장치의 온도제어 방법의 특징은, 프로세스 튜브 내에 더미 기판을 모의적으로 여러 가열수단에 의하여 가열하여, 더미기판이 목표온도에 도달할 때까지의 기판 승온 패턴과 가열수단이 목표온도에 도달할 때까지의 히터승온 패턴을 프로세스 튜브 내의 각 영역마다 공도검출 수단에 의하여 각각 미리 검출하여 파악하여 놓고, 처리할 기판을 면접촉(face to face) 배열로 되도록 프로세스 튜브 내에 수용하고, 기판을 가열할 때에, 상기 온도검출수단에 의하여 프로세스 튜브내의 각 영역의 온도를 각각 검출하며, 각 영역의 기판의 온도가 목표온도로 도달하여 안정할 때까지의 사이에서, 상기 각 검출온도와 상기기판 승온 패턴 및 히터 승온패턴에 의거하여 상기 각 가열수단을 제어수단에 의하여 제어하고, 신속하고도 균일하게 기판을 승온시킨다.
하기에 나타낸 바와 같이, 각 가열수단은 제어된다.
3개의 온도검출수단을 프로세스 튜브 내의 기판의 근방에 각각 설치하고, 각 온도검출수단에 의거하여 프로세스 튜브 내의 상부, 하부의 온도를 각각 검출한다. 승온 속도는 상부가 가장 늦고, 하부, 중부의 순서이다. 이 때문에, 상부영역의 히터에의 전력공급량을 크게하면, 균일성이 높아진다. 또, 히터 엘레멘트의 검출온도(TH)에 따라서 기판을 가열제어하고, 기판온도(TW)를 신속하게 목표온도에 도달시킬 수 있다.
또, 가열중에 송풍수단에 의하여 프로세스 튜브를 냉각하여도 좋다.
또, 본 발명에 관한 고속 열처리 장치의 특징은, 외벽 및 내벽이 형성되고, 적어도 한 개의 구멍을 가지며, 여러 장의 기판이 장입되는 종형 프로세스 튜브와, 상기 종형 프로세스 튜브의 주위에 배치된 단열재와, 상기 단열재 및 상기 종형 프로세스 튜브사이에 설치된 표면부하가, 10W/㎠ 이상이고, 상기 프로세스 튜브를 가열하는 저항 발열체와, 상기 어려 장의 기판을 상기 구멍을 통하여 종형 프로세스 튜브 내에 반입/반출하는 승강기구와, 상기 종형 프로세스 튜브의 외벽 및 내벽의 상호간에 형성되는 간격에 가스를 공급하는 가스공급수단을 가진다.
공급가스로서는, 금속 이온과 반응할 수 있는 할로겐계 가스, 산소가스, 에어 등의 반응성 가스를 사용하는 것이 바람직하다. 또, 공급가스는, 반응성 가스만에 한정되지 않고, 질소가스나 아르곤 가스 등의 비반응성 가스여도 좋다.
[실시예]
이하, 첨부도면을 참조하면서 본 발명의 여러 가지 실시예에 대하여 설명한다.
제2도에 나타낸 바와 같이, 제 1 실시예의 열처리 장치는, 종형 웨이퍼 보트(5)를 받아서 다수 장의 웨이퍼(W)를 동시에 열처리하는 것이다. 이 열처리 장치는, 온도 콘트롤러(20)를 포함하는 온도 제어 시스템을 구비하고 있고, 웨이퍼(W)를 고속으로 열처리하도록 되어 있다. 열처리 장치는, 석영제의 프로세스 튜브(1)와, 히터(3a),(3b),(3c)와, 외장(4)와, 보트승강기구(6)를 구비하고 있다.
프로세스 튜브(1)와 외장(4) 사이에 간격(2)이 형성되고, 간격(2)의 하부에는 냉기공급구(7)가 통하게 되어 있으며, 간격(2)의 상부에는 배기구(8)가 통하게 되어 있다.
냉기공급구(7)에는 팬(15)이 관로를 통하여 통하게 되어 있으며, 배기구(8)에는 팬(16)이 열교환기(27) 및 덕트(25)를 통하여 통하게 되어 있다. 팬(16)를 포함하는 배기계는 다른 집합 배기덕트(25)에 통하게 되어 있다.
온도제어장치의 히터는 상부히터(3a), 중앙부히터(3b) 및 하부히터(3c)로 구성되며, 각부 히터(3a),(3b),(3c)에 전원(22)으로부터 전력을 공급하도록 되어 있다. 온도제어장치의 온도검출수단은 프로세스 튜브(1)내에 설치된 내부 상방 열전대(18a), 내부 중앙 열전대(18b) 및 내부 하방 열전대(18c)로 구성된다.
내부 열전대(18a)~(18c)는 온도 콘트롤러(20)의 입력부에 접속되어 있다.
또, 각부 히터(3a),(3b),(3c)의 온도는 히터 열전대(19a)~(19c)에 의하여 검출되고, 그 검출온도 신호는 온도 콘트롤러(20)에 입력되도록 되어 있다.
이 온도 콘트롤러(20)는, 도시하지 않은 마이크로 컴퓨터를 내장하고 프로그램에 의하여 미리 실험에 의하여 각종 데이터를 메모리(21)에 기억하여 놓고, 기억 데이터와 각부의 검출온도에 의거하여 전원(22)을 제어하도록 되어 있다.
즉, 프로세스 튜브(1) 내의 상부, 중앙부 및 하부의 웨이퍼의 온도(TIU),(TIM),(TIL)과, 히터부의 온도(TH)가 마이크로 컴퓨터의 CPU에 들어 가도록 되어 있다.
공급구(7)는, 본체(4) 하부의 8군데에 설치되어 있으며, 이들 공급구(7)는 고리 형상공간(23)에 서로 통하게 되어 있다.
이들 공급부(7)에는, 간격(2) 내에 돌입하는 노즐(24)이 접속되며, 팬(15)으로부터 공급되는 냉각공기가 균일하게 간격(2) 내로 흐르도록 되어 있다. 또 배기구(8)는 셔터(13b) 및 덕트(25)를 통하여 공장 등에 설비되는 배기덕트(26)에 접속되어 있다. 또 덕트(25)에는 냉각에 이용되어 고온도로 된 배기공기를 실온까지 냉각하는 열교환기(27)와 배기공기를 원활하게 배기덕트(26)에 흡인하는 배기 팬(16)이 설치되어 있다.
프로세스 튜브(1) 내에는 반응가스 도입관(9)이 삽입되어 있다. 이 반응가스 도입관(9)에는, 여러 개의 가스 도입구멍(9a)이 등피치 간격으로 형성되어 있다.
또, 프로세스 튜브(1) 내에는 배기관(10)이 접속되어 있으며, 이 배기관(10)에 접속하는 도시하지 않은 진공펌프 등의 흡입수단에 의하여 프로세스 튜브(1) 내가 진공흡입되도록 되어 있다.
웨이퍼 보트(5)는 보온통(11)을 통하여 석영제의 덮개체(12)의 위에 재치되어 있다.
이 덮개체(12)는 프로세스 튜브(1)의 하부구멍을 막도록 형성되어 있고, O링(12a)에 의하여 양자(1),(12)의 연결부분이 기밀하게 시일되도록 되어 있다.
이어서, 온도제어장치의 동작에 대하여 설명한다.
우선, 공급부(7) 및 배기구(8)의 셔터(13a),(13b)를 달아서 공급구(7)와 배기구(8)를 막는다. 그리고, 승강기구(6)의 구동에 의하여 웨이퍼 보트(5)를 상승시켜서 웨이퍼 보트(5)를 프로세스 튜브(1) 내로 삽입한다.
이어서, 히터 전원(22)을 ON으로 하여 히터(3a),(3b),(3c)에 의하여 프로세스 튜브(1)내를 소정온도(500℃)보다 높은 온도(예를들면 1000℃)로 가열한 후, 셔터(13a),(13b)를 열어서 공급구(7)와 배기구(8)를 개방함과 동시에, 공급 팬(15)과 배기 팬(16)을 구동시켜서 간격(2) 내에 외기를 도입하여 프로세스 튜브(1) 및 웨이퍼(W)를 강제적으로 냉각한다.
제3도에 나타내는 바와 같이, 웨이퍼 온도(TIU),(TIM),(TIL)를 검출하고, 검출온도신호를 온도 콘트롤러(20)에 보내고, 이들의 신호에 의거하여 전원(22)으로부터 상부히터(3a), 중앙부 히터(3b), 하부히터(3c)에의 전력공급량을 각각 제어한다. 즉, 중앙부의 웨이퍼 온도(TIU)가 가장 높고, 이어서 하방부의 웨이퍼 온도(TIL), 상방부의 웨이퍼 온도(TIU) 순서로 된다.
이들에 대응하여 히터(3a),(3b),(3c)에의 전극을 제어하고, 웨이퍼(W)의 가열온도를 균일하게 한다.
이와 같이 하여 웨이퍼의 온도를 소정온도로 유지한 상태에서, 반응가스 도입관(9)으로부터 반응가스를 프로세스 튜브(1) 내에 공급하여 웨이퍼 표면에 불순물 확산처리를 한다. 이 확산처리가 종료한 후, 전원(22)을 OFF로 하여, 프로세스 튜브(1) 내에 예를들면 질소(N2)퍼지가스를 도입하여 퍼지를 한다. 그리고, 프로세스 튜브(1) 내의 온도가 소정온도(25℃)까지 저하한 후, 승강기구(6)를 구동시켜서 웨이퍼(W)를 꺼내어 처리조작은 종료한다.
이어서, 제4도~제6도를 참조하면서 제 2 실시예에 대하여 설명한다. 또, 제 2 실시예가 제 1 실시예와 공통하는 부분의 설명은 생략한다.
제4도에 나타낸 바와 같이, 제 2 실시예의 온도제어장치에서는, 공급팬(15)과 온도 콘트롤러(20)와의 사이에 인버터(28)를 설치함과 동시에, 배기 팬(16)과 온도 콘트롤러(20)와의 사이에도 인버터(29)를 설치하고 있다.
이들 인버터(28),(29)는, 온도 콘트롤러(20)로부터의 지령신호를 받아서 팬(15),(16)의 풍량을 제어하는 기능을 가진다.
이 온도제어장치에서는, 내부 상방 열전대(18a), 내부 중앙 열전대(18b), 내부 하방 열전대(18c)에 의하여 추출된 온도신호를 온도 콘트롤러(20)에 보낸다. 온도 콘트롤러(20)는, 받은 신호에 의거하여 히터전원(22)을 제어하여 상부 히터(3a), 중앙부 히터(3b), 하부 히터(3c)를 온도제어함과 동시에, 인버터(28),(29)를 주파수 제어한다. 즉, 온도 콘트롤러(20)는 공급 팬(15) 및 배기팬(16)의 회전수를 변화시키고, 냉각공기의 공급량을 제어하면서 배기량도 제어한다.
각 히터(3a),(3b),(3c)의온도는 각각의 근방에 배치되는 히터부 열전대(19a)~(19c)에 의하여 검출되며, 그 검출신호는 온도 콘트롤러(20)에 입력되도록 되어 있다. 이 온도 콘트롤러(20)는, 외부 메모리(21)에 접속된 마이크로 컴퓨터(도시하지않음)를 내장하고 있다. 외부 메모리(21)는, 실제의 처리에 앞서서 작성한 상부, 중앙부 및 하부의 웨이퍼의 온도 TW(TWh, TWm 및 TW1)와, 히터부 열전대(19a)~(19c)의 검출온도TH(THh, THm 및 TH1) 및 내장 열전대(18a)~(18c)의 검출 온도TI(TIh, TIm 및 TI1)를 기억하고 있다. 내장 컴퓨터는 내부 열전대(18a)~(18c)의 검출온도(TI)와 온도 데이터를 비교연산하여 히터전원(22)을 제어하도록 프로그램되어 있다. 또, 상기 실시예에서는, 가열수단(3a),(3b),(3c) 및 온도 검출 수단(18a)~(18c)을 설치하였으나, 이것을 2조 또는 4조씩으로 하여도 좋다.
웨이퍼의 온도(TW)를 미리 측정하기 위한 더미 웨이퍼(DW)로서는, 상부용(DWa), 중간부용(DWb), 하부용(DWc) 계 3 장이 준비된다. 데이터 작성용의 각 더미 웨이퍼(DWa),(DWb),(DWc)에는 웨이퍼 열전대(30a),(30b),(30c)의 검출온 도(TWh),(TWm) 및 (TW1)는 온도 콘트롤러(20)에 입력되도록 되어 있다.
이어서, 제5도 및 제6도를 참조하면서 급속가열시간 웨이퍼 온도(TW)를 제어하는 경우에 대하여 설명한다.
제5도에 나타낸 바와 같이, 종래에서는 다수의 웨이퍼(W)를 히터(3a),(3b),(3c)의 가열에 의하여 단시간에 승온하고자 하면, 히터온도(TH), 처리실의 내부 온도(TI), 웨이퍼 온도(TW)의 각각은 도시한 바와 같이 변화한다.
도면에서 밝혀진 바와 같이, 히터온도(TH)가 초기온도 200℃로부터 목표온도 1000도에 도달하기까지에 약 10분간을 필요로 한다. 그 후 또 10분간이 경과하면 웨이퍼 온도(TW)가 목표온도 1000도에 도달하고, 그 직후에 처리실의 내부온도(TI)가 목표온도 1000도에 도달한다.
이들의 온도(TW),(TH),(TI)의 측졍결과는, 사용하는 열처리로의 구조, 피처리체의 처리장수 및 가열수단의 승온도 속도 등의 제조건이 같은 것이라면 항상 동일한 결과로 된다. 즉, 가열도중의 어느 시점에 있어서의 내부온도(TI)를 지정하면, 그 시점에 있어서의 히터온도(TH)와 웨이퍼 온도(TW)가 일의적으로 구해진다.
제6도를 참조하면서 본 실시예의 방법에 의하여 웨이퍼의 온도(TW)를 제어하는 경우에 대하여 설명한다.
실제의 처리를 하기 전에, 메모리(21)에 기억시킬 온도 데이터의 작성을 한다. 우선, 공급구(7) 및 배기구(8)의 셔터(13a),(13b)를 닫아서 공급구(7)와 배기구(8)를 막는다. 그리고, 웨이퍼 보트(5)의 상부, 중간부 및 하부에 각각 더미 웨이퍼(DWa),(DWb),(DWc)를 장착하고, 웨이퍼 보트(5)를 프로세스 튜브(1) 내로 장입한다.
이어서, 온도 콘트롤러(20)를 온도 데이터 작성모드로 한 상태에서, 목표온도를 1000℃로 설정하여 히터전원(22)을 ON으로함과 동시에, 웨이퍼 열전대(30a)~(30c)와, 내부 열전대(18a)~(18c)와, 히터부 열전대(19a)~(19c)에 의한 온도측정을 개시한다. 이들 열전대(30a)~(30c), (18a)~ (18c) 및 (19a)~(19c)에 의한 측정 데이터는, 온도 콘트롤러(20)의 마이크로 컴퓨터에 의하여 온도제어용의 데이터로 변환되어 메모리(21)에 기억된다. 이 온도제어용의 데이터는, 각 시점에 있어서의 웨이퍼 온도(TW)와 내부온도(TI)와 히터온도(TH)와의 3자간의 대응관계를 나타내는 데이터이고, 제5도 및 제6도에 나타낸 바와 같은 온도이력에 해당하는 것이다. 그리고, 웨이퍼(W)의 온도(TW)가 목표온도로 되기까지 측정을 하여 온도제어용의 데이터를 수집한 후에, 히터전원(22)을 OFF로 하며, 웨이퍼 보트(5)를 하강시켜서 더미 웨이퍼(DWa),(DWb),(DWc)를 벗겨 낸다.
이상과 같이 하여, 온도제어용의 데이터를 작성한 후에, 실제의 처리동작에 들어간다.
이때, 우선, 공급구(7) 및 배기구(8)의 셔터(13a),(13b)를 닫아서 공급구(7)와 배기구(8)를 막는다. 그리고, 웨이퍼 보트(5)에 미처리된 웨이퍼(W)를 로드하고, 웨이퍼 보트(5)를 프로세스 튜브(1) 내에 장입한다. 이어서, 온도 콘트롤러(20)를 실처리 모드로 한 상태에서, 목표온도를 설정하고, 히터전원(22)을 ON으로 하여 가열을 개시한다. 그후, 온도 콘트롤러(20)는 내부 열전대(18a)~(18c)에 의하여 내부온도(TI)를 항상 감시한다. 그리고, 내부온도(TI)와 메모리(21)에 기억된 측정 데이터에 의거하여 히터(3a),(3b),(3c)의 온도를 제어하고, 웨이퍼(W)를 목표온도까지 가열한다.
제6도에 나타낸 바와 같이, 팬(15),(16)의 회전수를 제어하면서 히터(3a),(3b),(3c)에의 전력공급량을 제어하면, 히터온도(TH)는 단시간에 목표온도 1000도를 넘고, 이것에 뒤이어서 실제의 웨이퍼 온도(TW)는 약 10분간이고 목표온도 1000도에 도달하는 것이다.
승온속도에 따라서 팬(15),(16)의 회전수를 제어하기 때문에, 실제의 웨이퍼 온도(TW)는 목표온도 1000도에 도달한 후는 오버슈트하는 일이 없이, 1000도로 유지된다.
그후, 내부온도(TI)가 목표온도 1000도에 도달하여 열 균형이 안정하기 때문에, 팬(15),(16)을 정상운전한다.
웨이퍼 온도(TW)를 목표온도로 유지한 상태에서, 반응가스를 프로세스 튜브(1) 내에 공급하고, 웨이퍼(W) 표면에 불순물 성분의 확산을 한다. 프로세스 튜브(1) 내의 온도가 실온(예를들면 25℃)으로 되기까지 냉각하면, 팬(15),(16)을 정지하고, 웨이퍼 보트(5)를 프로세스 튜브(1)로부터 언로드한다.
상기 실시예에 의하면, 웨이퍼(W)가 얕은 확산깊이를 재현성 좋게 제어할 수 있다. 또, 프로세스 튜브(1) 내의 상부, 중아부, 하부에 배치되는 웨이퍼(W)를 실질적으로 온도차이가 없이 균일하게 열처리할 수 있다.
또, 웨이퍼 근방에 배치한 온도 검출수단에 의하여 웨이퍼 처리분위기의 내부온도(TI)를 검출하고, 이 검출온도에 기초하여 가열수단을 제어함과 동시에, 송풍수단의 송풍용량을 제어하기 때문에, 웨이퍼(W)의 급속냉각 상황에 따른 온도제어를 신속하고도 정확하게 할 수 있다.
이 때문에, 처리율의 향상 및 능률의 향상을 도모할 수 있다.
이어서 제7도 내지 제13도를 참조하면서 다른 실시예에 대하여 설명한다.
제7도에 나타내는 열처리 장치는, 반도체 웨이퍼의 산화확산 처리에 사용되는 산화확산로이다. 이 산화확산로의 프로세스 튜브(40)는, 적외선 등의 복사열선을 투과하기 쉽고, 이물의 발생이 적은 고순도의 석영 또는 사파이어로 만들어져 있다.
이 프로세스 튜브(40)의 구멍 단부에는 스테인레스 스틸제의 베이스 플레이트(도시하지 않음)가 연결되며, 이것에 의하여 프로세스 튜브(40)는 지지되어 있다. 프로세서 튜브(40)의 벽은, 이중벽(40a),(40b)이다. 내벽(40b) 안에는 처리 베이스(42)가 형성되어 있다. 처리 베이스(42)에는 보온통(11) 상에 놓인 보트(5)가 로드/언로드되도록 되어 있다.
보온통(11)은, 플랜지 캡(12) 상에 놓이며, 이 플랜지 캡(12)은 엘레베이터 아암(도시하지 않음)에 부착되어 있다.
보트(5)는 하부 구멍(41)으로부터 프로세스 튜브(40) 내에 장입되도록 되어 있다. 보온통(11)은 벨트(54)를 가지는 회전기구(도시하지 않음)에 연결되어 있다.
제8도에 나타낸 바와 같이, 프로세스 튜브(40)는 외부 튜브(40a)와 내부 튜브(40b)로 구성되며, 외부 튜브(40a)와 내부 튜브(40b)의 상호간에 공간(40c)이 형성되어 있다. 구멍단측에서는 양 튜브(40a),(40b)가 용착되어 일체화되며, 공간(40c)이 막혀져 있다. 이 공간(40c)에는 세정가스 도입용 파이프(70)와 배기 파이프(40d)가 통하고 있다. 파이프(70)는 프로세스 튜브(40)의 상부에서 공간(40c)에 뚫려 있다. 파이프(40d)의 한쪽단은 배기장치(도시하지 않음)에 뚫려 있다. 파이프(40d)는 프로세서 튜브(40)의 하부에 공간(40c)에 뚫려 있다. 파이프(40d)의 한쪽단은 배기장치(도시하지 않음)에 서로 통하여 있다.
파이프(70)는 염소가스나 수용된 세정가스 공급원(도시하지 않음)에 서로 통하여 있다. 또, 세정가스에는 염소가스 이외의 할로겐 가스를 사용하여도 좋다. 또, 세정가스를 그속이온과 화학반응시켜서 배출하여도 좋고, 또 질소가스와 함께 금속이온을 배출하여도 좋다. 세정가스 공급원으로부터 공간(40c)으로는 체적비로 1~10%의 함유량의 염소가스, 또는 유량 5㎥/분 정도의 산소가스가 공급되도록 되어 있다. 또, 상기 가스를 혼합하여 사용하는 경우에는, 총량으로서 5~8㎥/분의 공급량이 설정된다.
파이프(70)로부터의 가스의 도입 타이밍은, 금속이온이 발생하는 시기로 설정되어 있고, 예를들면 프로세스 튜브(40) 내에서의 승온시, 및 프로세스 온도가 유지되어 있는 사이에 설정되어 있다. 또, 이 도입 타이밍으로서는,프로세스가 실행될 때마다 하여도 좋다. 단, 금속 이온을 포집하여 공간 내로부터 배출하는 관계상, 금속이온의 발생이 작은 시기에 상당하고 있는 승온시나 로드/언로드시에 가스의 도입을 차단하는 것이 바람직하다. 이것은 가스의 소비를 억제하기 때문이다. 또, 프로세스 튜브(40)와 발열 저항체(56)와의 사이에 간격을 설치하며, 이 간격에 에어 등의 냉매를 흐르게 하는 것이 바람직하다. 즉 하방으로부터 윗쪽을 향하여 공기류를 형성하고, 웨이퍼(W)를 강제냉각한다.
프로세스 가스공급원(도시하지 않음)에 통하는 파이프(50)가 처리공간(42) 내에 설치되어 있다. 파이프(50)는 내벽(40b)을 따라서 튜브하부로부터 상부까지 설치되고, 튜브 천장부의 곳에 뚫려 있다.
프로세스 튜브(40)의 바깥면에는 저항 발열체(56)가 부착되어 있다. 저항 발열체(56)의 외측에는 통형상의 단열재(58)가 설치되고, 이 통형상의 단열재(58)에 의하여 저항 발열체(56)는 지지되어 있다. 또, 통형상의 단열재(58)의 바깥면에는 내부 쉘(62) 및 외부 쉘(64)이 각각 설치되어 있다. 내부 쉘(62) 및 외부 쉘(64)에는, 냉각매체를 순환시키는 냉각 파이프(60)가 수용되어 있다.
저항 발열체(56)는 상부(56a), 중앙부(55b) 및 하부(56c)의 3영역으로 나누어져 있다. 각 영역(56a),(56b),(56c)은, 공간(42)내에서 웨이퍼(W)가 위치하는 영역이 균일하게 가열되도록 온도 콘트롤러(도시하지 않음)에 의하여 각각 독립으로 온도가 제어되도록 되어 있다. 또 단열재(58)도 상기 상부, 중앙부 및 하부의 3 영역에 대응하여 상부측, 중앙부측 및 하부측 각각의 단열재(58a),(58b),(58c)로 나누어져 있다.
제9도에 나타낸 바와 같이, 단열재(58a),(58b),(58c)는, 반원통 형상의 것을 조합한 통형상을 이룬다. 이것에 대응하여 저항 발열체(56a),(56b ),(56c)도 좌우로 2분할 된 것을 조합하도록 되어 있다. 단열재(58)의 두께는, 45mm 이하인 것이 바람직하고, 25mm 정도로 하는 것이 바람직하다.
이와 같은 단열재(58)의 두께는, 기계적 강도가 유지될 수 있는 범위에서 가능한한 얇게 하는 것이 바람직하다.
저항 발열체(56a),(56b),(56c)는 2 규소화 몰리브덴(MoSi2)제이다. 구체적으로는, 2 규소화 몰리브덴(MoSi2)을 주성분으로 한 히터(캔털사제의 캔탈 슈퍼 발열체)를 사용한다. 이 2 규소화 몰리브덴제의 발열저항체는, 상온에서 저항치가 매우 작고, 고온으로 되면 저항치가 크게 된다. 저항 발열체의 선직경(d)은 1.5mm 이상이고 6mm 이하인 것이 바람직하다.
이 이유는, 선직경(d)이 6mm를 넘으면 소망의 고속승온 및 고속강온을 할 수 없고, 선직경(d)이 1.5mm를 밑돌면 파손하기 쉽게 되기 때문이다. 또 저항 발열체의 표면부하도 1200℃에서 10~30W/㎠로 설정하는 것이 가장 적당하다. 덧붙여 말하자면 종래의 FeCrAl 히터(최대표면 부하가 1200℃에서 2W/㎠ 있다.)에 대하여 MoSi2히터는 수배에서 수십배의 발열량을 얻을 수 있다. 이 때문에, FeCrAl 발열체가 10℃/분의 승온속도인 것에 대하여, MiSi2히터는 적어도 30℃/분의 승온속도가 얻어진다.
또, 제9도에 나타낸 바와 같이 저항 발열체(56a),(56b),(56c)는 각 영역마다에 일체의 종방향으로 뻗고, 상하로 번갈아서 U자형상으로 구부려서 연속하는 형상(이하, 이 형상을 미얀다 형상이라고 함)으로 설정되어 있다.
제10도에 나타낸 바와 같이, 미얀다 형상으로 형성한 저항 발열체(56a),(56b),(56c)를 스테이플(66)에 의하여 각 단열부재(58a),(58b),(58c)의 내측면에 부착유지시키도록 되어 있다.
이 스테이플(66)은 저항 발열체(56a),(56b),(56c)의 상부에서는 각각의 되접은부의 맨꼭대기부에 부착하여 이들을 늘러뜨려서 지지함과 동시에, 저항 발열체(56a),(56b),(56c)의 하부에서는 각각의 구부림부를 피하여 직선부분을 지지하여 위치를 고정하고 있다.
이와 같이 저항 발열체(56a),(56b),(56c)의 하부 되접은 부를 해방상태로 하여 놓음으로써, 저항 발열체(56a),(56b),(56c)의 열팽창 및 수축에 의한 상하방향의 길이변화를 허용할 수 있도록 되어 있다.
또, 저항 발열체(56a),(56b),(56c)는, 가열되면 표면에 2산화규소(SiO2)가 석출되며, 이것이 보호막으로서 작용하기 때문에, 단선되기 어렵다.
이 경우에 저항 발열체(56a),(56b),(56c)와 직접접촉하는 스테이플(66)의 표면을, 예를들면 1200℃라고 하는 고온에서도 2 산화규소에 대하여 불활성 재료로 형성하고, 저항 발열체(56a),(56b),(56c)가 스테이플(66)의 접촉부에서 단선하지 않도록 하고 있다. 스테이플(66)의 코팅재료로서는, 예를들면 철(Fe), 구리(Cu), 니켈(Ni) 등이 있다. 또 스테이플(66) 전체를 이와 같은 재질로 하여도 좋다.
제11도 및 제12도에 나타낸 바와 같이, 웨이퍼 보트(5)에는 여러장의 웨이퍼(W)가 등간격으로 수용되어 있다. 각 웨이퍼(W)는 그 테두리부가 링 트레이(54)에 의하여 각각 지지되어 있다.
링 트레이(54)는 재치부(54a) 및 측벽부(54b)를 구비하고 있다. 이 측벽부(54b)의 높이(T2)는, 웨이퍼(W)의 두께(T)와 같거나 또는 크다.
제12도에서 파선으로 나타낸 바와 같이, 가열원으로부터의 복사열이 인접하는 웨이퍼(W)에 의하여 차단되고, 웨이퍼(W)의 중앙부분에 도달하는 복사열량을 제한하고 있다. 링 트레이(54)의 상호간격(L1)은, 웨이퍼(W)를 재치부(54a)에 로드/언로드하기 위한 반송아암(도시하지 않음)이 출입가능한 크기이다. 또 측벽부(54b)의 폭(L2)은, 웨이퍼(W) 및 링 트레이(54)가 열팽창한 때의 상호간섭을 피할 수 있는 크기이다.
제13도는, 횡축으로 웨이퍼 중심으로부터 본 위치를 취하며, 종축으로 웨이퍼 온도를 취하여 웨이퍼 온도분포를 나타내는 온도분포도이다. 도면중에서 곡선(TR)은 본 실시예(링 트레이(54)를 사용한 경우)의 결과를 나타내며, 곡선(TN)은 비교예(링 트레이(54)를 사용하지 않은 경우)의 결과를 나타낸다. 도면에서 밝혀진 바와 같이, 전자 쪽이 후자쪽보다도 웨이퍼 면내 균일성이 좋다.
이어서, 작용에 대하여 설명한다.
웨이퍼 보트(5)를 프로세스 튜브(40) 내에 장입하고, 프로세스 튜브(40) 내를 배기한다. 각 히터(56a), (56b),(56c)에 전력을 공급하고, 웨이퍼(W)를 고속승온한다. 또 웨이퍼 보트(5)의 반입전에 미리 처리공간(42) 내를 600℃ 이하로 가열하여 놓는 것이 바람직하다. 600℃ 이하의 온도에서는 산화막의 생성속도가 늦고, 처리율을 향상시키는 의미에서는 유리하게 되기 때문이다. 한편, 히터(56)로부터의 복사열은 직접 프로세스 튜브(40)를 투과하여 공간(42) 내의 웨이퍼(W)에 입사한다. 이 때문에, 웨이퍼(W)에서의 승온속도는 종래의 중간의 균열부재가 없는 분량만큼 빨라질 수 있게 된다.
파이프(70)를 통하여 공간(40c)으로 세정가스를 도입한다. 히터(56a), (56b),(56c)를 발열시킨 때에, 2산화규소(SiO2)가 생김과 동시에, 스테이플(66)로부터는 Fe, Cu, Na 이온 등의 금속이온이 생긴다. 이들의 금속이온 등은 외부튜브(40a)를 투과하고, 공간(40c)내에 들어간다. 또, 금속이온 등은 내부튜브(40b)를 투과하도록 하거나 세정가스에 의하여 차단되고, 배기 파이프(40d)를 통하여 외부로 배출된다.
본 실시예에 의하면, 세정가스 자체의 온도로 상승하여 금속이온과의 반응성을 향상시킬 수 있다. 따라서 금속이온의 포집효율을 향상시키는 것이 가능하게 된다. 또, 이 효과는 강온 프로세스에서 현저하게 나타나 있고, 적어도 강온시는 상기 세정가스의 공급이 효과적이다.
또, 본 실시예에 의하면, 링 트레이에 의하여 웨이퍼의 바깥 테두리부를 재치할 수 있는 구조로 하였기 때문에, 웨이퍼의 중심부보다도 바깥 테두리에서 열용량이 큰 상태를 설정할 수가 있다. 이 때문에, 인접하는 반도체 웨이퍼에 의하여 입사하는 복사열선이 차단된 경우에 발생하는 바깥 테두리부에서의 이상한 온도 상승을 방지할 수 있고, 더구나 바깥 테두리에 입사하는 열선을 차단하는 것으로, 온도상승을 더욱 확실하게 억제할 수가 있다.
또, 본 실시예에 의하면, 단열재의 두께를 종래의 것에 비하여 얇게 하고 있기 때문에, 프로세스 튜브 그 자체의 열용량을 작게하여 고속처리로로서의 온도변화 속도를 확보할 수 있다. 이와 관련하여 종래의 열처리로에 사용되는 단열재의 두께는, 본 실시예에서 나타낸 25mm에 대하여 50mm 이상으로 되어 있고, 이 두께의 차이로 인하여 히터로부터의 직접의 열복사를 유효하게 작용시켜서 웨이퍼의 온도상승을 빨리할 수가 있다.
이어서, 제14(a)도, 제14(b)도, 제15도, 제16도를 참조하면서 다른 실시예의 종형열처리 장치에 대하여 설명한다.
제14(a)도에 나타낸 바와 같이, 종형열처리 장치(80)는, 프로세스 튜브(82), 히터(84) 및 매니홀드(86)를 구비하고 있다. 프로세스 튜브(82)는, 동축으로 설치된 외부튜브(122)와 내부튜브(124)를 구비하고 있다. 외부튜브(122)의 바깥면에는 히터(84)가 설치되어 있다.
제15도에 나타낸 바와 같이, 매니홀드(86)는, 외부튜브(122) 및 내부튜브(124)의 하단에 각각 배치된 O링(88)를 통하여 프로세스 튜브(82)를 지지하고 있다. 이 매니홀드(86)에는, 프로세스 가스용 가스도입관(90), 퍼지가스용 가스도입관(92) 및 배기관(94)이 각각 접속되어 있다.
매니홀드(86)의 아래쪽에는 보트 엘레베이터(88)가 설치되며, 이것에 의하여 웨이퍼 보트(5)가 승강가능하게 지지되어 있다. 보트 엘레베이터(88)의 엘레베이터 아암(100)에는, 매니홀드(86)의 하단구멍과 대향하는 위치에 덮개체(102)가 설치되어 있다.
엘레베이터 아암(100)에는, 덮개체(102)에 부가하여 그 윗쪽에 보온통 받이대(104)가 구비되어 있다.
이 보온통 받이대(104) 상에는 보온통(11)이 재치되고, 또 이 보온통(11)의 상단에 웨이퍼 보트(5)가 지지되어 있다. 웨이퍼 보트(5) 및 내부튜브(124)는 석영으로 형성되어 있다.
매니홀드(86)의 벽부 및 엘레베이터 아암(80)의 덮개체(102)는 알루미늄 또는 알루미늄 합금으로 만들어져 있다. 매니홀드(86)의 벽부 및 엘레베이터 아암(100)의 덮개체(102)는 밀폐공간 전영역에 걸쳐서 균일한 열전달을 확보할 수 있는 영역을 형성하고 있다.
또, 덮개체(102)에서는 히터를 부착하고, 덮개체(102)를 필요에 따라서 가열하도록 하여도 좋다. 매니홀드(86)의 벽부에는 O링의 냉각용으로서 냉각매체 통로(40)가 설치되어 있다.
또, 매니홀드(86)의 표면에는 실리콘계 피복재가 전해도금 등의 전해석출법에 의하여 코팅되어 있다. 피복재는 웨이퍼(W)가 중금속 오염방지용으로서 작용하는 것이며, 2산화규소(SiO2)로 만들어져 있다.
그런데 매니홀드(86)의 표면에는 얇은 산화알루미늄 피막이 형성되어 있으나, 이 산화피막만으로서는 고온 프로세스 가스와의 접촉하에서는 불충분하다. 그래서 매니홀드(86)의 표면을 알마이트 처리하고, 이것을 SiO2로 코팅하여도 좋다. 또, 매니홀드(86)의 피복재료에는 SiO2외에 Al2O3, SiC, Si3N4를 사용하여도 좋다.
제14(b)도에 나타낸 바와 같이, 장치의 긴쪽 방향으로 온도구배가 생긴다.
종래의 장치에서는, 온도곡선(T2)에 나타낸 바와 같이, 프로세스 튜브 상부보다도 매니홀드의 쪽이 꽤 저온으로 된다. 이와 같이, 매니홀드(86)에서의 방열에 의하여 처리분위기에 있어서의 프로세스 온도가 불균일하게 된다. 이 때문에 균열영역에서의 온도가 안정하지 않게 되고, 균열영역에서의 온도가 안정하지 않으면, 성막에 필요로 하는 온도도 균일하지 않게 되기 때문에, 웨이퍼(W) 상호간에서의 성막조건이 오차가 있게 된다.
한편, 본 실시예의 장치에서는 온도곡선(T1)에 나타낸 바와 같이, 매니홀드(86)온도가 150℃로 유지된다. 이것은 온도조정기구를 매니홀드(86)에 설치하고 있기 때문이다.
온도조정기구로서는, 매니홀드(86)의 벽부에 들어간 시즈히터(112)를 사용할 수가 있다. 이 시즈히터(112)는 가용성을 가지고 있다. 더구나 매니홀드(86)의 표면은 이산화 규소가 피복되어 있기 때문에, 중금속 이온이 발생이 확실하게 억제된다.
또, 제16도에 나타낸 바와 같이, 스카벤져부(150)를 매니홀드의 주위에 설치하여도 좋다. 이와 같은 스카벤져부(150)는, 일본국 특개평 2-87618호 공보에 기재되어 있다.
즉, 외부튜브(122)가 외부 매니홀드(152)를 통하여 베이스 플레이트(154)에 고정되고, 또, 내부튜브(124)는 내부 매니홀드(156)를 통하여 외부 매니홀드(152)의 하단에 고정되어 있다.
베이스 플레이트(154)의 아래쪽에는, 외부 매니홀드(152) 및 내부 매니홀드(156)의 바깥면 및 하면을 둘러싸도록 원통용기 형상의 스카벤져본체(158)가 설치되어 있다. 스카벤져부 본체(158)는 알루미늄계 합금재료로 만들어져 있다.
또, 부재(152),(154),(156),(158)는, 2산화규소(SiO2)로 피복되어 있다.
또, 스카벤져 본체(158)의 측면에는, 배기구(162)가 설치되고, 또 하면에는 보트 엘레베이터(98)를 승강시킬 때에 개방되는 구멍(64)이 형성되어 있다. 이 구멍(64)은 셔터(166)에 의하여 개폐된다. 셔터(166)는, 알루미늄계의 금속재료를 2산화규소로 피복되어 있는 것이다.
이와 같은 구조에서도 매니홀드 근방영역의 온도분포를 같게 할 수가 있다.
이어서, 제17도 및 제18도를 참조하면서 다른 실시예에 관한 고속 열처리 장치에 관하여 설명한다.
또, 이 실시예에서는 상술한 실시예와 같은 부분에 대하여는 설명을 생략한다.
이 실시예의 고속열처리 장치에서는 매니홀드(211) 및 덮개체(12)의 바깥면에 히터(14b)를 부착하고 있다. 또, 공급관(9) 및 배기관(10)의 외면에도 히터(14a)를 각각 부착하고 있다. 이들의 히터(14a),(14b)는 시이트 형상을 이루며, 각각이 전원(14c)에 접속되어 있다. 이들 히터(14a),(14b)는 미리 관(9),(10) 등에 덮혀 있어도 좋고, 또는 처리를 실시하는 것에 의하여 관(9),(10) 등에 감아붙여도 좋다.
또, 덮개체(12)에는 냉각매체 도입공간(12b)이 형성되어 있으며, 이 냉각매체 도입공간(12b)에는 개폐밸브(230) 및 펌프(231)를 통하여 냉각수 저장탱크(232)가 통하여 있다.
제18도에 나타낸 바와 같이, 히터용 전원(14c)은 온도 콘트롤러(20)에 의하여 제어되도록 되어 있다. 온도 콘트롤러(20)의 입력측에는 열전대(215)가 접속되어 있다. 열전대(215)는, 매니홀드용의 O링(216)의 근방에 부착되어 있다. 온도 콘트롤러(20)에 열전대(215)로부터 검출신호가 들어오면 전원(14c)에 온도 콘트롤러(20)로부터 지령신호가 나오고, 히터(14a),(14b)에 의하여 매니홀드(11)가 150~200℃의 온도영역에 가열되도록 되어 있다. 여기에서 가열온도를 150~200℃의 범위로 제어하는 이유는, 반응생성물이 관(9),(10), 매니홀드(11) 및 덮개체(12)의 내면에 부착할 수 없고, 또 O링(216),(12a)이 열손상을 받지 않도록 하기 때문이다. 또, 히터(14a),(14b)를 관(9),(10), 매니홀드(11) 및 덮개체(12)의 안에 심어도 좋다. 또, 제17도에 가상선으로 나타낸 바와 같이, 온도 콘트롤러(20)에 의하여 냉매공급체의 개폐밸브(230)를 제어할 수 있도록 할 수도 있다.
이와 같이 함으로써, 덮개체(12)가 소망하는 온도이상으로 과열되는 것을 방지할 수가 있다.
이어서, 제19도~제21도를 참조하면서 다른 실시예에 관한 고속열처리 장치에 관하여 설명한다. 또, 이 실시예에서는 상술한 실시예와 같은 부분에 대하여는 설명을 생략한다.
고속열처리 장치에서는, 프로세스 튜브(1)를 약 1000℃로 가열한 후에 약 500℃ 까지 급속냉각하고, 다시 실온(약 25℃)까지 냉각하기 위해서는, 다량의 냉각공기를 공급구(7)로부터 공간(2) 내로 도입한 후에, 배기구(8)로부터 외부로 배출할 필요가 있다. 종래는 이와 같은 강제냉각으로 크린 룸 내의 청정공기를 이용하고 있기 때문에, 공기청정 설비가 대형화한다. 또, 크린한 공기를 배기구로부터 간단하게 공장외부로 배출하기 때문에, 에너지의 낭비가 있었다.
그래서, 본 실시예의 장치에서는, 프로세스 튜브 냉각용의 크린공기를 유효하게 이용하기 위하여, 크린공기의 순환회로를 설치하였다. 에어순환회로는, 덕트(320), 유량 검출기(326), 필터(327), 관(329)으로 구성되어 있다.
덕트(320)는 배기구(8)로부터 유량검출기(326)까지 설치되고, 관(329)은 유량검출기(326)로부터 공급구(7)까지 설치되어 있다. 관(329)으로부터는 분기관(325)이 분기하여 있고, 이 분기관(325)에는 크린에어 공급용의 개폐밸브(324)가 설치되어 있다. 이 개폐밸브(324)의 구동부에는 유량검출기(326)가 접속되어 있다. 개폐밸브(324)가 열리면, 순환회로에 새로운 크린에어가 들어오도록 되어 있다. 또, 공급구(7)에는 셔터(13a)가 설치되며, 배기구(8)에는 셔터(13b)가 설치되어 있다. 또, 덕트(320)에는 열교환기(321)는 온도 콘트롤러(20)에 의하여 제어되도록 되어 있다. 온도 콘트롤러(20)의 입력측에는 열전대(328)가 접속되어 있다. 열전대(328)는, 열교환기(321)의 하류측 덕트(320)의 안에 설치되어 있다.
이어서, 고속열처리로의 동작에 대하여 설명한다.
셔터(13a),(13b)를 닫고, 웨이퍼 보트(5)를 프로세스 튜브(1) 내에 삽입한다.
이어서, 히터(3)에 의하여 프로세스 튜브(1) 내를 500℃ 보다 높은 온도(예를들면 1000℃)로 가열한다. 이어서, 셔터(13a),(13b)를 열어서 공급구(7)와 배기구(8)를 서로 통하게 하고, 팬(15),(16)을 구동시킨다.
이것에 의하여 간격 내에 냉각공기가 도입되고, 프로세스 튜브(1) 및 웨이퍼(W)가 500℃ 까지 강제냉각된다. 이 온도로 일단 유지한다. 배기에어는 열고한기(321)에 의하여 냉각된 후에, 필터(327)에 의하여 불순물이나 먼지 등이 제거되고, 다시 간격(2) 내로 돌아간다.
또, 순환관로(320),(329) 내의 유량이 저하하면, 유량검출기(326)로부터 검출신호가 개폐밸브(324)로 보내지며, 개폐밸브(324)가 열린다. 이것에 의하여 순환관로(320),(329) 내에 보충할 수가 있다.
상기와 같이 하여, 웨이퍼의 온도를 소정 온도로 유지한 상태에서 웨이퍼(W)를 확산처리한다. 이 확산 처리가 종료한 후, 히터전원을 OFF로 하여 프로세스 튜브(1) 내에 질소(N2)퍼지가스를 도입하여 퍼지를 한다. 그리고, 프로세스 튜브(1) 내의 온도가 소정온도(25℃)가지 저하한 후에, 웨이퍼 보트(5)를 하강시켜서 웨이퍼(W)를 꺼낸다.
또, 제20도에 나타낸 바와 같이, 2 계통의 열배기 라인(331),(332)을 2개의 크린 룸(330),(330)의 상호간에 설치하며, 이들의 열배기 라인(331),(332)에 순환관로(320)를 접속하여도 좋다. 열배기 라인(331),(332)은 공장의 배기라인과는 별도로 설치된 것이다.
또, 제21도에 나타낸 바와 같이, 2개의 크린 룸(330),(330) 사이에는 1 계통만의 열배기 라인(333)을 설치하여도 좋다. 이와 같이, 열배기 라인(331),(332),(333)을 사용함으로써, 여러 열처리 장치(1)에 사용되는 냉각공기를 공용할 수가 있다.

Claims (16)

  1. 여러 장의 기판을 신속하고 균일하게 열처리하는 고속 열처리 장치의 온도제어방법으로서, 프로세스 튜브 내에 더미 기판을 여러 가열수단에 의하여 가열하고, 더미기판이 목표온도에 도달할 때까지의 다수개의 영역마다의 기판 승온 패턴과, 가열수단의 발열부가 목표온도에 도달할 때까지의 다수개의 영역마다의 히터승온 패턴과 프로세스 튜브(1)의 분위기가 목표온도에 도달할 때까지의 각 영역내에서 얻어진 내부 분위기 승온패턴을 온도검출 수단에 의하여 각각 미리 검출하여 파악하여 놓고, 처리할 기판을 프로세스 튜브(1)내에 배열 수용하고, 기판을 가열할 때에, 상기 온도검출수단에 의하여 프로세스 튜브내의 각 영역의 온도 및 각 가열수단의 발열부의 온도를 각각 검출하며, 각 영역의 기판의 온도가 목표온도로 도달하여 안정할 때까지의 사이에서, 각 기판의 온도를 신속하고 균일하게 승온하기 위하여 상기 각 검출온도와 상기 기판 승온 패턴 및 히터 승온패턴과, 내부 분위기 승온패턴에 의거하여 상기 각 가열수단을 제어수단에 의하여 제어하는 고속 열처리 장치의 온도제어방법.
  2. 제1항에 있어서, 검출온도 및 기판 승온 패턴에 의거하여 가열수단의 발열부에의 전력공급량을 증대시키고, 신속하게 기판을 목표온도에 도달시키는 고속 열처리 장치의 온도제어방법.
  3. 제1항에 있어서, 3개의 온도검출수단을 프로세스 튜브 내의 기판의 근방에 각각 설치하고, 각 온도검출수단에 의하여 프로세스 튜브 내의 상부,중부,하부의 온도를 각각 검출하며, 어느 한 개의 가열수단의 발열부에의 전력공급량을 다른 부분의 가열수단의 발열부에의 전력공급량보다도 크게하는 고속 열처리 장치의 온도제어방법.
  4. 제1항에 있어서, 송풍수단에 의하여 프로세스 튜브와 바깥면 챔버와의 사이의 공간에 냉각가스를 보냄과 동시에, 가열수단으로 프로세스 튜브의 각 영역이 가열을 제어하며, 기판의 승온속도를 일정하게 제어하는 것을 더욱 포함하는 고속 열처리 장치의 온도제어방법.
  5. 제4항에 있어서, 상기 공간과 송풍수단 사이에서 냉각가스를 순환시키는 가스순환 수단을 가지는 것을 더욱 포함하는 고속 열처리 장치의 온도제어방법.
  6. 제4항에 있어서, 각 영역의 기판 검출온도에 따라서 제어수단에 의하여 송풍수단을 제어하는 고속 열처리 장치의 온도제어방법.
  7. 제1항에 있어서, 가열수단의 발열부는 프로세스 튜브의 외측에 설치되고, 프로세스 튜브를 외측으로부터 가열하는 고속 열처리 장치의 온도제어방법.
  8. 제1항에 있어서, 제어수단은, 가열수단에의 전력공급량을 가변하는 전류가변수단을 가지는 것을 더욱 포함하는 고속 열처리 장치의 온도제어방법.
  9. 비금속재질로 만들어지며, 반도체웨이퍼 보우트가 삽입되는 하부개구를 가지는 프로세스 튜브와, 알루미늄 또는 알루미늄 합금으로 만들어지며, 가스도입부를 가지고, 프로세스 튜브의 상기 하부개구에 밀봉부를 통하여 접속된 기체 밀폐적인 매니홀드 유니트와, 상기 가스 도입구를 통하여 상기 프로세스 튜브내로 가스를 공급하기 위한 공급원과, 프로세스 튜브의 밖으로 가스를 배출하기 위한 배기구와, 매니홀드 유니트를 가열하기 위하여 상기 매니홀드 유니트의 둘레에 배치되는 가열수단과, 매니홀드 유니트의 온도를 측정하고, 매니홀드 유니트의 측정온도에 근거하여 상기 가열수단의 작동을 제어하며, 매니홀드 유니트의 온도를 제어하기 위한 온도제어수단, 및 매니홀드 유니트의 내면을 제어하기 위하여 전해석출법에 의하여 형성된 SiO2층을 포함하여 구성되는 것을 특징으로 하는 고속 열처리장치.
  10. 제9항에 있어서, 상기 반도체웨이퍼의 열처리에 사용되는 처리가스 또는 프로세스 튜브의 내벽상에 부착된 이물질을 제거하기 위한 세정가스는 상기 가스공급원으로부터 상기 매니홀드 유니트의 가스 도입구를 통하여 프로세스 튜브내로 도입되는 것을 특징으로 하는 고속 열처리장치.
  11. 제9항에 있어서, 공급가스로서 할로겐기, 산소가스 및 공기의 금속이온 반응성 가스가 사용되는 고속열처리장치.
  12. 제9항에 있어서, 공급가스는, 질소가스, 아르곤 가스의 비반응성 가스를 사용하는 고속열처리장치.
  13. 제9항에 있어서, 공급가스는, 적어도 발열저항체의 온도가 미리 정해진 온도 이상의 때에 공급하는 고속열처리장치.
  14. 제9항에 있어서, 상기 웨이퍼 보트(5)는, 기판의 바깥 테두리부에 접촉하여 기판을 지지하는 링형상 트레이와, 상기 링형상 트레이의 기판 지지부에, 이 기판의 바깥 테두리부를 따라서 설치된 기판의 상면과 같거나 또는 상면 이상의 높이를 가지는 측벽을 가지는 것을 더욱 포함하는 고속열처리장치.
  15. 제9항에 있어서, 상기 SiO2층은 또한 Al2O3, SiC 및 Si3N4를 포함하는 고속열처리장치.
  16. 비금속재질로 만들어지며, 반도체웨이퍼 보우트가 삽입되는 하부개구를 가지는 프로세스 튜브와, 프로세스 튜브내에서 더미기판을 가열하기 위한 발열부를 가지는 가열수단과, (a) 더미기판이 목표온도에 도달할 때까지의 다수개의 영역마다의 기판 승온 패턴과, (b) 상기 가열수단의 발열부가 목표온도에 도달할 때까지의 영역들내에서 얻어진 히터승온 패턴, 및 (c) 프로세스 튜브의 분위기가 목표온도에 도달할 때가지의 영역들내에서 얻어진 내부 분위기 승온패턴을 검출하고, 기판의 가열에 따라서 가열수단의 발열부의 온도 및 프로세스 튜브내의 영역들 각각의 온도를 검출하기 위한 온도검출수단과, 영역들내의 기판의 온도가 목표온도에 도달할 때까지 각 기판의 온도를 신속하고 균일하게 승온하기 위하여, 상기 각 검출온도와 상기 기판 승온 패턴 및 히터 승온패턴과, 내부 분위기 승온패턴에 의거하여 가열수단들을 제어하기 위한 제어기수단을 포함하여 구성되는 고속열처리장치.
KR1019940013531A 1993-06-15 1994-06-15 고속열처리로의 온도제어방법 및 그 장치 KR100241293B1 (ko)

Applications Claiming Priority (14)

Application Number Priority Date Filing Date Title
JP05168616A JP3111395B2 (ja) 1993-06-15 1993-06-15 熱処理装置
JP93-168616 1993-06-15
JP16861593A JP3177722B2 (ja) 1993-06-15 1993-06-15 高速熱処理炉の温度制御装置
JP93-168615 1993-06-15
JP93-178482 1993-06-28
JP17848293A JP3328853B2 (ja) 1993-06-28 1993-06-28 熱処理装置及び熱処理方法
JP18677293 1993-06-30
JP93-186772 1993-06-30
JP5193996A JPH0729840A (ja) 1993-07-09 1993-07-09 熱処理装置
JP93-193996 1993-07-09
JP93-200059 1993-07-19
JP20005993A JP3156110B2 (ja) 1993-07-19 1993-07-19 熱処理炉の温度制御方法
JP93-250029 1993-09-09
JP25002993A JPH0799163A (ja) 1993-06-30 1993-09-09 バッチ型熱処理装置

Publications (2)

Publication Number Publication Date
KR950001932A KR950001932A (ko) 1995-01-04
KR100241293B1 true KR100241293B1 (ko) 2000-02-01

Family

ID=27566278

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940013531A KR100241293B1 (ko) 1993-06-15 1994-06-15 고속열처리로의 온도제어방법 및 그 장치

Country Status (2)

Country Link
US (1) US5616264A (ko)
KR (1) KR100241293B1 (ko)

Families Citing this family (347)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3242281B2 (ja) * 1995-03-13 2001-12-25 東京エレクトロン株式会社 熱処理装置
US5746591A (en) * 1996-08-15 1998-05-05 Vanguard International Semiconductor Corporation Semiconductor furnace for reducing particulates in a quartz tube and boat
US6005225A (en) * 1997-03-28 1999-12-21 Silicon Valley Group, Inc. Thermal processing apparatus
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
KR19990038729A (ko) * 1997-11-06 1999-06-05 윤종용 웨이퍼의 실제 온도를 측정하는 감지장치를 갖는 종형 확산로
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
WO1999059196A1 (en) 1998-05-11 1999-11-18 Semitool, Inc. Temperature control system for a thermal reactor
JP4551515B2 (ja) * 1998-10-07 2010-09-29 株式会社日立国際電気 半導体製造装置およびその温度制御方法
US6352430B1 (en) * 1998-10-23 2002-03-05 Goodrich Corporation Method and apparatus for cooling a CVI/CVD furnace
US6242720B1 (en) * 1998-12-23 2001-06-05 Carrier Corporation Control for electric water heater
US6639196B1 (en) * 1999-06-04 2003-10-28 Goodrich Corporation Method and apparatus for cooling a CVI/CVD furnace
AU6640500A (en) * 1999-08-13 2001-03-19 Microsoft Corporation Methods and systems of protecting digital content
JP4426024B2 (ja) 1999-09-02 2010-03-03 東京エレクトロン株式会社 熱処理装置の温度校正方法
US6413081B2 (en) 1999-09-17 2002-07-02 Pieter Johannes Quintus Van Voorst Vader Method for purging a furnace and furnace assembly
DE19948606A1 (de) * 1999-10-08 2001-04-12 Seho Systemtechnik Gmbh Verfahren und Vorrichtung zum Temperieren von Bauteilen, z.B. Halbleiterschaltkreisen und dergl.
AU1490301A (en) * 1999-11-15 2001-05-30 Lam Research Corporation Temperature control system for plasma processing apparatus
JP3479020B2 (ja) 2000-01-28 2003-12-15 東京エレクトロン株式会社 熱処理装置
US6495805B2 (en) * 2000-06-30 2002-12-17 Tokyo Electron Limited Method of determining set temperature trajectory for heat treatment system
JP3497450B2 (ja) * 2000-07-06 2004-02-16 東京エレクトロン株式会社 バッチ式熱処理装置及びその制御方法
JP4493192B2 (ja) * 2000-09-13 2010-06-30 東京エレクトロン株式会社 バッチ式熱処理装置及びその制御方法
FR2818359B1 (fr) * 2000-12-15 2004-05-14 Thirode Grandes Cuisines Poligny Dispositif de four et procede de commande d'un four
WO2002071446A2 (en) * 2001-03-02 2002-09-12 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
AT409695B (de) * 2001-05-18 2002-10-25 Akg Acoustics Gmbh Elektrostatisches mikrofon
JP4149687B2 (ja) * 2001-07-19 2008-09-10 シャープ株式会社 熱処理方法
JP4731755B2 (ja) * 2001-07-26 2011-07-27 東京エレクトロン株式会社 移載装置の制御方法および熱処理方法並びに熱処理装置
US6627860B1 (en) * 2001-11-08 2003-09-30 Nat Semiconductor Corp System and method of temperature management for semiconductor material processing
CN100477086C (zh) * 2002-09-27 2009-04-08 东京毅力科创株式会社 热处理方法和热处理装置
FR2847714B1 (fr) * 2002-11-27 2005-02-18 Soitec Silicon On Insulator Procede et dispositif de recuit de tranche de semiconducteur
KR100626386B1 (ko) * 2004-09-20 2006-09-20 삼성전자주식회사 반도체 기판 제조에 사용되는 기판 처리 장치 및 기판처리 방법
US7368303B2 (en) * 2004-10-20 2008-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for temperature control in a rapid thermal processing system
US7112763B2 (en) 2004-10-26 2006-09-26 Applied Materials, Inc. Method and apparatus for low temperature pyrometry useful for thermally processing silicon wafers
WO2008032668A1 (en) * 2006-09-11 2008-03-20 Ulvac, Inc. Vacuum evaporation processing equipment
US20090095422A1 (en) * 2007-09-06 2009-04-16 Hitachi Kokusai Electric Inc. Semiconductor manufacturing apparatus and substrate processing method
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5504793B2 (ja) * 2009-09-26 2014-05-28 東京エレクトロン株式会社 熱処理装置及び冷却方法
US8430963B2 (en) * 2010-01-07 2013-04-30 Primestar Solar, Inc. Cool-down system and method for a vapor deposition system
JP5394360B2 (ja) * 2010-03-10 2014-01-22 東京エレクトロン株式会社 縦型熱処理装置およびその冷却方法
US9513003B2 (en) * 2010-08-16 2016-12-06 Purpose Company Limited Combustion apparatus, method for combustion control, board, combustion control system and water heater
US8950470B2 (en) * 2010-12-30 2015-02-10 Poole Ventura, Inc. Thermal diffusion chamber control device and method
JP5645718B2 (ja) * 2011-03-07 2014-12-24 東京エレクトロン株式会社 熱処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10100402B2 (en) * 2011-10-07 2018-10-16 International Business Machines Corporation Substrate holder for graphene film synthesis
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013141371A1 (ja) 2012-03-22 2013-09-26 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および基板処理方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JPWO2016038664A1 (ja) * 2014-09-08 2017-04-27 三菱電機株式会社 半導体アニール装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) * 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10741426B2 (en) * 2017-09-27 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for controlling temperature of furnace in semiconductor fabrication process
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7122856B2 (ja) * 2018-05-02 2022-08-22 東京エレクトロン株式会社 熱処理装置
KR101930456B1 (ko) * 2018-05-03 2018-12-18 주식회사 유진테크 기판 처리 시스템
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP7055075B2 (ja) * 2018-07-20 2022-04-15 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114709150B (zh) * 2022-03-30 2024-06-11 江苏晟驰微电子有限公司 一种用于高压保护器件制造的镓扩散设备及工艺

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2725081B2 (ja) * 1990-07-05 1998-03-09 富士通株式会社 半導体装置製造用熱処理装置
JP3055797B2 (ja) * 1990-11-17 2000-06-26 東京エレクトロン株式会社 縦型熱処理装置
US5446825A (en) * 1991-04-24 1995-08-29 Texas Instruments Incorporated High performance multi-zone illuminator module for semiconductor wafer processing
JP3174379B2 (ja) * 1992-02-03 2001-06-11 東京エレクトロン株式会社 加熱装置

Also Published As

Publication number Publication date
KR950001932A (ko) 1995-01-04
US5616264A (en) 1997-04-01

Similar Documents

Publication Publication Date Title
KR100241293B1 (ko) 고속열처리로의 온도제어방법 및 그 장치
US6403927B1 (en) Heat-processing apparatus and method of semiconductor process
JP4276813B2 (ja) 熱処理装置および半導体製造方法
US8030599B2 (en) Substrate processing apparatus, heating device, and semiconductor device manufacturing method
KR100910292B1 (ko) 열 처리 장치
JP2003531489A (ja) ウェハーを熱処理する方法および装置
JPH06302523A (ja) 縦型熱処理装置
CN102456596A (zh) 衬底处理装置、衬底处理装置的温度控制方法及衬底处理装置的加热方法
JPH09260364A (ja) 熱処理方法および熱処理装置
WO2005064254A1 (ja) 縦型熱処理装置及びその制御方法
WO2007018142A1 (ja) 基板処理装置、基板の製造方法及び半導体装置の製造方法
US8172950B2 (en) Substrate processing apparatus and semiconductor device producing method
JP4516318B2 (ja) 基板処理装置および半導体装置の製造方法
KR20050083837A (ko) 강제 대류가 지원된 급속 열로
JP2002530847A (ja) 半導体基板を処理する熱処理装置、システム及び方法
JP4282539B2 (ja) 基板処理装置および半導体装置の製造方法
JP2007242850A (ja) 半導体製造装置及び半導体製造方法
JP4247020B2 (ja) 半導体製造装置および半導体装置の製造方法
JP2012054408A (ja) 基板処理装置及び被処理基板の製造方法
JP4516838B2 (ja) 基板処理装置および半導体装置の製造方法
JPH0799164A (ja) 熱処理装置及び熱処理方法
US4956046A (en) Semiconductor substrate treating method
JP3084232B2 (ja) 縦型加熱処理装置
JP2008311587A (ja) 基板処理装置
JP2007066934A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121023

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20131022

Year of fee payment: 15

EXPY Expiration of term