WO2004007105A1 - Appareil et procede de remplissage d'une chambre de traitement de plaquette a semiconducteur - Google Patents

Appareil et procede de remplissage d'une chambre de traitement de plaquette a semiconducteur Download PDF

Info

Publication number
WO2004007105A1
WO2004007105A1 PCT/US2003/021641 US0321641W WO2004007105A1 WO 2004007105 A1 WO2004007105 A1 WO 2004007105A1 US 0321641 W US0321641 W US 0321641W WO 2004007105 A1 WO2004007105 A1 WO 2004007105A1
Authority
WO
WIPO (PCT)
Prior art keywords
process chamber
gas
reaction zone
introducing
semiconductor wafer
Prior art date
Application number
PCT/US2003/021641
Other languages
English (en)
Inventor
Amir Torkaman
Original Assignee
Aviza Technology, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aviza Technology, Inc. filed Critical Aviza Technology, Inc.
Priority to AU2003253873A priority Critical patent/AU2003253873A1/en
Publication of WO2004007105A1 publication Critical patent/WO2004007105A1/fr

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Definitions

  • the present invention relates to semiconductor equipment and processing, and more particularly to apparatus and method for backfilling a semiconductor wafer processing chamber.
  • a variety of apparatus having process chambers are used in the manufacture of integrated circuits ("IC's") on semiconductor wafers.
  • the thermal processing of semiconductor wafers involves such processes as deposition, etching, heat treating, annealing, diffusion, and so forth, all of which are performed in process chambers.
  • Some processes such as etching and chemical vapor deposition (“CVD") are performed in process chambers under low pressure or vacuum conditions.
  • a process chamber is evacuated from an initial pressure to an operating pressure after the wafers are loaded and pushed into the process chamber.
  • the process chamber may initially be at atmospheric pressure for loading wafers, then evacuated to an operational pressure in the milli-torr range.
  • the initial evacuation cycle for a process is sometimes referred to as a "pump down and stabilize" cycle.
  • a "purge and cool” cycle is performed, followed by a “backfill and cool” cycle.
  • the pressure in the process chamber is increased from the operating pressure back to the initial pressure, for example, back to atmospheric pressure, to permit pulling the processed wafers from the process chamber.
  • the purge and backfill cycles are accomplished by injecting an inert gas such as nitrogen into the process chamber, the process chamber being brought to a desired pressure in the backfill cycle.
  • One embodiment of the invention is a semiconductor wafer processing apparatus comprising a process chamber for processing a batch of at least one semiconductor wafer; a gas injector comprising a substantially even annular distribution of gas injection sites for injecting gas into the process chamber about the wafer batch; and a cavity in flow communication with the gas injection sites; and a gas inlet port in flow communication with the cavity.
  • Another embodiment of the invention is a semiconductor wafer processing apparatus comprising a vertical process chamber; an annular and substantially even distribution of gas injection sites in vertical flow communication with the process chamber; a gas flow channel in flow communication with the gas injection sites; and a gas inlet port in flow communication with the gas flow channel.
  • Another embodiment of the invention is a method of backfilling a process chamber of a semiconductor wafer processing apparatus during a backfill cycle, comprising determining a maximal flow momentum which does not generate unacceptable particulate contamination in the process chamber; introducing an inert gas into the process chamber from a plurality of injection sites during the backfill cycle; and supplying the inert gas to the injection sites substantially at the maximal flow momentum throughout the backfill cycle so that pressure in the process chamber increases approximately by a second order polynomial with time.
  • Another embodiment of the invention is a method of backfilling a process chamber of a semiconductor wafer processing apparatus during a backfill cycle, comprising determining a maximal flow velocity which does not generate unacceptable particulate contamination in the process chamber; introducing an inert gas into the process chamber from a plurality of injection sites during the backfill cycle; and supplying the inert gas to the injection sites substantially at the maximal flow velocity throughout the backfill cycle so that pressure in the process chamber increases approximately exponentially with time.
  • Another embodiment of the invention is a method of backfilling a process chamber of a semiconductor wafer processing apparatus during a backfill cycle, comprising determining a maximal Reynolds 's Number which does not generate unacceptable particulate contamination in the process chamber; introducing an inert gas into the process chamber from a plurality of injection sites during the backfill cycle; and supplying the inert gas to the injection sites substantially at the maximal Reynolds' s Number throughout the backfill cycle so that pressure in the process chamber increases approximately linearly with time.
  • Another embodiment of the invention is a method of backfilling a process chamber, comprising determining a maximal flow velocity which does not generate particulate contamination in the process chamber; and introducing an inert gas into the process chamber substantially at the maximal flow velocity during the backfilling.
  • the introducing step comprises controlling mass flow rate through a conduit according to:
  • Another embodiment of the invention is a method of backfilling a process chamber, comprising determining a maximal flow momentum which does not generate particulate contamination in the process chamber; and introducing an inert gas into the process chamber substantially at the maximal flow momentum during the backfilling.
  • the introducing step comprises controlling mass flow rate through a conduit according to:
  • Another embodiment of the invention is a method of backfilling a process chamber, comprising determining a maximal Reynolds 's Number which does not generate particulate contamination in the process chamber; and introducing an inert gas into the process chamber substantially at the maximal Reynolds 's Number during the backfilling.
  • the introducing step comprises controlling mass flow rate through a conduit according to:
  • FIG. 1 is a cross sectional view of an illustrative thermal processing apparatus according to one embodiment of the present invention.
  • FIG. 2 is a perspective view of a plenum useful in the thermal processing apparatus shown in FIG. 1.
  • FIG. 3 is a cross sectional view of the plenum shown in FIG. 2.
  • FIG. 4 is a cross sectional view of an injector embodiment according to one example of the present invention.
  • FIG. 5 is a cross sectional view of another injector embodiment.
  • FIG. 6 is a cross sectional view of another injector embodiment.
  • FIG. 7 is a graph showing a multiple stage backfill pressure trajectory as obtained from a model prediction and experimentally.
  • FIG. 8 is a graph showing flow velocity and Reynold's number curves corresponding to the backfill pressure trajectory illustrated in FIG. 7.
  • FIG. 9 is a graph showing flow momentum curves corresponding to the backfill pressure trajectory illustrated in FIG. 7.
  • FIG. 10 is graph showing optimized backfill pressure trajectories as obtained by model prediction.
  • FIG. 11 is a graph showing optimized backfill flow trajectories corresponding to the backfill pressure trajectories illustrated in FIG. 10.
  • Described herein for use in semiconductor wafer processing apparatus are various novel backfill injectors that provide upflowing gas and have improved uniformity of distribution of gas into the perimeter of the process chamber, as well as suitably optimized backfill trajectories that avoid excessive injection velocity of the purge gas.
  • the backfill injectors and/or other types of injectors as well as the injection trajectories described herein significantly reduce processing cycle times and improve process uniformity.
  • FIG. 1 An illustrative thermal processing apparatus 100 having a generalized plenum 101 is shown in FIG. 1.
  • the thermal processing apparatus 100 has a vertical process chamber 102 enclosed within an outer tube 122 (illustratively a quartz bell jar) and the plenum 101 to which the outer tube 122 is hermetically sealed by suitable seals such as o-rings.
  • the outer tube 122 may be made of any material that is capable of withstanding thermal and mechanical stresses of high temperature and vacuum operation, and resistant to erosion from gas and vapor used or released during processing.
  • the outer tube 122 is made of quartz or silicon carbide.
  • the plenum 101 may be made of any material that is capable of withstanding thermal and mechanical stresses of high temperature and vacuum operation, and resistant to erosion from gas and vapor used or released during processing.
  • the plenum 101 is made of stainless steel or quartz.
  • An entrance is provided at the bottom of the process chamber 102 for conveying a carrier or boat 114 carrying a batch of wafers 116 into and out of the process chamber 102 on a movable pedestal 118. While the batch size may vary from one to about 200 wafers, an illustrative batch size is 25 product wafers, 3 monitor wafers, and 2 baffle wafers.
  • the pedestal 118 is hermetically sealed to the plenum 101 when in a raised position to form a closed process chamber 102.
  • the process chamber 102 includes an inner tube or liner 120 which is opened at the lower end and hermetically sealed to the plenum 101 by seals such as o-rings.
  • the liner 120 is also at least partially opened at its upper end.
  • the boat 114 carrying the wafers 116 is encompassed within the liner 120.
  • An annular passageway 124 is formed between the inner and outer tubes 120 and 122 for exhausting process and purge gases in a downward direction.
  • a plenum 104 having many of the features of the plenum 101 (FIG.
  • the plenum 104 is sized in a short cylindrical form with an outwardly extending upper flange 128, a sidewall 132, and an inwardly extending base 130.
  • the upper flange 128 is adapted to receive and support the outer tube 122, and contains an o-ring 126 to hermetically seal the outer tube 122 to the upper flange 128.
  • the base 130 is adapted to receive and support the liner 120, and includes an injector 106 mounted inside of where the liner 120 is supported.
  • the injector 106 uniformly introduces purge gas into the process chamber 102, and may if desired be used during processing to introduce a process gas into the process chamber 102.
  • the injector 106 is located so as to inject the purge gas into the part of the process chamber 102 between the boat 114 and the inner tube 120.
  • the plenum 104 includes various ports. Ports 138 and 139 are backfill/purge gas inlet ports. Port 134 is an exhaust port for exhausting gas from the reaction chamber 102. The exhaust port 134 is disposed in such a manner as to communicate with the annular passageway 124 formed between the inner and outer tubes 120 and 122, and is connect to a vacuum pipeline and a pump system (not shown). Ports 135 and 136 are provided to circulate cooling fluid in the plenum 104. Port 137 is a pressure port for monitoring pressure within the process chamber 102. Port 131 (mostly hidden by the exhaust port 134) and port 133 are vertical injector gas inlet ports. Port 103 (FIG. 1) is a three point profile thermocouple port.
  • the ports may be designed for a variety of gas flow rates, illustratively the injector ports 131 and 133 and the backfill/purge ports 138 and 139 are each capable of up to 10 standard liters per minute to aid in chamber back fill, chamber purge, and wafer cooling.
  • the backfill/purge ports 138 and 139 are provided at the sidewall 132 of the plenum 104 principally to introduce a gas from a supply (not shown) to the injector 106.
  • a mass flow controller (not shown) or any other suitable flow controller is placed in line between the gas supply and the port 133 to control the gas flow into the injector 106.
  • the injector 106 is useful for introducing a gas in an upflow configuration into the process chamber 102 to purge and cool the process chamber 102 as well as to backfill the process chamber 102 from a process operating pressure to atmospheric pressure.
  • the injector 106 may also be used to introduce a process gas in an up-flow configuration for processing semiconductor wafers, for example, in a CVD process.
  • the injector 106 includes a substantially annular flow channel 140
  • FIG. 3 a ring 143 into which are mounted a number of injector ports 144 substantially evenly distributed atop the flow channel 140.
  • the ring 143 into which are mounted a number of injector ports 144 substantially evenly distributed atop the flow channel 140.
  • a plurality of injector ports 144 is used to provide uniform introduction of gas into the process chamber 102. Any desired number of the injector ports may be used to achieve the intended purpose; for example, eight to twenty injectors are suitable for a thermal processing apparatus designed for processing a batch of about one to 200 semiconductor wafers.
  • the flow channel 140 is provided along the inner periphery 142 in the base 130 and is in flow communication with the backfill/purge gas inlet ports 138 and 139 for delivering gas to the injector ports 144 at an even pressure.
  • Two backfill/purge gas inlet ports are used because the flow channel 140 is not continuous in the area of the exhaust port 134, i.e. it does not extend under the exhaust port 134. If desired, a continuous flow channel may be used.
  • the flow channel 140 has a 13.74 inch inner diameter, a 14.364 inch outer diameter and a 0.5 inch depth.
  • the injector 106 may be made in any of various different ways to achieve the purpose of providing uniform introduction of gas into the process chamber 102.
  • plugs of porous materials such as sintered metals are used instead of the tubular orifices 144.
  • a plurality of openings are substantially evenly spaced atop the flow channel 140, and are of a diameter greater that the width of the flow channel 140 so that the sintered metal plugs can be counter fitted and supported in these openings.
  • the plugs can be either press fitted into the counter openings or welded into the openings.
  • the gas present in the flow channel 140 are injected from the plugs and uniformly introduced into the process chamber 102.
  • FIG. 4 through FIG. 6 Other embodiments using one or more continuous porous rings are shown in FIG. 4 through FIG. 6.
  • a continuous annular opening 150 provided atop the entire annular flow channel 140 is suitable.
  • the continuous opening 150 has a cross section with a greater width than that of the flow channel 140 so that the continuous ring or rings fits within and is supported within the opening 150.
  • the process or purge gas is injected from the continuous ring or rings and uniformly introduced into the process chamber 102.
  • the porous ring 145 is a single continuous ring made of sintered metal.
  • 2 micron grade sintered metal may be used with a width of 0.25 inches and a height of 0.5 inches.
  • the porous ring 146 is a continuous sintered metal ring welded onto a metal ring 147 provided with holes (not shown).
  • the ring 147 fits into and is supported within the opening 150, and gas flows from the flow channel through the holes in the ring 147 and into the sintered metal ring 146, which further disperses the gas.
  • the porous ring 149 is a continuous sintered metal ring welded onto opposing metal rings 148 and 151. Ring 151 is provided with holes (not shown) like metal ring 147, while ring 148 is continuous metal.
  • the ring 151 fits into and is supported within the opening 150, and gas flows from the flow channel through the holes in the ring 151 and into the sintered metal ring 149, which further disperses the gas.
  • the dispersed gas is constrained to exit the sintered metal ring 149 in a sidewise manner by the blocking presence of metal ring, but the gas thereafter turns upward to provide upflowing gas from the plenum 104 into the process chamber 102.
  • a single metal ring may be provided with a number of holes of a size selected to provide uniform introduction of gas into the process chamber 102.
  • the perforated ring is welded to the plenum 104. Any desired number of holes of any desired size may be used provided the intended purpose is achieved; illustratively, 11 holes each 0.015 inches in diameter may be placed in the ring.
  • Injector ports 131 and 133 are in flow communication respectively with two vertical injector tubes, one of which is shown in FIG. 1 by the reference numeral 156.
  • the vertical injector tube 156 is disposed between the boat 114 and the imier tube or liner 120, and is made of any suitable material such as quartz and is provided with many small holes 158 for uniformly distributing a process gas preferably in a cross flow configuration horizontally across the wafers 116 as described more fully in PCT Patent Application Serial No. TBD entitled "Thermal Processing System and Configurable Vertical Chamber,” which was filed on even date herewith under Attorney Docket No. FP-71748-PC, and which is incorporated herein in its entirety by reference thereto.
  • the injector ports 131 and 133 may also be used to supply a backfill/purge gas to the vertical injectors either in lieu of or to supplement the injection of backfill/purge gas from the injector ports 144.
  • a backfill/purge gas may be supplied to the vertical injectors either in lieu of or to supplement the injection of backfill/purge gas from the injector ports 144.
  • two vertical injector tubes are envisioned by the plenum 104, only one vertical injector or more that two vertical injectors may be used if desired.
  • the thermal processing apparatus 100 may also include heating elements 160 positioned proximately to the top, side and lower portion of the process chamber 102.
  • the heating elements 160 provides a good isothe ⁇ nal reaction zone for processing the wafers 116.
  • the arrangement of the heating elements 160 also maximizes the view factor of the wafers 116 since the heating elements 160 are disposed at the surface of the thermal insulation 162 and pedestal 118 outside of the process chamber 102.
  • An inverted quartz crucible 164 is provided to house the heating elements 160 embedded in the pedestal 118 to reduce or eliminate contamination.
  • the isothermal reaction zone is described more fully in PCT Patent Application Serial No. TBD entitled "Thermal Processing System and Configurable Vertical Chamber," which was filed on even date herewith under Attorney Docket No. FP-71748-PC, and which is incorporated herein in its entirety by reference thereto.
  • the thermal processing apparatus 100 may further include one or more optical or electrical temperature sensing elements 166 disposed between the imier and outer tubes 120 and 122 for monitoring the temperature within the process chamber 102 and/or controlling operation of the heating elements 160.
  • the temperature sensing elements 166 can be a resistance temperature device ("RTD”) or profile thermal couple (“T/C”) having multiple independent temperature sensing nodes or points for detecting the temperature at multiple locations within the process chamber 102.
  • a method of fast backfilling a process chamber without generating unacceptable particulate contamination is now described. Once a process such as etching or CVD deposition is completed, the pressure of the process chamber is adjusted to the ambient pressure so that the processed wafers may be pushed from the chamber, or to an intermediate pressure for further treatment. It is desirable to have the backfill process complete as quickly as possible in order to achieve minimum overall cycle times during the manufacturing process, although the manner of introducing the purge gas and the velocity of the purge gas should not be so great as to disturb particles and thereby generate unacceptable levels of particulate contamination. Some backfill processes take as much as 25 minutes or more, and an example of such a process is described below. By comparison, a fast backfill process is described below that brings the process chamber from about 200 millitorr to about 760 torr in less than about 8 minutes.
  • particulate contamination typically results mainly from three areas wherein films or particles are most likely to be deposited or formed in known types of thermal wafer processing apparatus during processing.
  • the first area is where gas is injected into the chamber, which in some types of thermal wafer processing apparatus known in the art is through a single nozzle.
  • the problem in this area is significantly reduced by distributing the injection of purge gas into the process chamber 102 over many injection sites, as described above for various embodiments. This approach allows for a greater total flow rate without having an excessive flow rate from any one of the distributed sites of gas injection.
  • the second area is at the plenum where the purge gas flow inside the plenum of some types of thermal wafer processing apparatus l ⁇ iown in the art has a significant horizontal component.
  • the problem in this area also is significantly reduced by distributing the injection of purge gas into the process chamber 102 so that gas flow is primarily in an upflow direction, as described above for various embodiments.
  • the third area is in the process chamber itself where the purge gas flows vertically at the plenum, between the wafers and the liner wall, and between the wafers.
  • Re is the Reynold's Number
  • p fluid density
  • V flow velocity
  • 1 critical length
  • flow viscosity.
  • flow velocity or “V” refers to the average speed at which an effluent stream travels through the cross sectional area of a duct, and is typically measured in meters per second (m/s) or feet per minute (fpm).
  • flow momentum is defined as the product of the mass flow rate and the flow velocity.
  • the pressure of the chamber is related to the temperature and gas flow rate according to the following equation:
  • dP/dt RT/ ⁇ - m (2) wherein m is equal to dm/dt.
  • the mass flow rate of a purge gas also is related to the velocity of the gas flow, pressure and temperature of the chamber according to the following equation:
  • A is the area of a cross section
  • V is the velocity of the gas flow through that cross section
  • p is the density that is related to the pressure and temperature according to the following equation:
  • P 0 is the initial pressure of the chamber
  • R, T, N, m and t are defined as above.
  • the initial pressure is in a milli-torr range for a CND process.
  • the entire backfill process may be divided into one or more stages, and the mass flow rate can be varied between stages but is constant within each of the stages.
  • FIG. 7 shows a backfill pressure trajectory according to this model, along with experimental data from the known type of thermal wafer processing apparatus.
  • the entire purge process is carried out in three stages to backfill the process chamber from vacuum to the atmospheric pressure.
  • the flow rate is controlled substantially constant at about 1.175L/min.
  • the Reynolds number at the nozzle is about 59, at the plenum about 5.1, and within the chamber about 1.8.
  • the pressure of the chamber linearly increases from 0 to about 100 torr.
  • the mass flow rate is controlled substantially constant at about 8.175L/min.
  • the Reynolds number at the nozzle is about 409, at the plenum about 35.6, and within the chamber about 12.50.
  • the pressure of the chamber linearly increases from about 100 to about 750 torr in ten minutes.
  • the mass flow rate is controlled substantially constantly at about 0.615L/min.
  • the Reynolds number at the nozzle is about 33, at the plenum about 3, and within the chamber about 1.0. The pressure linearly increases from about 750 to 760 torr.
  • FIG. 8 shows flow velocity curves and FIG. 9 shows flow momentum curves during the backfill process according to this first model in which the mass flow rate is kept constant within each stage but is varied from stage to stage.
  • curve 800 represents the plenum velocity
  • curve 802 represents the nozzle velocity
  • curve 804 represents the chamber velocity
  • curve 900 represents the plenum velocity
  • curve 902 represents the nozzle velocity
  • curve 904 represents the chamber velocity. Both the flow velocity and flow momentum drop exponentially during each of the three stages.
  • mass flow rate is maintained constant by controllably varying the mass flow rate through a controller disposed between a gas supply and the purge gas injector(s).
  • mass flow rate may be expressed by the following equation:
  • the maximal flow velocity is defined as a velocity above which particulate contamination will be generated. It is desirably to optimized the backfill process by using maximum flow velocity to achieve minimum overall cycle time for the manufacturing process without generating particulate contamination.
  • the maximal flow velocity is determined experimentally using routine techniques.
  • the mass flow controller may be realized with a microprocessor and programmable memory that is programmable to achieve a desired operation mode for the controller.
  • the mass flow controller is programmed according to equation (7), i.e., the mass flow rate increases exponentially with time.
  • the maximal flow velocity is maintained constant during the entire backfill process, and the chamber pressure increases exponentially with time according to equation (6).
  • FIG. 10 shows a backfill chamber pressure trajectory curve 1000 according to the second model where the flow velocity is assumed constant during the entire backfill process.
  • the flow velocity is assumed constant during the entire backfill process.
  • the very fast vent up of the chamber It takes only about six minutes to backfill the chamber from near 0 to about 760 torr.
  • the second model may also be used to generate an optimized backfill pressure trajectory for the wafer processing apparatus, including the thermal wafer processing apparatus shown in FIG. 1 and FIG. 2, using an assumption that the purge gas is introduced into the chamber at a substantially constant flow momentum. Derived from the ideal gas law, when the flow momentum is kept constant during the backfill process, the change in pressure of the chamber follows the following equation:
  • mass flow rate is maintained constant by controllably varying the mass flow rate through a controller disposed between a gas supply and "the purge gas injector(s).
  • mass flow rate may be expressed by the following equation:
  • the maximal flow momentum can be determined experimentally using routine techniques. Once the value of the maximal flow momentum is determined, the mass flow controller is programmed according to equation (9), i.e., the mass flow rate increase linearly with time. When the mass flow controller operates according to the desired mode, the flow momentum is maintained constant during the entire backfill process, and the chamber pressure increases by a second order polynomial with time according to equation (8).
  • FIG. 10 also shows a backfill chamber pressure trajectory curve 1002 according to this model where the flow momentum is assumed constant during the entire backfill process.
  • the fast vent up of the chamber It takes only about eight minutes to backfill the chamber from 0 to about 760 torr.
  • the mass flow controller is programmed accordingly.
  • the mass flow controller operates according to the backfill chamber pressure trajectory curve 1002, i.e. is programmed substantially according to equation (9)
  • the flow momentum is maintained constant during the entire backfill process, and the chamber pressure increases approximately by the second order polynomial with time according to equation (8).
  • the mass flow controller may be operated approximately according to the backfill chamber pressure trajectory curve 1000, i.e. is programmed substantially according to equation (7), whereby the maximal flow velocity is maintained constant during the entire backfill process, and the chamber pressure increases approximately exponentially with time according to equation (6).
  • FIG. 10 also shows a suggested optimal backfill chamber pressure trajectory curve 1004 according to this model for a constant flow momentum.
  • the chamber Reynolds Number for the suggested optimal backfill chamber pressure trajectory curve 1004 is 30.
  • the chamber Reynolds Number for the backfill chamber pressure trajectory curve 1006, which corresponds to the curve of FIG. 7, is 12.
  • the suggested optimal backfill chamber pressure trajectory curve 1004 is somewhat conservative, being just slightly to the right of the backfill chamber pressure trajectory curve 1002 and much more to the right of the backfill chamber pressure trajectory curve 1000. Operation at the suggested optimal backfill chamber pressure trajectory curve 1004 is a very good compromise of high speed and low particulate contamination. However, one may operate exactly at the backfill chamber pressure trajectory curve 1002 or even up to the backfill chamber pressure trajectory curve 1000 if desired.
  • FIG. 11 plots the corresponding mass flow rates of the optimal pressure curves presented in FIG 10.
  • the mass flow controller would be programmed according to these charts to achieve the optimal backfill chamber pressure trajectories.
  • the exponential curve 1008 corresponds to curve 1000 of FIG. 10 and equation (7), which assumes a constant flow velocity throughout the backfill cycle.
  • the linear curve 1010 corresponds to curve 1002 and equation (9), which assumes a constant flow momentum throughout the backfill cycle.
  • the curve shown in solid lines 1012 corresponds to the suggested optimized backfill pressure trajectory curve 1004.
  • the curve 1004 is more conservative than 1000 and 1002, and it assumes a constant mass flow rate at different times throughout the cycle which is achieved by simply changing the set-point of a mass flow controller without any programming.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Furnace Details (AREA)
  • Resistance Heating (AREA)
  • Control By Computers (AREA)
  • Control Of Non-Electrical Variables (AREA)
  • Control Of Fluid Pressure (AREA)
  • Physical Vapour Deposition (AREA)
  • Control Of Resistance Heating (AREA)
  • Muffle Furnaces And Rotary Kilns (AREA)

Abstract

L'invention concerne divers injecteurs de remplissage fournissant un gaz ascendant et possédant une uniformité de répartition du gaz amélioré dans le périmètre de la chambre de traitement, ces injecteurs étant utilisés dans un appareil de traitement de plaquette à semiconducteur. Des trajectoires de remplissage optimisées de façon appropriée empêchant une vitesse d'injection excessive du gaz de purge. Les injecteurs de remplissage et/ou d'autres types d'injecteur, ainsi que les trajectoires d'injection optimisées permettent de réduire de façon significative le temps du cycle de traitement et améliorent l'uniformité de traitement.
PCT/US2003/021641 2002-07-15 2003-07-10 Appareil et procede de remplissage d'une chambre de traitement de plaquette a semiconducteur WO2004007105A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003253873A AU2003253873A1 (en) 2002-07-15 2003-07-10 Apparatus and method for backfilling a semiconductor wafer process chamber

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US39653602P 2002-07-15 2002-07-15
US60/396,536 2002-07-15
US42852602P 2002-11-22 2002-11-22
US60/428,526 2002-11-22

Publications (1)

Publication Number Publication Date
WO2004007105A1 true WO2004007105A1 (fr) 2004-01-22

Family

ID=30118590

Family Applications (9)

Application Number Title Priority Date Filing Date
PCT/US2003/021641 WO2004007105A1 (fr) 2002-07-15 2003-07-10 Appareil et procede de remplissage d'une chambre de traitement de plaquette a semiconducteur
PCT/US2003/021644 WO2004007800A1 (fr) 2002-07-15 2003-07-10 Appareil de traitement thermique et procede d'evacuation d'une chambre de traitement
PCT/US2003/021575 WO2004008491A2 (fr) 2002-07-15 2003-07-10 Systeme de traitement thermique et chambre verticale configurable
PCT/US2003/021647 WO2004008494A2 (fr) 2002-07-15 2003-07-10 Systeme et procede de commande de servomoteurs dans un environnement de fabrication de semi-conducteurs
PCT/US2003/021646 WO2004008008A2 (fr) 2002-07-15 2003-07-10 Commande d'un environnement gazeux dans une chambre de chargement de tranches
PCT/US2003/021642 WO2004008493A2 (fr) 2002-07-15 2003-07-10 Procede et appareil destines a supporter des plaquettes a semiconducteur
PCT/US2003/021648 WO2004008054A1 (fr) 2002-07-15 2003-07-10 Element chauffant variable destine a des gammes de temperatures basses a elevees
PCT/US2003/021645 WO2004008052A2 (fr) 2002-07-15 2003-07-10 Systeme et procede de refroidissement d'un appareil de traitement thermique
PCT/US2003/021973 WO2004007318A2 (fr) 2002-07-15 2003-07-15 Appareil de port de chargement et son procede d'utilisation

Family Applications After (8)

Application Number Title Priority Date Filing Date
PCT/US2003/021644 WO2004007800A1 (fr) 2002-07-15 2003-07-10 Appareil de traitement thermique et procede d'evacuation d'une chambre de traitement
PCT/US2003/021575 WO2004008491A2 (fr) 2002-07-15 2003-07-10 Systeme de traitement thermique et chambre verticale configurable
PCT/US2003/021647 WO2004008494A2 (fr) 2002-07-15 2003-07-10 Systeme et procede de commande de servomoteurs dans un environnement de fabrication de semi-conducteurs
PCT/US2003/021646 WO2004008008A2 (fr) 2002-07-15 2003-07-10 Commande d'un environnement gazeux dans une chambre de chargement de tranches
PCT/US2003/021642 WO2004008493A2 (fr) 2002-07-15 2003-07-10 Procede et appareil destines a supporter des plaquettes a semiconducteur
PCT/US2003/021648 WO2004008054A1 (fr) 2002-07-15 2003-07-10 Element chauffant variable destine a des gammes de temperatures basses a elevees
PCT/US2003/021645 WO2004008052A2 (fr) 2002-07-15 2003-07-10 Systeme et procede de refroidissement d'un appareil de traitement thermique
PCT/US2003/021973 WO2004007318A2 (fr) 2002-07-15 2003-07-15 Appareil de port de chargement et son procede d'utilisation

Country Status (6)

Country Link
EP (2) EP1522090A4 (fr)
JP (2) JP2005533378A (fr)
CN (1) CN1643322A (fr)
AU (9) AU2003253873A1 (fr)
TW (9) TW200416775A (fr)
WO (9) WO2004007105A1 (fr)

Families Citing this family (350)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
NL1030360C2 (nl) * 2005-11-07 2007-05-08 Holding Mij Wilro B V Oven en werkwijze voor de productie van fotovoltaïsche zonnecellen gebruikmakend van een diffusieproces.
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
WO2007099387A1 (fr) 2006-03-03 2007-09-07 Mymetics Corporation Vésicules de type virosome comprenant des antigènes dérivés de gp41
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
DE102007058053B4 (de) * 2007-11-30 2009-10-15 Von Ardenne Anlagentechnik Gmbh Diffusionsofen und Verfahren zur Erzeugung einer Gasströmung
US9157150B2 (en) * 2007-12-04 2015-10-13 Cypress Semiconductor Corporation Method of operating a processing chamber used in forming electronic devices
JP4885901B2 (ja) * 2008-03-31 2012-02-29 株式会社山武 流量制御システム
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8136618B2 (en) 2009-01-21 2012-03-20 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5794497B2 (ja) * 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 連結システム
KR101877494B1 (ko) * 2010-12-24 2018-07-13 엘지이노텍 주식회사 진공 열처리 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9018567B2 (en) 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9147584B2 (en) * 2011-11-16 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Rotating curing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101440307B1 (ko) * 2012-09-17 2014-09-18 주식회사 유진테크 기판처리장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10177014B2 (en) * 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014151475A1 (fr) * 2013-03-15 2014-09-25 Watkins Bobby G Ii Commande de débit et procédé de gazométrie
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN105940481A (zh) * 2014-01-27 2016-09-14 应用材料公司 高速epi系统和腔室构思
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI642137B (zh) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
JP6143964B1 (ja) * 2016-01-25 2017-06-07 三菱電機株式会社 制御装置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
FR3057391B1 (fr) * 2016-10-11 2019-03-29 Soitec Equipement de traitement thermique avec dispositif collecteur
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102127130B1 (ko) * 2017-02-17 2020-06-26 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP7158133B2 (ja) 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI629441B (zh) 2017-07-07 2018-07-11 寶成工業股份有限公司 Smart oven
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
SG11202003438QA (en) * 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7206678B2 (ja) * 2018-07-30 2023-01-18 Tdk株式会社 ロードポート装置、半導体製造装置及びポッド内雰囲気の制御方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7203588B2 (ja) * 2018-12-17 2023-01-13 東京エレクトロン株式会社 熱処理装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11407000B2 (en) 2019-09-23 2022-08-09 S. C. Johnson & Son, Inc. Volatile material dispenser
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
GB2610156A (en) * 2021-04-29 2023-03-01 Edwards Ltd Semiconductor processing system
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy SUBSTRATE PROCESSING APPARATUS AND METHOD
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102444786B1 (ko) * 2021-12-23 2022-09-19 주식회사 에이치피에스피 냉각 효율을 향상시키는 고압챔버
CN114990299B (zh) * 2022-08-01 2022-10-04 兴化市天泰合金制品科技有限公司 一种球墨铸铁合金制备用热处理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6193811B1 (en) * 1999-03-03 2001-02-27 Applied Materials, Inc. Method for improved chamber bake-out and cool-down
US6361618B1 (en) * 1994-07-20 2002-03-26 Applied Materials, Inc. Methods and apparatus for forming and maintaining high vacuum environments

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
EP0164928A3 (fr) * 1984-06-04 1987-07-29 Texas Instruments Incorporated Réacteur vertical à parois chaudes pour dépôt chimique à partir de la phase vapeur
JPS61191015A (ja) * 1985-02-20 1986-08-25 Hitachi Ltd 半導体の気相成長方法及びその装置
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
JPH088220B2 (ja) * 1988-09-05 1996-01-29 株式会社日立製作所 半導体ウェハの熱処理装置、及び熱処理方法
US5001327A (en) * 1987-09-11 1991-03-19 Hitachi, Ltd. Apparatus and method for performing heat treatment on semiconductor wafers
US4992301A (en) * 1987-09-22 1991-02-12 Nec Corporation Chemical vapor deposition apparatus for obtaining high quality epitaxial layer with uniform film thickness
US4787844A (en) * 1987-12-02 1988-11-29 Gas Research Institute Seal arrangement for high temperature furnace applications
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
JP2654996B2 (ja) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 縦型熱処理装置
JPH02130943A (ja) * 1988-11-11 1990-05-18 Tel Sagami Ltd 収容治具
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
DE3906075A1 (de) * 1989-02-27 1990-08-30 Soehlbrand Heinrich Dr Dipl Ch Verfahren zur thermischen behandlung von halbleitermaterialien und vorrichtung zur durchfuehrung desselben
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5127365A (en) * 1990-02-27 1992-07-07 Kabushiki Kaisha Toshiba Vertical heat-treatment apparatus for semiconductor parts
JP2819073B2 (ja) * 1991-04-25 1998-10-30 東京エレクトロン株式会社 ドープド薄膜の成膜方法
JP3040212B2 (ja) * 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
DE69221152T2 (de) * 1992-05-15 1998-02-19 Shinetsu Quartz Prod Vertikale wärmebehandlungsvorrichtung und wärmeisolationsmaterial
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JP3024449B2 (ja) * 1993-07-24 2000-03-21 ヤマハ株式会社 縦型熱処理炉及び熱処理方法
US5706627A (en) * 1994-02-02 1998-01-13 Tetra Laval Holdings & Finance, S.A. Control system for a packaging machine
JPH088194A (ja) * 1994-06-16 1996-01-12 Kishimoto Sangyo Kk 気相成長機構および熱処理機構における加熱装置
US5724786A (en) * 1994-09-28 1998-03-10 Tetra Laval Holdings & Finance S.A. Control system having error correcting apparatus
JP2732224B2 (ja) * 1994-09-30 1998-03-25 信越半導体株式会社 ウエーハ支持ボート
JPH08213446A (ja) * 1994-12-08 1996-08-20 Tokyo Electron Ltd 処理装置
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
US5871588A (en) * 1995-07-10 1999-02-16 Cvc, Inc. Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
JP3471144B2 (ja) * 1995-09-06 2003-11-25 東京エレクトロン株式会社 縦型熱処理装置及びその断熱構造体並びに遮熱板
JP3423131B2 (ja) * 1995-11-20 2003-07-07 東京エレクトロン株式会社 熱処理装置及び処理装置
JPH09306980A (ja) * 1996-05-17 1997-11-28 Asahi Glass Co Ltd 縦型ウエハボート
US20010052359A1 (en) * 1997-02-21 2001-12-20 Masayoshi Ikeda Method of substrate temperature control and method of assessing substrate temperature controllability
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
US5826406A (en) * 1997-05-01 1998-10-27 Tetra Laval Holdings & Finance, S.A. Servo-controlled conveyor system for carrying liquid filled containers
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
WO1999036587A1 (fr) * 1998-01-15 1999-07-22 Torrex Equipment Corporation Dispositif et procede de traitement vertical active par plasma
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
EP1121245B1 (fr) * 1998-06-18 2008-12-24 Kline & Walker L.L.C. Dispositifs automatiques de commande a distance de machines et materiels de commande, utilisables mondialement
JP3487497B2 (ja) * 1998-06-24 2004-01-19 岩手東芝エレクトロニクス株式会社 被処理体収容治具及びこれを用いた熱処理装置
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
US6140833A (en) * 1998-11-16 2000-10-31 Siemens Aktiengesellschaft In-situ measurement method and apparatus for semiconductor processing
US6449428B2 (en) * 1998-12-11 2002-09-10 Mattson Technology Corp. Gas driven rotating susceptor for rapid thermal processing (RTP) system
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
JP2000311862A (ja) * 1999-04-28 2000-11-07 Kokusai Electric Co Ltd 基板処理装置
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
US6391163B1 (en) * 1999-09-27 2002-05-21 Applied Materials, Inc. Method of enhancing hardness of sputter deposited copper films
JP3404674B2 (ja) * 2000-01-21 2003-05-12 株式会社真空技研 超高温熱処理装置
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP2002083780A (ja) * 2000-09-05 2002-03-22 Hitachi Kokusai Electric Inc 半導体製造装置
US6589350B1 (en) * 2000-09-08 2003-07-08 Advanced Micro Devices, Inc. Vacuum processing chamber with controlled gas supply valve
US20030082031A1 (en) * 2001-10-30 2003-05-01 Olivier Vatel Wafer handling device and method for testing wafers
JP4873820B2 (ja) * 2002-04-01 2012-02-08 株式会社エフティーエル 半導体装置の製造装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6361618B1 (en) * 1994-07-20 2002-03-26 Applied Materials, Inc. Methods and apparatus for forming and maintaining high vacuum environments
US6193811B1 (en) * 1999-03-03 2001-02-27 Applied Materials, Inc. Method for improved chamber bake-out and cool-down

Also Published As

Publication number Publication date
AU2003249029A1 (en) 2004-02-02
WO2004007800A9 (fr) 2005-01-13
TW200419890A (en) 2004-10-01
AU2003253907A8 (en) 2004-02-02
WO2004008008A3 (fr) 2004-12-16
TW200406818A (en) 2004-05-01
AU2003253873A1 (en) 2004-02-02
AU2003253874A1 (en) 2004-02-02
TW200416773A (en) 2004-09-01
EP1522090A4 (fr) 2006-04-05
TW200416775A (en) 2004-09-01
WO2004008054A1 (fr) 2004-01-22
AU2003249029A8 (en) 2004-02-02
WO2004008494A2 (fr) 2004-01-22
WO2004007800A1 (fr) 2004-01-22
WO2004008494A3 (fr) 2005-04-21
AU2003249030A8 (en) 2004-02-02
WO2004008491A2 (fr) 2004-01-22
JP2005533232A (ja) 2005-11-04
EP1522090A2 (fr) 2005-04-13
AU2003256487A1 (en) 2004-02-02
AU2003256486A1 (en) 2004-02-02
WO2004007318A2 (fr) 2004-01-22
TW200411960A (en) 2004-07-01
TW200409176A (en) 2004-06-01
AU2003253874A8 (en) 2004-02-02
AU2003253907A1 (en) 2004-02-02
WO2004008054A9 (fr) 2005-01-13
WO2004008052A2 (fr) 2004-01-22
AU2003259104A1 (en) 2004-02-02
JP2005533378A (ja) 2005-11-04
WO2004008052A3 (fr) 2004-05-13
AU2003249030A1 (en) 2004-02-02
TW200405401A (en) 2004-04-01
CN1643322A (zh) 2005-07-20
AU2003249028A1 (en) 2004-02-02
TW200416774A (en) 2004-09-01
WO2004008491A3 (fr) 2004-06-03
TW200411717A (en) 2004-07-01
AU2003259104A8 (en) 2004-02-02
AU2003256486A8 (en) 2004-02-02
WO2004008493A3 (fr) 2004-05-27
EP1540258A1 (fr) 2005-06-15
WO2004008493A2 (fr) 2004-01-22
WO2004008008A2 (fr) 2004-01-22
WO2004008493A9 (fr) 2004-07-22
WO2004007318A3 (fr) 2004-08-05

Similar Documents

Publication Publication Date Title
WO2004007105A1 (fr) Appareil et procede de remplissage d'une chambre de traitement de plaquette a semiconducteur
US6113984A (en) Gas injection system for CVD reactors
KR100993028B1 (ko) 가스 분사 및 배출을 위한 대향 포켓을 구비하는 반응 챔버
JP5735304B2 (ja) 基板処理装置、基板の製造方法、半導体デバイスの製造方法およびガス供給管
CN102653883B (zh) 衬底处理装置及衬底的制造方法
KR100302609B1 (ko) 온도가변 가스 분사 장치
US5458685A (en) Vertical heat treatment apparatus
US20100154711A1 (en) Substrate processing apparatus
US7462246B2 (en) Modified susceptor for barrel reactor
KR20090010230A (ko) 확산기 플레이트 및 분사기 조립체를 구비하는 배치 처리 챔버
JP5619164B2 (ja) Cvd方法およびcvd反応炉
US9212422B2 (en) CVD reactor with gas flow virtual walls
KR20150002543A (ko) 가스 시일을 갖는 화학적 증착 챔버
KR20020031384A (ko) 반도체 웨이퍼에 질화 실리콘층을 형성하는 방법
EP0823491B1 (fr) Système d'injection de gaz pour réacteurs CVD
WO2001004937A2 (fr) Procede et dispositif de conduite des constituants dans une chambre de traitement
JP2012023073A (ja) 基板処理装置および基板の製造方法
KR20230151975A (ko) 가스 시일링을 갖는 화학적 증착 챔버
WO2012120991A1 (fr) Appareil de traitement de substrat et procédé de fabrication de substrat
US6194030B1 (en) Chemical vapor deposition velocity control apparatus
JP7467506B2 (ja) 多孔質インレット
JP2004273605A (ja) 基板処理装置
KR100369859B1 (ko) 원자층 증착 장치
KR101395222B1 (ko) 기판 처리 장치 및 방법
CN219297639U (zh) 进气装置以及等离子体设备

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP