TWI506721B - 處理室之開口的間隙維持 - Google Patents

處理室之開口的間隙維持 Download PDF

Info

Publication number
TWI506721B
TWI506721B TW098142134A TW98142134A TWI506721B TW I506721 B TWI506721 B TW I506721B TW 098142134 A TW098142134 A TW 098142134A TW 98142134 A TW98142134 A TW 98142134A TW I506721 B TWI506721 B TW I506721B
Authority
TW
Taiwan
Prior art keywords
substrate
workpiece
semiconductor
processing
gap
Prior art date
Application number
TW098142134A
Other languages
English (en)
Other versions
TW201030892A (en
Inventor
Carl L White
Eric Shero
Joe Reed
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Publication of TW201030892A publication Critical patent/TW201030892A/zh
Application granted granted Critical
Publication of TWI506721B publication Critical patent/TWI506721B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Description

處理室之開口的間隙維持
本發明是有關於一種操作(handling)與處理半導體基底的系統與方法,且特別是有關於用來製作(fabrication)薄膜的反應器(reactor)。
在電晶體、二極體與積體電路等半導體元件(semiconductor device)的製程裡,多數的這類元件典型地同時被製作於半導體材料的薄片上,該薄片被稱為基底、晶圓或是工件(workpiece)。當製造這類半導體元件時,會希望工件不會變得被微粒污染,而這樣的污染可能會造成元件失效。於是,處理工件所位於的反應器典型地會被密封,以避免來自反應空間外的污染進入反應空間,且避免反應物與反應副產物漏到反應空間之外。
在一實施例中,提出一種半導體處理裝置,包括:反應室、可移動的基座(susceptor)、移動件以及控制系統。反應室包括基板(baseplate)、且該基板具有一開口。可移動的基座設置成保持該工件。移動件設置成將被保持於基座上的工件朝基板的開口移動。控制系統設置成在處理位於反應室中的工件的期間,藉由非密封的一間隙將基座從基板分隔開。
在一實施例中,還提出一種半導體工件的處理方法,包括:加載一半導體工件至可移動的一基座上;朝一反應 室中的一基板中的一開口而移動基座;當基座位於一處理位置時,停止基座的移動,其中基座位於處理位置時,該基座藉由未密封的一間隙而從基板分隔開;以及,於處理位置處理工件、且同時維持間隙。
為了總結本發明的目的以及達成有別於先前技術的優點,本發明的目的與優點已在上文描述。當然,需注意的是,並非所有的目的與優點均由本發明的任一特定實施例來達成。因此,例如,本領域技術人員將會認識到以此方式加以實施或執行,藉以達成或最佳化一個或一組由本發明教示的優點,而不需要達成本發明所教示的其他優點。
這些實施例都是預期在本發明的範圍下於此揭露。對於本領域技術人員來說,根據以下參考附圖的某個實施例的詳細說明,這些和其他的實施例將會是明顯易懂,本發明將不限於所揭露之任何特定的實施例。
雖然以下所揭露的是某些實施例與範例,但本領域技術人員仍能了解本發明的特定實施例的延伸、及/或本發明的使用、明顯的修改與等效物。因此,可預期的,在此揭露本發明的範圍不應受到以下描述的特定實施例所限制。
圖1示意地繪示包括一反應室102的一半導體處理裝置100的一範例實施例。反應室102包括一入口104與一出口106。反應物與清洗氣體等氣體經由入口104流入腔室102(chamber),而過量的反應物、反應副產物與清洗氣體等氣體經由出口106流出腔室102。腔室102包括一 基板112,此基板112具有一開口150。此裝置100更包括一基座108(susceptor),配置成受到一移動件110的操作而移動。基座108配置成用以保持一半導體工件W。移動件110設置成用以移動基座108,且於是一工件W配置於基座108上、朝向基板112的開口150,以在腔室102內部與腔室102外部之間提供一密封,從而在腔室102裡形成一處理區。
在實施例中,基座108與基板112包括:加工金屬,由於在基座108與基板112的區域有不完全平整及/或不完全平行的表面而在基座108與基板112之間造成細小、偶然的間隙,使得基座108與基板112之間的密封可能因此而不完全。力可施加於基座108與基板112上,藉以試著減少或消除這些細小的間隙,例如利用張力偏移元件(tensioned biasing elements)。此類區域通常小到不會對製程產生不利的影響,且降低的壓力可以藉由腔室102相對腔室102的外部來達到。每當一工件W在腔室102裡被處理時,基座108與基板112產生實體上的接觸,而會貢獻金屬微粒的產生。根據基板112與基座108材質、以及處理室中所進行的製程而定,這些微粒可包括:例如鈦(Ti)、三氧化二鋁(Al2 O3 )與二氧化鉿(HfO2 )。這些金屬微粒例如在反應室102與周圍環境的壓力差之下,能夠被轉移至工件W的表面。
在實施例中,反應室102包括一原子層沈積(atomic layer deposition,ALD)反應器。工件W的厚度及/或基座 108的設計能夠在工件的表面的上游處(upstream)產生一失效體積區(dead-volume zone)或“失效區(dead zone)”101,藉以儲存第一前驅物(precursor)。失效區101可為停滯(stagnation)的一區域,而停滯原因是缺少對流(convective flow)或是一迴流漩渦(recirculation eddy),其中迴流漩渦是因為反應室102及/或基座108的表面的不連續所引起。當第二前驅物引入至反應室102時,失效區101尤其會是缺點,因為會產生交叉脈衝(cross-pulse)化學氣相沈積(chemical vapor deposition,CVD),其中第一前驅物與第二前驅物會反應,而在反應室102或處理工件W上形成污染物,且如此會造成工件W上所沈積的膜層的厚度的變異。在前驅物的脈衝之間的長時間清洗可以用來沖淨失效區101,以避免交叉脈衝CVD。然而,這樣的方法會導致生產量降低的缺點。此外,由於晶圓有限的厚度,要完全地沖洗失效區101是非常困難的。
圖2A示意地繪示一半導體處理裝置200的範例實施例,半導體處理裝置200包括一反應室202與一加載室203(loading chamber)。加載室203實質上環繞反應室202。反應室202包括一入口204與一出口206。反應物與清洗氣體等氣體經由入口204進入腔室202,而過量的反應物、反應副產物與清洗氣體等氣體經由出口206流出腔室202。圖中所繪示的配置,如入口204與出口206的位置只是用以示意,且可根據如在反應室202中進行的製程、所 預期的氣體流動路徑等來做調整。在某些實施例中,入口204包括一分配系統,如噴淋頭(showerhead)。在某些實施例中,反應室202包括一交叉流(cross-flow)原子層沈積室。腔室202包括一基板212,此基板212具有一開口250。在某些實施例中,基板212的內緣(interior edge)定義出開口250。在某些實施例中,基板212包括鈦。儘管這裡所描述的裝置200是關於CVD反應器,裝置200亦可包括其他的半導體製程工具,例如乾式蝕刻機(dry etchers)、灰化機、快速退火機等,但不以此為限。
該裝置200可更包括一基座208,設置成用以受到一移動件210的操作而移動。基座208設置成用以保持一半導體工件W。基座208可包括抬升銷(lift-pins)及/或斷流器(cutouts),以幫助加載或卸載工件W。基座208可包括一真空系統,以在加載後將工件W保持在適當的地方。該裝置200包括一埠(port)214,用以從基座208加載或卸載工件W。額外的埠也是可行的,例如,一埠用以加載、而另一埠用以卸載。當埠214關閉時,加載室203可相對於外界密封以形成一加載區。在某些實施例中,移動件210包括一推進桿(pushrod)或升降機(elevator),以帶動基座208作垂直的移動。在某些的實施例中,移動件210設置成用以旋轉設置在基座208的工件W。該移動件210設置成用以移動基座208,且因此設置在基座208上的工件W朝向基板212的開口250。該裝置200更包括控制系統211,設置成:在工件W於反應室202內進行處 理的期間,藉由非密封間隙216從基板212分隔基座208。雖然控制系統211繪示為與移動件210溝通連接,但控制系統211可與該裝置200的其他構件溝通連接,例如以下所述的基座或是基板等相似物。在某些的實施例中,控制系統211設置成:一旦基座208位於處理位置時,停止基座208的移動,其中在處理位置時、基座208藉由間隙216而從基板212進行分隔。
圖2B繪示間隙216的放大圖。在某些實施例中,間隙216具有至少約為0.001英吋(大約25μm)或至少約為0.005英吋(大約128μm)的厚度。在某些實施例中,間隙216具有約介於0.001英吋(大約25μm)與0.05英吋(大約1275μm)之間的厚度。在所繪示的實施例中,於腔室202的內部、與腔室202的外部之間沒有實體密封。在某些實施例中,處理區是形成在腔室202中。在某些實施例中,於反應室202中處理工件W的期間,加載室203流體地連接反應室202。每當工件W在腔室202中被處理時,基座208與基板212不會有實體上的接觸,而可有益於減少或消除前述的金屬微粒產生的機制。於是,這些微粒不會轉移至工件W的表面,藉此降低在工件W上所形成的元件中的缺陷。
非密封的間隙(unsealed gap)在過去被視為不適合於某些反應器。例如,緊緊的密封(tight seal)是在嘗試降低或消除前驅物漏出間隙所得到的結果,其中前驅物漏出間隙可能會造成加載室203的腐蝕、在加載室203有沈積 與微粒形成、因為反應物散失所造成的處理時間增加以及擾亂反應室202的層流區域(laminar flow field)。然而,本發明的至少一觀點是:可藉由惰性氣體(inert gas)清洗垂直間隙216來減少或消除上述的擔憂。在某些的實施例中,加載室203包括一個或更多的入口205,其中入口205定義在基座208與腔室202之間。在某些的實施例中,加載室203包括一個或更多的出口207,其中出口207定義在基座208與腔室202之間。惰性氣體能夠從入口205流經由加載室203、且通過間隙216流入腔室202中以產生擴散屏障(diffusion barrier)。在某些實施例中,惰性氣體包括氮氣,且氮氣的流速約在0標準毫升每分鐘(Standard Cubic Centimeter per Minute,SCCM)與200sccm之間。其他像是氬氣等的惰性氣體也是可行的。流速可根據以下變數來增加或減少,其中變數例如是通過反應室202的氣體流速、基座208及/或基板212的尺寸、間隙216的厚度與結構、以及惰性氣體的種類。在某些實施例中,惰性氣體的流速大於約200sccm。在某些實施例中,至少部分的惰性氣體經由出口207而流出腔室203。圖中所繪示的配置,例如入口205與出口207的位置僅為示意,且可根據如在反應室202中進行的處理、所預期的氣體流動路徑等來做調整。
在某些實施例中,在加載室203的壓力高於反應室202中的壓力,造成氣體在處理工件W的期間從加載室203經由間隙216流入處理室202。在某些實施例中,加載室 203的壓力約是以0.1托耳(Torr)(大約13帕(Pa))與5Torr(大約667Pa)之間高於處理室202的壓力。在某些實施例中,惰性氣體遮幕(curtain)可降低或避免前驅物與反應副產物從反應室202洩漏至周圍環境或是加載室203。此外,藉由將氣體流經間隙216來清洗失效區可有益地降低交叉脈衝CVD,而不會拖長清洗時間。降低交叉脈衝CVD也能夠減少膜層在清洗氣體流區域的生成。屏障氣流(barrier flow)也能降低在基座208與基板212之間的區域中的ALD沈積。於是,即使基座208與基板212之間有接觸產生,例如在檢查反應器的上昇整合率(rate of rise integrity)的期間,較少的微粒會流出。失效體積在工件W有限的厚度之下幾乎是不可能完全地消除,而清洗失效體積能夠減少或消除CVD來源、並減少或消除在惰性氣體從加載室203流至反應室202的區域上的膜層生成。
在某些選擇性的實施例中,反應室202的壓力高於加載室203的壓力,造成在處理工件W的期間、氣體從反應室202經由間隙216流入加載室203。在某些實施例中,處理室202的壓力約是以0.1Torr(大約13Pa)與5Torr(大約667Pa)之間高於加載室203的壓力。在某些實施例中,惰性氣體遮幕能夠減少或避免污染物從加載室203流入反應室202。
圖3A示意地繪示半導體處理裝置300的一範例實施例,此半導體處理裝置300包括反應室302與加載室303。 該裝置300與前述的裝置200相類似,除了加載室303配置於反應室302的下方、而不是實質上圍繞反應室302。當移動件310使基座308朝向基板312的開口350移動時,非密封間隙316留在基座308與基板312之間。圖3B與圖3C繪示間隙316的放大圖。繪示於圖3B的間隙316的第一部分316b大於繪示於圖3C的間隙316的第二部分316c,以使得間隙316是不對稱的。在某些實施例中,間隙316會因為製造限制而為不對稱。在某些實施例中,間隙316是根據設計而不對稱。舉例來說,藉由具有相對較大的間隙316b而可預期在工件W的上游處發生較多的清洗,藉由相對較小的間隙316c而可預期在工件W的下游處發生較少的清洗。
圖2A~圖3C繪示的間隙216、316的形式可視為垂直間隙,因為基座208、308的至少一部分從基板212、312垂直地分隔開來。圖2A~圖3C繪示的間隙216、316的形式可視為曲折(labyrinth)的間隙,因為基座208、308的在第一位置從基板212、312垂直地分隔開來、且在第二位置從基板212、312水平地分隔開來。曲折的間隙能夠對流體流動(fluid flow)產生一屏障,還有避免基座208、308與基板212、312的接觸。基座208、308及/或基板212、312可成型為不同的形狀,使得間隙216、316只為垂直的。
圖4A示意地繪示半導體處理裝置400的一實施例,且半導體處理裝置400與前述的裝置200相類似,除了基座408與基板412的形狀成型為:使得間隙416包括實質 上環繞基座408的環狀水平間隙。在某些實施例中,基座408的尺寸設計為:穿過開口450進入反應室402時不會接觸基板412,從而允許可在反應室402中調整基座408與基座408上所保持的工件W的位置。圖4B繪示間隙416的放大圖。由於在水平間隙中形成的直線路徑,使得水平間隙可減少對於流體流動的屏障。具有相同或不同的尺寸的垂直、水平與曲折間隙的組合,可有益於某些應用。例如,藉由具有相對較大的水平間隙416而可預期在工件W的上游處發生更多的清洗,藉由相對較小的曲折間隙316而可預期在工件W的下游處發生較少的清洗。
圖5A示意地繪示一個或更多的襯墊520的一實施例,其中襯墊520設置成用以從基板512分隔基座508。間隙516包括在基板512下方的垂直間隙。在某些實施例中,間隙516一般為環狀且介於襯墊520之間。在某些實施例中,襯墊520包括Celazole(Polybenzimidazole,聚苯并咪唑)襯墊,但其他的材料也是可行的。Celazole在壓縮下具有所希望的特性,且能夠與鈦產生潤滑的接觸。在某些實施例中,襯墊520具有介於約0.001英吋(大約25μm)與約0.05英吋(大約1275μm)之間的高度。襯墊520避免了基座508接觸基板512,從而有益地減少或消除因基座508與基板512的實體接觸而造成之金屬微粒的產生。在如圖5A所繪示的實施例中,襯墊520接觸基座508與基板512。在某些實施例中,襯墊520連接基板512的一較低表面,且設置成在處理工件W的期間、用以接觸基 座508的周圍邊緣(peripheral edge)。在某些可選擇的實施例中,襯墊520連接至基座508的周緣,且設置成在處理工件W的期間用以接觸基板512的一較低表面。然而,在處理工件W的期間,襯墊520從基座508或基板512分隔開的實施例亦是可行的。在此類的實施例中,襯墊520的高度小於間隙516的垂直厚度,且可作為預防的計量角色,以避免基座508與基板512之間的接觸。
圖5B示意地繪示一個或更多的可調整襯墊530(adjustable pad)的一實施例,其中可調整襯墊530設置成用以從基板512分隔基座508。各個襯墊530具有可調整的高度。襯墊530包括:落地襯墊532、襯墊安裝螺絲534、可調整螺絲536以及鎖緊螺帽(jam nut)538,而其交互關係在後面有詳述。圖5B也繪示基座508與基板512成型的一實施例,其中基座508與基板512成型為使得間隙516包括一較長的曲折。在某些實施例中包含襯墊520、530,一部分的基座508與基板512的一部分藉由襯墊520、530有實體上的接觸,而不需緊鄰間隙516。
圖6A示意地繪示包括三個襯墊520的基座508。選擇性地,基座508可包括三個襯墊530、其他形式的襯墊或其組合。基座508被描繪為維持工件W,以表現襯墊520可位於遠離工件W的表面。圖6B繪示基座508包括四個襯墊520的透視圖。選擇性地,基座508可包括四個襯墊530、其他形式的襯墊或其組合。基座508具有小孔(aperture)509,以讓輔助加載與卸載工件W的抬升銷通 過。基座508可包括任何數量的襯墊,包括0個。例如,基座508可包括至少一襯墊520、530、至少二襯墊520、530、至少三襯墊520、530、至少四襯墊520、530等等。在某些實施例中,多個的襯墊520、530對稱地環繞配置於基座508的周圍。
圖7A與圖7B繪示在處理工件W的期間,校準可調整襯墊530來維持間隙516的一個範例方法。如圖7A所示,托住基板512面朝下、較佳地在軟及/或平的表面上。填隙片(shim)540的材料可選擇為減少基板512及/或基座508污染的材料。多個填隙片540接著被垂掛穿過開口550。第一部分的填隙片540托住在基板512上,而同時第二部分的填隙片540落於基板512的開口550中。基座508以面朝下而置入基板512的開口550中。在實施例中,間隙希望是均勻的,基座508的中心對準為在基座508與基板512的開口550之間有實質上相同的間距。基座508的重量推壓填隙片540進入基座508與基板512的縫隙(clearance)。現在參考圖7B,襯墊530包括:落地襯墊532、襯墊安裝螺絲534、可調整螺絲536以及鎖緊螺帽538。襯墊安裝螺絲534機械性地耦接至可調整螺絲536。當可調整螺絲536旋轉時,落地襯墊532橫向地移動,如箭頭所示。在填隙片540就定位之下,可調整螺絲536旋轉直到襯墊530中的落地襯墊532接觸基板512為止。當保持可調整螺絲536在定位時,鎖緊螺帽538會鎖緊,於是可調整螺絲536與落地襯墊532在一安全的位置上相互 鎖固,使得襯墊530的厚度根據填隙片540的厚度被校準。若是基座508被抬升,填隙片540被抽出,且基座508再次面朝下置於基板512上,被校準的襯墊530將會藉由間隙516從基板512分隔基座508,如圖5B所描繪。此校準方法能夠快速且有效,且能減少或消除龐大及/或代價高的固定裝置(fixtures)與量器(gauges)。此校準方法也能夠補償部分的公差變異。
圖8A示意地繪示另一個範例的校準裝置與方法。上板(top plate)852放置於基板812之上。上板852提供了基板812的開口850中的一傳導表面,以提供一更接近的傳導表面相對於校準工件854而量測電容量(capacitance),從而提高校準的準確性。圖8B為上板852的一範例實施例的上視立體圖。請再參考圖8A,校準工件854取代了工件W而配置於基座808上。圖8C為校準工件854的一範例實施例的上方正視圖。校準工件854包括:多個距離感測器858。校準工件854更可包括:狀態燈856與電池。在某些實施例中,校準工件854設置成用以透過連接器或是無線地而與控制系統811相溝通連接,如圖8A的虛線所示。在某些實施例中,校準工件854包括:WaferSenseTM 的自動間隙調整系統(Auto Gapping System),可從CyberOptics® Semiconductor,Inc.of Beaverton,Oregon取得。
移動件810設置成:用以在電容量指示基座808從基板812分隔了具有所希望尺寸的非密封間隙816時,停止 基座808的移動,其中電容量是由控制系統811所量測。上板852與校準工件854隨後被移除,但是移動件810已被程式化而停止基座808的移動,使得根據基座808朝基板812的後續移動而維持非密封間隙816。反應室的其他部分,例如入口噴淋頭也可包括第二傳導表面。對於間隙816僅為水平的實施例,此校準方法特別有益。此校準方法能夠消除硬停止(hard-stop)接觸表面,例如是襯墊520、530。
圖8D為兩傳導表面862、86之間的電容量的示意圖。電容量是在由絕緣物所分隔的兩傳導表面之間的電氣性質。第一傳導表面862與第二傳導表面864以一距離d分隔,因此,第一傳導表面862與第二傳導表面864之間的電容量是和表面862、864之間面積的乘積與其間材料的介電常數呈正比,且與距離d呈反比。當表面862、864移動靠近在一起時,電容量增加,且當表面862、864移動相互遠離,電容量降低。因此,在上板852與校準工件854之間的絕緣物的電容量可以準確地與上板852及校準工件854之間的距離相關聯。
在選擇性的實施例中,基板812可包括第一傳導表面,且基座808可包括第二傳導表面。當基座808相對於基板812移動時,第一傳導表面與第二傳導表面之間的電容量改變。控制系統811與基座808及/或基板相溝通連接,控制系統811包括電容量測量裝置、且設置成用以量測基板812的第一傳導表面與基座808的第二傳導表面之 間的電容量。在某些實施例中,停止基座808的移動包括:顯示出所量測的電容量近似於一預設值(predetermined value)。在某些實施例中,停止基座808的移動包括:顯示出根據所量測的電容量而決定的一距離或是其他的數值近似於一預設值。當所量測的電容量或是基於該電容量的計算值顯示出基座808藉由預期尺寸的非密封間隙816從基板812分隔時,則基座808停止。在某些實施例中,校準方法的組合也可被使用。例如,校準工件854可用來提供間隙816,且在基板812表面與基座808之間的電容量可以被測量,以避免基板812與基座808接觸。
圖9A~圖9D繪示在圖3的該裝置300中處理工件W的一範例方法。然而,此方法可以被應用於在此所述的該裝置,如同其他合適的半導體工件處理裝置。在圖9A中,基座308位在一縮回位置(retracted position),且處理室302與加載室303因為埠314關閉而密封。在所繪示的實施例中,多個抬升銷342在保持工件W的基座308的部分的上面進行延伸,例如穿過圖6B所示的小孔509。在圖9B中,埠314開啟來允許工件W被加載於基座上。在某些實施例中,工件W為半導體工件。工件W放置於抬升銷342上,且抬升銷342降低使得工件W被基座308所托住。在某些實施例中,真空被施加來維持工件W固定至基座308。在某些實施例中,入口305及/或出口307會開啟而允許氣體在加載期間流經加載室303。
圖9C繪示出工件W被加載於基座308之後,基座308 朝反應室302的基板312的開口350移動的示意圖。當基座308位於一處理位置時,使基座308的移動停止,其中,基座308在處理位置時、基座308藉由非密封間隙316而與基板312分隔。在某些實施例中,基座308事先地被校準以停止於處理位置。例如,基座308可包括已校準的多個可調整襯墊530,如參考圖7A與圖7B的前述說明。在某些此類的實施例中,基座308的停止包括:在基板312與基座308之間接觸多個Celazole襯墊520、530。就另一範例而言,移動件310可基於一電容量校正工件來被校正,如參考圖8A~圖8D的前述說明。在某些實施例中,當基座被往上地延伸時,使用即時測量來使基座308從基板312被分隔開,例如包括電容量及/或距離橫越。當間隙316被維持時,使工件W在處理室302中被處理。在某些實施例中,在反應室302中的工件W的處理包括:化學氣相沈積。在某些實施例中,在反應室302中的工件W的處理包括:原子層沈積。處理氣體經由入口304流入反應室302,而與工件W交互作用,且經由出口306流出反應室302。在某些實施例中,氮氣之類的氣體經由入口305流入加載室303,經由間隙316流入反應室302,且經由出口306流出反應室302。在某些的此類實施例中,出口307會被開啟來調整從加載室303流出、而進入反應室302的氣流。如圖9D所繪示,在處理之後,基座308被收回,且工件W經由埠314被卸載。在某些實施例中,真空會被釋放,使得工件W不再固定至基座308。抬升銷342被抬 升而從基座308昇起工件W至機器人或是其他移除裝置能夠存取的地方。在某些實施例中,入口305及/或出口307會被開啟來允許氣體在卸載期間流經加載室303。
雖然本發明已在某些實施例與範例的內文所揭露,但本領域技術人員將能了解本發明在特定實施例下的延伸及/或本發明的使用、明顯的修改與等效物。例如,圖1的失效區101可以藉由從加載室流動氣體以外的方法來被減少或消除,例如,採用專用清洗供給氣體來強迫微粒從反應室102出去。此外,在本發明的數個變形已表現與詳述之下,對於本領域技術人員來說,根據揭露內容來了解其他在本發明範圍內的修改,將會是明顯易懂。例如,本發明不限制在此所述的在基座與基板間維持間隙的方法。同時考量過的是,特定特徵與實施例的概念的不同組合或子組合也落於本發明的範圍內。需了解的是,不同的特徵與所揭實施例的概念也能和另一個為了形成所揭露發明的不同模式來組合或是取代。例如,間隙可以分別在圖2A與圖4A的裝置200、400中成為不均勻。就另一範例而言,加載室303、403可實質上分別環繞圖3A與圖4A的裝置300、400的反應室302、402。因此,可預期的是,這裡所揭露的本發明的範圍不應受限於前述特定實施例,但只應根據對於後面的專利範圍作公平的判讀。
100‧‧‧半導體處理裝置
101‧‧‧失效區
102、202、302‧‧‧反應室
104、204、205、304、305‧‧‧入口
106、206、207、307‧‧‧出口
108、208、308、408、508、808‧‧‧基座
112、212、312、412、512、812‧‧‧基板
150、250、350、550、850‧‧‧開口
200、300、400‧‧‧半導體處理裝置
203、303‧‧‧加載室
210、310、810‧‧‧移動件
211‧‧‧控制系統
214、314‧‧‧埠
216、316、416、816‧‧‧間隙
316b‧‧‧第一部分
316c‧‧‧第二部分
342‧‧‧抬升銷
509‧‧‧小孔
520‧‧‧襯墊
530‧‧‧可調整襯墊
532‧‧‧落地襯墊
534‧‧‧襯墊安裝螺絲
536‧‧‧調整螺絲
538‧‧‧鎖緊螺帽
540‧‧‧填隙片
811‧‧‧控制系統
852‧‧‧上板
854‧‧‧校準工件
856‧‧‧狀態燈
858‧‧‧距離感測器
862、864‧‧‧兩傳導表面
d‧‧‧距離
W‧‧‧工件
圖1示意地繪示半導體處理裝置的一範例的剖面。
圖2A示意地繪示半導體處理裝置的另一範例的剖 面。
圖2B為圖2A的區域B的放大圖。
圖3A示意地繪示半導體處理裝置的又一範例的剖面。
圖3B為圖3A的區域B的放大圖。
圖3C為圖3A的區域C的放大圖。
圖4A示意地繪示半導體處理裝置的再一範例的剖面。
圖4B為圖4A的區域B的放大圖。
圖5A示意地繪示襯墊的一範例實施例。
圖5B示意地繪示襯墊的另一範例實施例。
圖6A為基座的一範例實施例的上方正視圖。
圖6B為基座的另一範例實施例的上方透視圖。
圖7A與圖7B繪示校準可調整襯墊的一範例方法。
圖8A~圖8D示意地繪示校準裝置及方法的一範例。
圖9A~圖9D示意地繪示在圖3A的該裝置中處理工件的一範例方法。
100‧‧‧半導體處理裝置
101‧‧‧失效區
102‧‧‧反應室
104‧‧‧入口
106‧‧‧出口
108‧‧‧基座
110‧‧‧移動件
112‧‧‧基板
150‧‧‧開口
W‧‧‧工件

Claims (27)

  1. 一種半導體處理裝置,包括:一反應室,包括一基板、且該基板具有一開口;一可移動的基座,設置成保持一工件;一移動件,設置成將被保持於該基座上的該工件朝著該基板的該開口移動;以及一控制系統,設置成在處理位於該反應室中的該工件的期間,藉由非密封的一間隙將該基座從該基板分隔開。
  2. 如申請專利範圍第1項所述之半導體處理裝置,其中該間隙具有至少為25μm的一厚度。
  3. 如申請專利範圍第1項所述之半導體處理裝置,更包括:多個襯墊,設置成將該基座從該基板分隔開,其中該間隙包括:在該基板下方的一垂直間隙。
  4. 如申請專利範圍第3項所述之半導體處理裝置,其中該間隙在該些襯墊間大致呈環狀。
  5. 如申請專利範圍第3項所述之半導體處理裝置,其中該些襯墊連接至該基板的一較低表面、且設置成在處理該工件的期間接觸該基座的一周圍邊緣。
  6. 如申請專利範圍第3項所述之半導體處理裝置,其中該些襯墊連接至該基座的一周圍邊緣、且設置成在處理該工件的期間接觸該基板的一較低表面。
  7. 如申請專利範圍第3項所述之半導體處理裝置,其中每一該些襯墊具有一可調整高度。
  8. 如申請專利範圍第3項所述之半導體處理裝置,其中該些襯墊包括至少三個襯墊。
  9. 如申請專利範圍第3項所述之半導體處理裝置,其中該些襯墊包括多個聚苯并咪唑襯墊。
  10. 如申請專利範圍第1項所述之半導體處理裝置,其中該基板的材料包括鈦。
  11. 如申請專利範圍第1項所述之半導體處理裝置,其中該基板包括一第一傳導表面,其中該基座包括一第二傳導表面,而該半導體處理裝置更包括:一電容量測量裝置,設置成測量該第一傳導表面與該第二傳導表面之間的電容量。
  12. 如申請專利範圍第1項所述之半導體處理裝置,更包括:一加載室,位於該反應室的下方,其中在處理位於該反應室中的該工件的期間,該加載室透過該間隙而流體連接至該反應室。
  13. 如申請專利範圍第1項所述之半導體處理裝置,其中該基座的尺寸被設定為通過該開口,且其中該間隙包括實質上環繞於該基座的一環狀水平間隙。
  14. 如申請專利範圍第1項至第13項中任一項所述之半導體處理裝置,其中該反應室,包括:一交叉流原子層沈積反應器。
  15. 一種半導體工件的處理方法,包括:加載一半導體工件至可移動的一基座上; 朝一反應室中的一基板中的一開口而移動該基座;當該基座位於一處理位置時,停止該基座的移動,其中該基座位於該處理位置時,該基座藉由非密封的一間隙而從該基板分隔開;以及於該處理位置處理該工件、且同時維持該間隙。
  16. 如申請專利範圍第15項所述之半導體工件的處理方法,其中該半導體工件的加載是在一加載室中執行,且其中處理該工件包括:在該加載室中維持比該處理室中高的一壓力。
  17. 如申請專利範圍第16項所述之半導體工件的處理方法,其中在該加載室中的該壓力比該處理室高約13帕與約667帕之間。
  18. 如申請專利範圍第16項所述之半導體工件的處理方法,其中維持較高的該壓力包括:在處理的期間流送氮氣至該加載室中。
  19. 如申請專利範圍第18項所述之半導體工件的處理方法,其中該氮氣的流送是:在約0標準毫升每分鐘與約200標準毫升每分鐘之間。
  20. 如申請專利範圍第15項所述之半導體工件的處理方法,其中移動的停止包括:以多個襯墊接觸於該基板與該基座之間。
  21. 如申請專利範圍第20項所述之半導體工件的處理方法,其中該些襯墊包括多個聚苯并咪唑襯墊。
  22. 如申請專利範圍第15項所述之半導體工件的處理方法,其中該基板包括一第一傳導表面,其中該基座包括一第二傳導表面,其中該基板的移動包括:量測該第一傳導表面與該第二傳導表面之間的一電容量,且其中移動的停止包括:指示出量測的該電容量是近似於一預設值。
  23. 如申請專利範圍第15項至第22項中任一項所述之半導體工件的處理方法,其中該工件的處理包括:原子層沈積。
  24. 如申請專利範圍第15項中所述之半導體工件的處理方法,更包括:當該基座位於該處理位置時,校準設置成用以移動該基座的一移動件至停止。
  25. 如申請專利範圍第24項中所述之半導體工件的處理方法,該移動件的校準包括:托住該基板面朝下;垂掛多個填隙片橫跨該基板的該開口;使該基座的中心對準該基板的該開口;將面朝下的該基座置入該基板的該開口;旋轉一可調整螺絲直到該基座的一襯墊接觸該基板;以及保持該可調整螺絲的位置、且同時鎖緊一鎖緊螺帽。
  26. 如申請專利範圍第24項中所述之半導體工件的 處理方法,該移動件的校準包括:朝該開口移動該基座,該基座包括一第一傳導表面,且該基板包括一第二傳導表面;量測該第一傳導表面與該第二傳導表面之間的一電容量;以及當該量測的電容量指示出,藉由具有所希望的尺寸的未密封的一間隙使該基座從該基板分隔開時,設定該移動件去停止該基座。
  27. 如申請專利範圍第24項中所述之半導體工件的處理方法,該移動件的校準包括:加載一校準工件於可移動的該基座上;朝該開口移動該基座,該校準工件包括一第一傳導表面,且該基板包括一第二傳導表面;量測該第一傳導表面與該第二傳導表面之間的一電容量;當該量測的電容量指示出,藉由具有所希望尺寸的未密封的一間隙使該基座從該基板分隔開時,設定該移動件去停止該基座;以及卸載該校準工件。
TW098142134A 2009-01-08 2009-12-09 處理室之開口的間隙維持 TWI506721B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/350,793 US8216380B2 (en) 2009-01-08 2009-01-08 Gap maintenance for opening to process chamber

Publications (2)

Publication Number Publication Date
TW201030892A TW201030892A (en) 2010-08-16
TWI506721B true TWI506721B (zh) 2015-11-01

Family

ID=42311965

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098142134A TWI506721B (zh) 2009-01-08 2009-12-09 處理室之開口的間隙維持

Country Status (4)

Country Link
US (1) US8216380B2 (zh)
KR (1) KR101508370B1 (zh)
TW (1) TWI506721B (zh)
WO (1) WO2010080252A1 (zh)

Families Citing this family (357)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) * 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TW201327897A (zh) * 2011-10-28 2013-07-01 Applied Materials Inc 光伏單元的背點接觸製程
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9290843B2 (en) 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP5800964B1 (ja) * 2014-07-22 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) * 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) * 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10774422B2 (en) 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR102582395B1 (ko) 2018-11-12 2023-09-26 삼성디스플레이 주식회사 커버 윈도우용 지그
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
TWI685059B (zh) * 2018-12-11 2020-02-11 財團法人國家實驗研究院 半導體反應裝置與方法
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210113043A (ko) * 2020-03-04 2021-09-15 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 정렬 고정구
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US11685996B2 (en) * 2021-03-05 2023-06-27 Sky Tech Inc. Atomic layer deposition device
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114855146A (zh) * 2022-04-26 2022-08-05 江苏微导纳米科技股份有限公司 半导体设备及反应腔

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7020891B1 (en) * 2000-09-28 2006-03-28 Intel Corporation Internet domain and time index based video email system
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5435682A (en) * 1987-10-15 1995-07-25 Advanced Semiconductor Materials America, Inc. Chemical vapor desposition system
US4889609A (en) * 1988-09-06 1989-12-26 Ovonic Imaging Systems, Inc. Continuous dry etching system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JP2644912B2 (ja) 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
JP3020567B2 (ja) 1990-08-20 2000-03-15 アネルバ株式会社 真空処理方法
JPH04118925A (ja) 1990-09-10 1992-04-20 Fujitsu Ltd 複合型処理装置
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JP3176118B2 (ja) 1992-03-27 2001-06-11 株式会社東芝 多室型基板処理装置
KR100267617B1 (ko) * 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
JP3050354B2 (ja) 1993-09-20 2000-06-12 東京エレクトロン株式会社 処理方法
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP3394293B2 (ja) * 1993-09-20 2003-04-07 株式会社日立製作所 試料の搬送方法および半導体装置の製造方法
JP3486821B2 (ja) 1994-01-21 2004-01-13 東京エレクトロン株式会社 処理装置及び処理装置内の被処理体の搬送方法
JP3254482B2 (ja) 1994-03-31 2002-02-04 東京エレクトロン株式会社 プラズマ処理装置及びそのクリーニング方法
JPH07283147A (ja) 1994-04-15 1995-10-27 Toshiba Corp 薄膜形成方法
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5651868A (en) * 1994-10-26 1997-07-29 International Business Machines Corporation Method and apparatus for coating thin film data storage disks
JP3644036B2 (ja) * 1995-02-15 2005-04-27 株式会社日立製作所 半導体装置の製造方法および半導体製造装置
US5586585A (en) * 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3270852B2 (ja) * 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
US5997588A (en) * 1995-10-13 1999-12-07 Advanced Semiconductor Materials America, Inc. Semiconductor processing system with gas curtain
US5820692A (en) * 1996-01-16 1998-10-13 Fsi Interntional Vacuum compatible water vapor and rinse process module
US5980195A (en) * 1996-04-24 1999-11-09 Tokyo Electron, Ltd. Positioning apparatus for substrates to be processed
US5787799A (en) * 1996-08-26 1998-08-04 Versa Technologies, Inc. Liquid baster
US5810942A (en) * 1996-09-11 1998-09-22 Fsi International, Inc. Aerodynamic aerosol chamber
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5879574A (en) * 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US6224312B1 (en) * 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
JPH10270527A (ja) 1997-03-21 1998-10-09 Ulvac Japan Ltd 複合型真空処理装置
US6042523A (en) * 1997-06-06 2000-03-28 Graham; Gary A. Therapeutic exercise apparatus and method
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
JP3425592B2 (ja) * 1997-08-12 2003-07-14 東京エレクトロン株式会社 処理装置
US6042623A (en) 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
KR19990076407A (ko) 1998-03-31 1999-10-15 윤종용 반도체장치의 제조공정에 있어서의 박막 형성방법
JPH11288992A (ja) 1998-04-06 1999-10-19 Nissin Electric Co Ltd 被処理物体搬送チャンバ
US6161311A (en) * 1998-07-10 2000-12-19 Asm America, Inc. System and method for reducing particles in epitaxial reactors
US6016611A (en) * 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6409837B1 (en) * 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6251195B1 (en) 1999-07-12 2001-06-26 Fsi International, Inc. Method for transferring a microelectronic device to and from a processing chamber
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
JP2001284433A (ja) * 2000-01-28 2001-10-12 Sony Corp 基板移載装置及び基板移載方法
JP3723712B2 (ja) * 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6488778B1 (en) * 2000-03-16 2002-12-03 International Business Machines Corporation Apparatus and method for controlling wafer environment between thermal clean and thermal processing
JP3676983B2 (ja) * 2000-03-29 2005-07-27 株式会社日立国際電気 半導体製造方法、基板処理方法、及び半導体製造装置
JP2003059997A (ja) 2001-08-08 2003-02-28 Rohm Co Ltd 処理装置および処理方法
US6672864B2 (en) * 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
TWI273642B (en) * 2002-04-19 2007-02-11 Ulvac Inc Film-forming apparatus and film-forming method
KR101050275B1 (ko) * 2002-05-21 2011-07-19 에이에스엠 아메리카, 인코포레이티드 반도체 프로세싱 도구 내 챔버 간의 상호 오염 감소 방법
US7521089B2 (en) * 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US7195679B2 (en) * 2003-06-21 2007-03-27 Texas Instruments Incorporated Versatile system for wafer edge remediation
JP4417669B2 (ja) * 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
WO2005042160A2 (en) * 2003-10-29 2005-05-12 Asm America, Inc. Reaction system for growing a thin film
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7756599B2 (en) * 2004-10-28 2010-07-13 Tokyo Electron Limited Substrate processing apparatus, program for performing operation and control method thereof, and computer readable storage medium storing the program
US7521374B2 (en) * 2004-11-23 2009-04-21 Applied Materials, Inc. Method and apparatus for cleaning semiconductor substrates
JP2006176826A (ja) * 2004-12-22 2006-07-06 Canon Anelva Corp 薄膜処理装置
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) * 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7020891B1 (en) * 2000-09-28 2006-03-28 Intel Corporation Internet domain and time index based video email system
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating

Also Published As

Publication number Publication date
TW201030892A (en) 2010-08-16
WO2010080252A1 (en) 2010-07-15
KR20110106871A (ko) 2011-09-29
US8216380B2 (en) 2012-07-10
US20100173432A1 (en) 2010-07-08
KR101508370B1 (ko) 2015-04-08

Similar Documents

Publication Publication Date Title
TWI506721B (zh) 處理室之開口的間隙維持
KR102514303B1 (ko) 웨이퍼 에지에서의 후면 증착을 감소시키는 방법
US6296712B1 (en) Chemical vapor deposition hardware and process
KR100984912B1 (ko) 기판 배면 압력 측정을 이용한 기판 정위치 결정 방법 및장치
KR102617521B1 (ko) 웨이퍼 후면 에지 및 노치에서 증착을 제거하기 위한 방법들 및 웨이퍼 에지 콘택트 하드웨어
JP2017216458A (ja) 間隙検出用のインテリジェントなハードストップと制御機構
CN113832452A (zh) 晶片在槽中居中以提高晶片边缘的方位角厚度均匀性
WO2003081657A1 (fr) Dispositif de traitement, electrode, plaque electrode et procede de traitement
JP2016122837A (ja) キャリアリング構造及びこれを含むチャンバシステム
JP7161497B2 (ja) 基板処理システムの基板支持体に関する基板位置較正
KR20070091332A (ko) 웨이퍼 지지핀 어셈블리
TWI733863B (zh) 基於大型旋轉料架的基座的微調平
KR20150062953A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JPH1180950A (ja) ウェハをアラインメントさせる装置および方法
JP2013040398A (ja) 成膜装置及び成膜方法
TWI478262B (zh) 基板處理裝置及半導體裝置之製造方法
JP2023524766A (ja) リアルタイムのウエハチャック検出のための装置及び方法
US10763154B2 (en) Measurement of flatness of a susceptor of a display CVD chamber
US20190371572A1 (en) Film-forming method and film-forming apparatus
JP2006274316A (ja) 基板処理装置
US20210087689A1 (en) Apparatus and Methods for Motor Shaft and Heater Leveling
WO2023248835A1 (ja) 成膜装置
TW201740499A (zh) 基板支架以及使用該基板支架之基板處理設備
KR20090122694A (ko) 화학 기상 증착 장치
JP2004296571A (ja) 基板処理装置