TWI493071B - 金屬矽酸鹽膜的原子層沈積 - Google Patents

金屬矽酸鹽膜的原子層沈積 Download PDF

Info

Publication number
TWI493071B
TWI493071B TW096124005A TW96124005A TWI493071B TW I493071 B TWI493071 B TW I493071B TW 096124005 A TW096124005 A TW 096124005A TW 96124005 A TW96124005 A TW 96124005A TW I493071 B TWI493071 B TW I493071B
Authority
TW
Taiwan
Prior art keywords
metal
atomic layer
layer deposition
film
oxidant
Prior art date
Application number
TW096124005A
Other languages
English (en)
Other versions
TW200811308A (en
Inventor
Chang-Gong Wang
Eric J Shero
Glen Wilk
Jan Willem Maes
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Publication of TW200811308A publication Critical patent/TW200811308A/zh
Application granted granted Critical
Publication of TWI493071B publication Critical patent/TWI493071B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)

Description

金屬矽酸鹽膜的原子層沈積
本發明關於金屬矽酸鹽膜。詳言之,本發明關於藉由原子層沈積(atomic layer deposition,ALD)形成金屬矽酸鹽膜之方法。
積體電路(integrated circuit,IC)中之組件的整合程度日益增加,其對於積體電路組件以及內連線(interconnect)的大小的減小快速地提出要求。設計規則將特徵大小設定為0.2 μm,此使得使用傳統方法難以在較深底部以及通孔(via)上獲得完全的薄膜覆蓋率(film coverage)。另外,隨著特徵大小的減小,量子力學穿隧(“穿隧”)導致漏電流,亦即,電流自元件特徵(例如,在閘氧化物上)洩漏出,其對元件效能產生不利影響。因此,例如,在金氧半場效電晶體(metal-oxide-semiconductor field-effect transistor,MOSFET)元件中實質上薄的SiO2 膜作為閘極介電層(閘氧化物)是不可靠的元件。因此,具有高介電常數的介電材料(“高k介電質”)是所要的。
高K介電材料應較佳地能夠沈積於矽表面上且在熱退火製程下保持穩定。在閘極介電層應用中,電活性缺陷應最小化或防止,以免形成在矽晶圓與高K介電質之間的界面。在記憶體應用中,諸如動態隨機存取記憶體(dynamic random access memory,DRAM)應用中,介電質的結構應較佳地在高活化溫度下實質上穩定。已發現將氧化矽(SiOx ,其中“x”=1或2)與金屬氧化物混合以形成可用作具有所要性質的高K介電質的穩定金屬矽酸鹽。
矽酸鉿(HfSiOx )以及矽酸鋯(ZrSiOx )已用於在某些應用中代替氧化矽,諸如,互補金氧半導體(complementary metal oxide semiconductor,CMOS)應用,因為其可在具有大約65奈米(nm)或更小的元件特徵大小的積體電路中提供極佳的熱穩定性以及元件效能。然而,隨著特徵大小的減小,沈積適於當前以及未來之IC產生之具有組成以及厚度均一性的矽酸鉿膜變得日益困難。
先前技術ALD方法的一個問題為基於鹵化物之源化學品(source chemical)(例如,MX4 以及SiY4 ,其中“M”為金屬,且“X”以及“Y”為鹵化物)在金屬矽酸鹽膜中留有鹵素原子,從而導致實質上較高的“固定電荷(fixed charge)”濃度,此可使電氣元件(諸如,CMOS以及DRAM元件)的效能降級。另一方面,純的有機源化學品在薄膜中產生充當電荷中心的碳雜質。在高濃度,碳雜質促進導致CMOS元件中功率消耗增加及DRAM元件中儲存能力降低的漏電流。
先前用於改善全部使用基於鹵化物或基於有機物之源化學品形成的金屬矽酸鹽膜的問題之方法通常涉及退火及/或氧化所述薄膜。此等方法可導致處理成本的實質增加,且可能促進在金屬矽酸鹽膜與下方基板之間的介面的氧化,因此導致不良薄膜品質以及不良元件效能。
根據本發明之一態樣,提供用於形成金屬矽酸鹽膜之ALD方法。在較佳實施例中,所述方法包括交替地使在反應空間中的基板接觸烷基醯胺金屬化合物(alkyl amide metal compound)、鹵化矽化合物(silicon halide compound)及氧化劑的氣相脈衝(vaper phase pulse)。
在某些實施例中,形成金屬矽酸鹽膜之ALD製程包括(a)使在反應空間中的基板與烷基醯胺金屬化合物的氣相脈衝接觸;(b)自反應空間移除過量烷基醯胺金屬化合物以及反應副產物;(c)使基板與第一氧化劑之氣相脈衝接觸;(d)自反應空間移除過量第一氧化劑以及反應副產物;(e)使基板與鹵化矽化合物之氣相脈衝接觸;(f)自反應空間移除過量鹵化矽化合物以及反應副產物;(g)使基板與第二氧化劑之氣相脈衝接觸;(h)自反應空間移除過量第二氧化劑以及反應副產物;以及(i)重複步驟(a)至步驟(h)直至在基板上形成所要厚度的矽酸鉿膜為止。
在另一實施例中,提供用於形成在動態隨機存取記憶體(DRAM)元件中使用的金屬矽酸鹽膜之ALD方法。所述方法包括交替且依序地將烷基醯胺金屬化合物以及氧化劑之氣相脈衝提供至反應空間內,以在反應空間中之基板上沈積金屬氧化物。所述方法進一步包括交替且依序地將鹵化矽化合物以及氧化劑之氣相脈衝提供至反應空間內,以在所述基板上沈積氧化矽。
在其他實施例中,提供用於形成在互補金氧半導體(CMOS)元件中用作閘極介電層的金屬矽酸鹽膜之ALD方法。所述方法包括將烷基醯胺金屬化合物之氣相脈衝提供至反應空間內,以在反應空間的基板上沈積含金屬之薄膜之至多單層。將氧化劑之氣相脈衝提供至反應空間內,以將經沈積之金屬氧化為金屬氧化物,藉以形成含有金屬氧化物之薄膜。將鹵化矽化合物之氣相脈衝提供至反應空間內,以在基板上沈積矽。將氧化劑之氣相脈衝提供至反應空間內以將經沈積之矽氧化為氧化矽,藉以形成金屬矽酸鹽膜。
在其他實施例中,提供矽酸鉿膜。矽酸鉿膜較佳包括小於或等於百萬分之50,000(50,000 ppm)之碳雜質以及小於或等於大約20,000 ppm之鹵素雜質。在某些實施例中,矽酸鉿膜具有大於或等於大約85%之階梯覆蓋率。
預期所有此等實施例在本文所揭露之範疇內。自下文之某些較佳實施例的詳細描述且參看附圖,本發明之此等與其他實施例將變得顯而易見,本發明並不限於所揭露特定較佳實施例中之任一者。
使用烷基醯胺金屬源化學品(亦即,包括烷基醯胺配位體之金屬源化學品)組合基於鹵化物之矽源化學品形成之金屬矽酸鹽膜可有利地允許在實質上較低溫度下形成高品質的金屬矽酸鹽膜,藉以能夠相對於使用先前技術方法所形成之薄膜改良階梯覆蓋率。此外,由於較低的活化阻障,相對於僅使用基於鹵化物之源化學品形成之薄膜,烷基醯胺金屬源化學品允許在較低溫度下的較高成長速率,因此能夠實質上節省處理成本。根據較佳方法形成之薄膜的碳與鹵素雜質含量對於各種應用(諸如,CMOS元件中的閘極堆疊、DRAM元件中的介電層及基於電容器之其他元件的組件)提供改良的可調能力(scalability)以及固定電荷特性。
如在本文中所使用,“ALD製程”通常指一種用於藉由使用自飽和化學反應在基板上每一分子層地產生薄膜之製程。ALD的一般原理揭露於(例如)美國專利第4,058,430號以及第5,711,811號以及Suntola之(例如)Handbook of Crystal Growth 3,Thin Films and Epitaxy,Part B:Growth Mechanisms and Dynamics,Chapter 14,Atomic Layer Epitaxy,第601頁至第663頁,Elsevier Science B.V.1994中,其之全文以引用的方式併入本文中。在一典型ALD製程中,氣體反應物各別地傳導(通常交替且依序)至ALD型反應器的反應空間內,其中氣體反應物接觸位於所述空間中的基板以提供表面反應。調整反應空間的壓力以及溫度至避免前驅體之物理吸附(亦即,氣體的縮合反應)以及熱分解的範圍。此外,選擇並不與自身起反應的反應物。因此,在每一脈衝循環期間,一次僅至多沈積一個材料單層(亦即,原子層或分子層)。通常表示為/脈衝循環的薄膜之實際成長速率取決於(例如)可用反應性表面位點(site)的數目以及反應物分子的膨鬆度(bulkiness)。亦即,一旦填充所有可用結合位點,不可能有額外的表面反應。由於反應物脈衝在時間上及/或空間上彼此分離,因此在前驅體與任何不當反應副產物之間的氣相反應被抑制。通常,在反應物脈衝之間用惰性氣體(例如,N2 、Ar、He或H2 )來淨化及/或(例如)使用真空泵排空以移除過量氣體反應物以及反應副產物(若存在)。
定義
“反應空間”用於表示反應器或反應腔室,或其內任意界定之體積,其中可調整條件以實現藉由ALD在基板上的薄膜成長。反應空間通常包括在正常操作期間經受所有反應氣體脈衝之表面,其中氣體或粒子可藉由傳輸流或擴散自所述表面流向基板。反應空間可為(例如)在單一晶圓ALD反應器中的反應腔室或批式ALD反應器之反應腔室,在反應腔室中同時發生在多個基板上之沈積。反應器可經組態用於當場(in-situ)或遠端電漿產生。
“電漿激發物質”意指自由基、離子或經由施加(或耦合)能量至反應氣體而產生的其他激發物質。能量可經由多種方法施加,諸如,感應(induction)、紫外線輻射、微波及電容耦合。電漿產生器可為直接電漿產生器(亦即,當場或直接電漿產生)或遠端電漿產生器(亦即,離場或遠端電漿產生)。在不存在耦合能量的情況下,電漿產生終止。電漿激發物質包括(但不限於)氫自由基。對於當場電漿產生而言,特定氣相化學品(例如,O2 )之電漿激發物質形成於包括待處理之基板的反應空間中。對於遠端電漿產生而言,電漿激發物質形成於包括所述基板之反應空間的外部。
“吸附”用於表示原子或分子在表面上的化學附著。
“基板”用於表示其上需要進行沈積之任何工件。典型基板包括(但不限於)矽、矽石、經塗佈之矽、銅金屬以及氮化物。
“表面”用於表示在反應空間與基板之特徵之間的邊界。
“金屬矽酸鹽膜”表示包括矽、一或多種金屬以及氧之薄膜。金屬矽酸鹽膜通常可由Mx Siy Oz 表示,其中“M”表示一或多種金屬,且“x”、“y”及“z”為大於零之數字。可藉由沈積(例如,SiO、SiO2 )之依序且交替的氧化矽層以及金屬氧化物來形成金屬矽酸鹽膜。作為一個實例,矽酸鉿膜可由HfO2 以及SiO2 之交替層形成。作為另一實例,矽酸鉿膜可藉由沈積由氧化矽層分隔之三個氧化鉿層而形成。較佳地,金屬矽酸鹽膜在微小尺度具有均一組成。
金屬矽酸鹽沈積製程
本文所提供之方法允許等形(conformal)金屬矽酸鹽膜在基板表面上之受控沈積。在幾何上具有挑戰性的應用中,諸如在高縱橫比(aspect-ratio)特徵中之沈積(例如,通孔以及溝渠)是可能的,此歸因於使用其內提供之較佳化學品之表面反應的自限制性質。
根據某些較佳實施例,使用ALD製程在基板上(諸如,積體電路(IC)工件)形成金屬矽酸鹽膜。基板或工件置於反應空間中且經受矽源化學品、金屬源化學品及氧化劑之交替重複的表面反應。較佳ALD方法包電漿加強型ALD(pascma-enhanced ALD,PEALD)製程,其中電漿激發物質用作氧化劑,及“熱”ALD製程,其中在沈積期間加熱基板。
較佳地,每一ALD循環包括至少四個沈積步驟或階段,且利用至少三種不同反應物。儘管被稱作“第一”、“第二”及“第三”反應物,但此等名稱並非暗示所述反應物必須以此順序引入。在某些實施例中,ALD循環可始於第二反應物或第三反應物。在某些情況下,可採用第四反應物,例如,若使用兩種不同的氧化物質(如在下文中更詳細地討論)。同樣,儘管被稱作第一、第二、第三及第四階段,但所述階段無需以此序列執行。舉例而言,在某些情況下,沈積可始於第三階段。取決於(例如)所要薄膜組成,可包括額外階段。
此第一反應物(在本文中亦被稱作“金屬反應物”)為金屬源化學品,且在將基板表面上化學吸附僅大約一種金屬之單層(或若使用包括多種金屬之源化學品,或若使用多種金屬源化學品,則為多種金屬之單層)。金屬反應物較佳包括被沈積的金屬矽酸鹽膜中所需要的過渡金屬(“金屬”)物質。較佳地,金屬反應物為包括鋯(Zr)與鉿(Hf)中之一者或二者之氣相物質。
金屬反應物較佳為包括烷基及/或醯胺基之化合物,更佳為烷基醯胺金屬化合物。較佳烷基醯胺金屬化合物包括四(乙基甲基胺基)金屬(TEMA-m,其中“m”為金屬),四(二乙基胺基)金屬(TDEA-m)及四(二甲基胺基)金屬(TDMA-m)。若待形成之金屬矽酸鹽膜包括Hf,則金屬反應物較佳為烷基醯胺鉿源化學品,更佳為選自由四(乙基甲基胺基)鉿(TEMAH)、四(二乙基胺基)鉿(TDEAH)及四(二甲基胺基)鉿(TDMAH)組成之群之源化學品。若待形成之金屬矽酸鹽膜包括Zr,則金屬反應物較佳為烷基醯胺鋯化合物,更佳為選自由四(乙基甲基胺基)鋯(TEMAZ)、四(二乙基胺基)鋯(TDEAZ)及四(二甲基胺基)鋯(TDMAZ)組成之群之源化學品。
第二反應物(在本文中亦被稱作“氧化劑”或“氧化物質”)包括氧化劑。在較佳實施例中,氧化劑能夠在基板表面上氧化矽以及金屬。在較佳實施例中,氧化劑為選自由水、臭氧及分子氧(O2 )之電漿激發物質組成之群之氣相物質。當使用O2 之電漿激發物質時,氧化劑包括氧離子及/或自由基(亦即,氧之電漿激發物質)。如在上文所提及,氧之電漿激發物質可使用(例如)蓮蓬頭型PEALD反應器在包括基板之反應空間中產生,諸如在美國專利申請案第10/486,311號中所揭露之反應器,所述專利申請案之揭露內容以引用之方式併入本文中。在其他實施例中,氧之電漿激發物質可在外部產生(亦即,遠端電漿產生),且導向至包括基板之反應空間內。氧化劑較佳在基板表面上與矽及/或金屬起反應以形成氧化矽及/或金屬氧化物。
若當場形成電漿激發物質,則可選擇各種“電漿參數”來調節電漿產生。電漿參數包括(但不限於)射頻功率時間(radio frequency power on time)、RF功率振幅、RF功率頻率、反應物濃度、反應物流動速率、反應空間壓力、總氣體流動速率、反應物脈衝持續時間及間隔以及RF電極至基板間距。作為一實例,在蓮蓬頭與基板表面之間的間距可經選擇以將氧的電漿激發物質主要導向至基板表面。以此方式,可使氧之電漿激發物質在反應空間中之其他位置(例如,並不在電漿範圍內的反應空間壁)的暴露最小化(若不能排除)。
第三反應物(在本文中亦被稱作“矽反應物”)較佳為氣相矽源化學品(在本文中亦被稱作“矽源材料”或“鹵化矽源化學品”),且將以自限制的方式在基板表面使用化學方法進行吸附(“化學吸附”)以形成不超過大約一個矽單層。在較佳實施例中,矽源化學品為鹵化矽化合物,諸如,Six Wy Hz ,其中“W”為選自由F、Cl、Br及I組成之群,“x”與“y”為大於零之整數,且“z”為大於或等於零之整數。鹵化矽化合物較佳在基板上形成以鹵素配位體終止的分子單層(在本文中亦為“單層”)。鹵化矽源化學品可選自由氟化矽(例如,SiF4 )、氯化矽(例如,SiCl4 )、溴化矽(例如,SiBr4 )、及碘化矽(例如,SiI4 )組成之群。在某些實施例中,鹵化矽化學品為為氯化矽(SiCl4 )。
在某些實施例中,例如,若使用不同的氧化劑各別地氧化經沈積之矽以及金屬,則可使用第四反應物。第四反應物較佳為氧化劑,更佳為選自由水、臭氧及分子氧(O2 )之電漿激發物質組成之群的氧化劑。取決於特定情形,可提供一或多個額外反應物。舉例而言,若矽酸鹽中併入有一種以上金屬,則可利用額外的金屬反應物。
應瞭解在沈積金屬矽酸鹽膜之前,所述基板可具備初始表面終止(initial surface termination)。作為一實例,矽基板可與水接觸,以在基板之一或多個表面上形成OH表面終止。
在ALD循環的一個階段,在本文中被稱作“第一階段”,在初始表面終止之後,若需要,將金屬反應物(亦即,金屬源化學品)之脈衝供應至反應空間,以在基板表面上形成不超過大約一個含金屬之薄膜之單層。可在表面上吸附的金屬源化學品的量至少部分地由在表面上可用結合位點的數目以及由化學吸附物質(包括配位體)之實體尺寸而確定。可較佳為烷基醯胺源化學品(例如,TEMAH、TEMAZ)之金屬源化學品可在載氣(例如,N2 、He、Ar)的幫助下提供。歸因於化學吸附物質之尺寸以及反應位點之數目,在金屬源化學品的每一脈衝中通常沈積少於一個單層(ML)。由金屬源化學品之脈衝留存的化學吸附層以一個表面自終止(self-terminated),所述表面不與所述脈衝之其餘化學品起反應。此現象在本文中被稱作“自飽和(self-saturation)”。熟習此項技術者將認識到此階段之自限制性質使得整個ALD循環自限制。
自反應空間移除過量金屬源化學品與反應副產物(若存在),例如,在淨化氣體(例如,N2 、He、Ar)及/或由抽汲系統所產生之真空的幫助下。若在載氣的幫助下供應金屬源化學品,則過量金屬源化學品以及反應副產物可藉由使金屬源化學品之流動終止並繼續供應載氣而得以移除。在此態樣中,載氣用作淨化氣體。
在ALD循環的下一階段,在本文中被稱作“第二階段”,向反應空間提供氧化劑之脈衝。可在載氣(例如,N2 、He、Ar)的幫助下引入氧化劑。氧化劑與由前一脈衝留存在基板表面上之含有金屬之薄膜反應。氧化劑較佳將先前沈積之薄膜中的金屬氧化為金屬氧化物(MOx ,其中“M”為金屬)。作為一實例,若所沈積之金屬為鉿,則氧化劑較佳將鉿氧化為氧化鉿HfOx (例如,HfO、HfO2 )。
自反應空間移除過量氧化劑以及反應副產物(若存在)。此步驟可包括在終止氧化劑之脈衝之後用惰性氣體(例如,N2 、He、Ar)淨化反應空間及/或在抽汲系統的幫助下抽汲反應空間。若氧化劑包括氧之電漿激發物質,則所述移除步驟可包括終止至電漿產生器之功率,且(例如)在惰性氣體的幫助下淨化過量氧化劑以及反應副產物(若存在)。作為替代,分子氧(O2 )可用作淨化氣體,使得當電漿功率終止時,氧被導向穿過反應空間以淨化反應副產物(若存在)。若在載氣的幫助下供應氧化劑,則過量氧化劑以及反應副產物(若存在)可藉由使氧化劑之流動終止且繼續供應載氣而得以移除。
因此,在第一階段以及第二階段期間,在基板上形成金屬氧化物膜。第一階段以及第二階段(依序執行)可被統稱作“金屬氧化物階段”。
在ALD循環的下一階段,在本文中被稱作“第三階段”,將矽反應物(亦即,矽源化學品)提供至反應空間內,以在基板表面上沈積不超過大約一個含矽薄膜之單層。較佳為含鹵素之矽源化學品(例如,SiCl4 )的矽源化學品可在惰性載氣的幫助下提供。當在每一自限制脈衝中化學吸附不超過大約一個矽源化學品之單層時,獲得工件表面上之最大階梯覆蓋率。由於化學吸附物質之尺寸以及反應位點之數目,在矽源化學品的每一脈衝中通常沈積少於一個單層(ML)。
自反應空間移除過量矽反應物以及反應副產物(若存在)。此步驟可包括終止矽反應物之脈衝以及用惰性氣體(例如,N2 、He、Ar)來淨化反應空間及/或在抽汲系統的幫助下抽汲反應空間。若在載氣的幫助下供應矽反應物,則過量矽反應物與反應副產物(若存在)可藉由使矽反應物之流動終止且繼續供應載氣而得以移除。
在ALD循環的下一階段,在本文中被稱作“第四階段”,向反應空間提供氧化劑之脈衝。所述氧化劑可與在第二階段中所用氧化劑相同。然而,熟習此項技術者應瞭解,可使用與在第二階段中所用氧化劑不同的氧化劑(亦即,第四氧化劑)。氧化劑與由前一脈衝留存在基板表面上之矽反應以形成氧化矽(SiOx )。應瞭解,SiOx 可包括SiO(部分氧化)與SiO2 (完全氧化)。在較佳實施例中,氧化劑將矽完全氧化為SiO2
因此,在第三以及第四階段期間,在基板上沈積(或形成)氧化矽膜。第三階段以及第四階段(依序執行)可被統稱作“氧化矽階段”。
如上文所述,應瞭解,上文所列出之步驟之序列的替代是可能的。作為一實例,氧化矽階段可在金屬氧化物階段之前。因此,在某些實施例中,脈衝序列可包括以下脈衝序列:矽反應物脈衝/氧化劑脈衝/金屬反應物脈衝/氧化劑脈衝。在其他實施例中,反應物脈衝可始於氧化劑脈衝。作為一實例,反應物脈衝可包括以下脈衝序列:氧化劑脈衝/金屬反應物脈衝/氧化劑脈衝/矽反應物脈衝/氧化劑脈衝。在其他實施例中,金屬矽酸鹽膜之形成可根據以下脈衝序列進行:金屬反應物脈衝/矽反應物脈衝/氧化劑脈衝。作為一替代,矽反應物脈衝可在所述金屬反應物脈衝之前,使得所述脈衝序列為矽反應物脈衝/金屬反應物脈衝/氧化劑脈衝。反應物脈衝較佳由一移除步驟分離,其中自反應空間移除過量反應物及/或反應副產物(若存在),較佳在淨化氣體及/或抽汲系統的幫助下,如在上文中所述。
應瞭解,所述階段中之每一者(或者與另一階段組合或個別地)可在其他階段之前重複預定次數。舉例而言,在氧化矽階段之前,可重複金屬氧化物階段五次。作為另一實例,在金屬氧化物階段之前,可重複氧化矽階段八次。以此方式可允許控制所形成的金屬矽酸鹽膜之化學計量。若需要富含金屬之金屬矽酸鹽膜,則在氧化矽階段之前,可重複金屬氧化物階段若干次。另一方面,若需要富含矽之金屬矽酸鹽膜,則在金屬氧化物階段之前,可重複氧化矽階段若干次。可藉由添加額外的金屬階段來形成具有一種以上金屬之薄膜。
熟習此項技術者應瞭解,選擇金屬氧化物及/或氧化矽階段的重複次數,以允許形成具有適於各種電子應用之化學計量的薄膜。
若插入僅一個淨化或其他反應物移除步驟,則一個階段通常被認為緊隨另一階段。
在一實施例中,ALD循環包括:1.向反應空間提供烷基醯胺金屬化合物之氣相脈衝;2.淨化及/或排空自反應空間之過量金屬源化學品以及反應副產物;3.向反應空間提供氧化劑之氣相脈衝;4.淨化及/或排空自反應空間之過量氧化劑以及反應副產物;5.向反應空間提供鹵化矽之氣相脈衝;6.淨化及/或排空自反應空間之過量矽源化學品以及反應副產物;7.向反應空間提供氧化劑之氣相脈衝;以及8.淨化及/或排空自反應空間之過量氧化劑以及反應副產物。
因此,在一個完整的金屬矽酸鹽ALD循環中,經由形成金屬氧化物膜、接著形成氧化矽膜來進行金屬矽酸鹽膜之形成。可重複上文所述之步驟序列(步驟1至8)以形成所要厚度的金屬矽酸鹽膜。
在替代實施例中,上文所述之ALD序列始於矽源化學品之氣相脈衝(步驟5),其之後依序為步驟6、7、8、1、2、3及4。因此,在一個金屬矽酸鹽ALD循環中,可經由形成氧化矽膜、接著形成金屬氧化物膜來進行金屬矽酸鹽膜之形成。
應瞭解,在上述處理步驟中之一或多者期間可加熱基板。作為一實例,可在步驟1以及5期間加熱基板,以提供在矽表面或先前沈積之薄膜與金屬或矽反應物之間的反應的活化能。作為另一實例,可在步驟1至8期間加熱基板。
在較佳實施例中,提供氧化劑(步驟3以及步驟7)包括將分子氧(O2 )引入至包括基板的反應空間內,及當場(亦即,在反應空間中)產生氧之電漿激發物質。將功率提供至RF電極以產生電漿。在所要暴露時間之後,電漿產生終止且使用淨化及/或排空步驟(步驟4以及步驟8)自反應空間移除過量O2 以及反應副產物(若存在)。在其他實施例中,在與包括基板之反應空間流體連通之腔室中產生氧之電漿激發物質,且之後將其導向至反應空間。
應瞭解,在隨後的步驟之前,可重複步驟1至8中之一或多個步驟所要次數。在某些情況下,以此方式可在基板表面上提供所要程度的金屬及/或矽覆蓋率。作為一實例,在步驟5至8(氧化矽階段)之前,可重複步驟1至4(金屬氧化物階段)大約1至100次,更佳大約5次。作為另一實例,在步驟1至4之前,步驟5至8可重複十次,更佳大約7或8次。
可選擇基板溫度及/或反應空間壓力以使金屬矽酸鹽膜的成長最佳。薄膜較佳在介於大約150℃與500℃之間的基板溫度下形成,更佳在介於大約250℃與350℃之間。在形成金屬矽酸鹽膜期間,反應空間的壓力較佳介於大約0.1托與100托之間,更佳介於大約0.5托與10托之間。
圖1中說明根據較佳實施例之方法的例示性脈衝序列。在所說明的實施例中,所形成的金屬矽酸鹽膜為矽酸鉿。然而,應瞭解,可應用此等方法以形成包括其他金屬(諸如,鋯)之金屬矽酸鹽膜,在此情況下,可如上文所述選擇所使用之金屬源化學品。
參看圖1,在初始表面終止之後,若必需或需要,將金屬反應物或源材料供應至包括基板的反應空間內(步驟102)。在所說明的實施例中,金屬反應物為TEMAH,其在載氣(例如,N2 、He或Ar)的幫助下供應至反應空間內。金屬反應物脈衝使工件表面自飽和,使得金屬反應物脈衝的任何過量成分並不進一步與藉由此製程形成的單層起反應。自飽和由配位體促進,終止所述單層,以此方式防止所述膜層與反應物進一步反應。
之後,自反應空間移除過量金屬反應物(步驟104)。步驟104必須停止金屬反應物或化學品之流動,且同時繼續流動載氣足夠長的時間以擴散或淨化自反應空間之過量反應物以及反應副產物。對於其他實施例而言,淨化氣體與載氣不同。在此情況下,在反應物移除步驟104期間,載氣用作淨化氣體。較佳地,用多於大約兩倍反應空間之體積的淨化氣體來淨化反應空間,更佳用多於大約三倍的反應空間之體積的淨化氣體。較佳地,上述移除包括在停止金屬反應物脈衝之流動之後,使淨化氣體流動在大約0.1秒與20秒之間的時間。舉例而言,於1999年9月8日申請之發明名稱為“Apparatus and Method for Growth of a Thin Film”之美國專利第6,511,539號中描述脈衝間淨化,其之全部揭露內容以引用方式併入本文中。在其他配置中,例如,若並不向金屬源化學品提供載氣,則步驟104可終止金屬源化學品之流動且引入惰性氣體至反應空間內。在某些配置中,可在交替化學品之間抽汲空反應空間。例如參見於1996年6月6日公開之名稱為“Method and Apparatus for Growing Thin Films”之PCT公開案第WO 96/17107號,其之全部揭露內容以引用之方式併入本文中。因此,移除步驟104可同時淨化以及抽汲反應空間。吸附(步驟102)與反應物移除(步驟104)一起表示所說明ALD循環之第一階段120。
之後,將氧化劑脈衝輸入至基板(步驟106)。氧化劑合意地與由金屬反應物所留存的單層反應或吸附於其上。在所說明的實施例中,氧化劑為H2 O,其將鉿氧化為氧化鉿(HfOx ),諸如,HfO與HfO2
在足以氧化所述單層的一段時期之後,H2 O脈衝終止且自反應空間移除過量水蒸汽以及任何反應副產物(步驟108),較佳在淨化氣體及/或抽汲系統所產生之真空的幫助下。移除步驟108可如上文所述之步驟104。步驟106以及步驟108一起表示所說明ALD製程的第二階段130。
之後,將矽反應物或源材料供應至基板(步驟110)。根據較佳實施例,矽反應物脈衝包括提供含鹵之揮發性矽源化學品。因此,在步驟110中,在第一階段120以及第二階段130中所形成的氧化鉿膜上形成含鹵之矽薄膜。在所說明的實施例中,矽反應物為氯化矽(SiCl4 ),且矽反應物脈衝在基板上留存不超過單層的含矽薄膜。
在足以完全飽和且使單層與矽反應物反應之一段時期之後,自反應空間移除過量矽反應物以及反應副產物(若存在)(步驟112)。如利用上文所述之移除步驟104,步驟112較佳包括停止第三化學品(矽反應物)之流動且繼續使載氣流動達足以自反應空間移除過量反應物以及任何反應副產物的一段時期。矽反應物脈衝與移除步驟112一起表示所說明ALD製程之第三階段140。
之後,將氧化劑(H2 O)脈衝輸入至所述工件(步驟114)。水合意地與由矽反應物所留存的單層反應。水將步驟110中所沈積的矽氧化為氧化矽,氧化矽較佳選自由SiO以及SiO2 組成之群,藉此在基板上形成氧化矽膜。薄膜暴露於水可伴有形成HCl,其發展為氣相。然而,應瞭解,在步驟114之後,鹵原子可能保留於薄膜中。雖然所說明之步驟114中使用水,在步驟114中使用的氧化劑可不同於在步驟106中使用的氧化劑。作為一個實例,可在步驟114中使用氧之電漿激發物質。
在足以氧化在第三階段140中所留存的所述單層的一段時期之後,氧化劑(H2 O)之脈衝終止且自反應空間移除過量水蒸汽以及任何反應副產物(步驟116),較佳在淨化氣體脈衝及/或抽汲系統所產生之真空的幫助下。移除步驟116可為如上文所述之步驟104。步驟114與116一起表示所說明ALD製程的第四階段150。
重複第一階段120、第二階段130、第三階段140及第四階段150直至在基板上形成所要厚度之矽酸鉿膜為止(第六階段160)。舉例而言,可重複此四個階段10次、100次、1000次或更多次以形成組成均一的矽酸鉿膜。
在另一替代實施例(未圖示)中,在圖1中所說明的ALD序列始於第三階段140且之後依序為第四階段150、第一階段120及第二階段130。在此情況下,步驟序列包括:矽源化學品脈衝/反應物移除/氧化物質脈衝/反應物移除/金屬源化學品脈衝/反應物移除/氧化物質脈衝/反應物移除。重複此序列直至在基板上形成所要厚度之矽酸鉿膜為止。作為一特定實例,可藉由包括以下氣相脈衝序列之ALD循環形成矽酸鉿膜:SiCl4 /惰性氣體/H2 O/惰性氣體/TEMAH/惰性氣體/H2 O/惰性氣體。
在替代實施例(未圖示)中,在圖1中所說明的ALD序列始於第一階段120且之後依序為第三階段140及第三階段150。在此情況下,省略第二階段。因此,步驟序列包括:金屬反應物脈衝/反應物移除/矽反應物脈衝/反應物移除/氧化劑脈衝/反應物移除。重複此序列直至在基板上形成所要厚度之矽酸鉿膜為止。作為一特定實例,可藉由包括以下氣相脈衝序列之ALD循環形成矽酸鉿膜:TEMAH/惰性氣體/SiCl4 /惰性氣體/H2 O/惰性氣體。
根據較佳方法形成之金屬矽酸鹽膜較佳具有介於大約0.5 nm與40 nm之間的厚度,更佳介於大約1 nm與15 nm之間。應瞭解厚度可隨著應用而不同。舉例而言,在CMOS元件之閘極介電層中,金屬矽酸鹽膜較佳具有介於大約1 nm與5 nm之間的厚度。作為另一實例,在DRAM元件中,金屬矽酸鹽膜較佳具有介於大約3 nm與15 nm之間的厚度。熟習此項技術者將能夠選擇適當厚度用於特定應用。
因此,根據前述實施例,在基板上形成金屬矽酸鹽膜。取決於其所要用途,根據較佳方法形成之金屬矽酸鹽膜具有大於或等於大約80%,較佳大於或等於大約85%,及更佳大於或等於大約95%,且最佳大約100%之階梯覆蓋率,且介電常數較佳介於大約4與50之間,更佳介於大約8與30之間。根據本文所述之方法形成之金屬矽酸鹽膜較佳具有小於或等於大約百萬分之50,000(50,000 ppm),較佳小於或等於大約25,000 ppm,更佳小於或等於大約15,000 ppm,且最佳小於或等於大約10,000 ppm之碳雜質濃度。鹵素(例如,氯)雜質濃度小於或等於大約百萬分之20,000(20,000 ppm),較佳小於或等於大約10,000 ppm,更佳小於或等於大約5,000 ppm,且最佳小於或等於大約2,000 ppm。根據本文所述之方法形成之金屬矽酸鹽膜較佳在包括高縱橫比之溝渠以及通道的表面上具有小於大約1%之“晶圓內(within wafer,WIW)”均一性(1 sigma)。漏電流密度較佳地在大約1.5 nm之有效氧化物厚度(effective oxide thickness,EOT)下小於或等於大約1×10-3 A/cm2 ,更佳在大約1.5 nm之EOT下小於或等於大約1×10-4 A/cm2 ,且最佳在大約1.5 nm之EOT下小於或等於大約1×10-5 A/cm2 。根據本文所述之方法形成之金屬矽酸鹽膜較佳具有介於大約40%金屬/60% Si至大約90%金屬/10% Si之間的金屬以及矽濃度。
應瞭解較佳實施例之方法可用於形成包括鉿以及鋯之金屬矽酸鹽膜(亦即,Hfx Zry Ox ,其中“x”、“y”及“z”為大於零之數字)。在此情況下,金屬矽酸鹽膜可藉由沈積氧化鉿(HfOx)(或氧化鋯(ZrOX ))/氧化矽/氧化鋯(或氧化鉿)之交替層而形成。舉例而言,矽酸鉿鋯可自以下ALD循環系列沈積:氧化鉿/氧化矽/氧化鋯/氧化矽/氧化鉿/氧化矽/氧化鋯。或者,形成矽酸鉿鋯可包括沈積鉿-鋯混合氧化物(HfZrOx )層以及中間氧化矽層。在此情況下,可藉由ALD循環沈積矽酸鉿鋯:HfZrOx /SiOx /HfZrOx /SiOx 。在此情況下,在金屬氧化物階段,可同時或交替地將烷基醯胺鉿以及鋯源化學品脈衝輸入至反應空間內。作為一替代,在此情況下,包括鋯以及鉿之源化學品可用作金屬反應物。
將在兩個實例的情形中進一步說明前述實施例,其並非意欲限制本發明之範疇。
實例1
使用藉由ASM America,Inc.製造的PulsarTM 反應器在300 mm之矽晶圓上沈積矽酸鉿膜。此晶圓包括具有大於約50:1之深寬比(depth-to-width aspect ratio)的溝渠。在大約250℃至320℃之範圍的基板溫度下進行沈積。序列處理步驟包括以下步驟:(1)TEMAH脈衝;(2)Ar淨化;(3)H2 O脈衝;(4)Ar淨化;(5)SiCl4 脈衝;(6)Ar淨化;(7)H2 O脈衝;以及(8)Ar淨化。
重複步驟(1)至步驟(8)直至在矽晶圓上形成大約34厚之矽酸鉿膜為止。在溝渠內達成均一覆蓋率。達成超過95%的階梯覆蓋率。薄膜具有大約0.78%之均一性(1 sigma)。
實例2
在大約300℃之晶圓溫度下在300 mm之矽晶圓上成長矽酸鉿膜。TEMAH用作金屬反應物,SiCl4 用作矽反應物,且水用作氧化劑。脈衝序列為TEMAH/H2 O/SiCl4 /H2 O。在所述脈衝中之每一者之間用Ar淨化反應空間。每一循環包括TEMAH/H2 O脈衝序列,之後為五個SiCl4 /H2 O脈衝序列(亦即,Hf/Si脈衝比率為1:5)。矽酸鉿膜之成長速率為每循環大約0.95
所形成之矽酸鉿膜具有大約18%之鉿濃度,大約15%之矽濃度及大約66%之氧濃度。碳以及氯雜質含量分別小於大約1%(亦即,10,000 ppm)以及0.2%(亦即,2000 ppm),如藉由核反應分析(nuclear reaction analysis,NRA)以及拉塞福回向散射光譜術(rutherford backscattering spectrometry,RBS)所確定。矽酸鉿膜具有大約100%之階梯覆蓋率。
在前述實施例中之至少某些實施例中,在一個實施例中所使用之任何元件可在另一實施例中互換使用,除非所述替換為不可行的。
熟習此項技術者應瞭解,在不偏離本發明之範疇的情況下,可對上文所述之方法以及結構做出各種其他省略,添加及修改。所有修改以及變化意欲屬於由所附申請專利範圍所界定的本發明之範疇內。
102~116...步驟
120...第一階段
130...第二階段
140...第三階段
150...第四階段
160...第五階段
圖1為根據本發明之較佳實施例之脈衝序列之方塊圖。
102~116...步驟
120...第一階段
130...第二階段
140...第三階段
150...第四階段
160...第五階段

Claims (30)

  1. 一種原子層沈積方法,用於形成金屬矽酸鹽膜,所述原子層沈積方法包括交替地使在反應空間中的基板與烷基醯胺金屬化合物、鹵化矽化合物及氧化劑之氣相脈衝接觸,其中所述烷基醯胺金屬化合物包含鉿或鋯,且所述金屬矽酸鹽膜中的金屬實質上由鉿和鋯構成。
  2. 如申請專利範圍第1項所述之原子層沈積方法,其中所述基板依序與所述烷基醯胺金屬化合物、所述氧化劑及所述鹵化矽化合物接觸。
  3. 如申請專利範圍第1項所述之原子層沈積方法,其中所述基板依序與所述鹵化矽化合物、所述氧化劑及所述烷基醯胺金屬化合物接觸。
  4. 如申請專利範圍第1項所述之原子層沈積方法,其中所述烷基醯胺金屬化合物選自由四(乙基甲基胺基)金屬、四(二乙基胺基)金屬及四(二甲基胺基)金屬組成之群。
  5. 如申請專利範圍第1項所述之原子層沈積方法,其中所述鹵化矽化合物為氯化矽。
  6. 如申請專利範圍第1項所述之原子層沈積方法,其中所述氧化劑選自由水、臭氧及分子氧之電漿激發物質組成之群。
  7. 如申請專利範圍第1項所述之原子層沈積方法,進一步包括在所述氣相脈衝中之每一者之間淨化所述反應空間。
  8. 如申請專利範圍第7項所述之原子層沈積方法,其中用選自由氬、氮、氦以及氫組成之群之一或多種氣體淨化所述反應空間。
  9. 如申請專利範圍第1項所述之原子層沈積方法,進一步包括在抽汲系統的幫助下移除過量金屬化合物、矽化合物以及氧化劑。
  10. 如申請專利範圍第1項所述之原子層沈積方法,其中所述金屬矽酸鹽膜在介於150℃與500℃之間的溫度下形成。
  11. 如申請專利範圍第10項所述之原子層沈積方法,其中所述金屬矽酸鹽膜在介於250℃與350℃之間的溫度下形成。
  12. 如申請專利範圍第1項所述之原子層沈積方法,其中所述金屬矽酸鹽膜用於動態隨機存取記憶體元件中。
  13. 如申請專利範圍第1項所述之原子層沈積方法,其中所述金屬矽酸鹽膜用作互補金氧半導體元件中之閘極介電層。
  14. 如申請專利範圍第1項所述之原子層沈積方法,其中所述金屬矽酸鹽膜用作基於電容之元件之組件。
  15. 一種原子層沈積製程,用於在反應空間中在基板上形成金屬矽酸鹽膜,其中所述金屬矽酸鹽膜中的金屬實質上由鉿和鋯構成,所述原子層沈積製程包括:(a)使所述基板與烷基醯胺金屬化合物之氣相脈衝接觸,其中所述烷基醯胺金屬化合物包含鉿或鋯; (b)自所述反應空間移除過量烷基醯胺金屬化合物以及反應副產物;(c)使所述基板與第一氧化劑之氣相脈衝接觸;(d)自所述反應空間移除過量第一氧化劑以及反應副產物;(e)使所述基板與鹵化矽化合物之氣相脈衝接觸;(f)自所述反應空間移除過量鹵化矽化合物以及反應副產物;(g)使所述基板與第二氧化劑之氣相脈衝接觸;(h)自所述反應空間移除過量第二氧化劑以及反應副產物;以及(i)重複步驟(a)至步驟(h)直至在所述基板上形成所要厚度的所述金屬矽酸鹽膜為止。
  16. 如申請專利範圍第15項所述之原子層沈積製程,其中形成所述金屬矽酸鹽膜始於步驟(a)或步驟(e)。
  17. 如申請專利範圍第15項所述之原子層沈積製程,其中在步驟(e)至步驟(h)之前,重複步驟(a)至步驟(d)所要次數。
  18. 如申請專利範圍第15項所述之原子層沈積製程,其中在步驟(a)至步驟(d)之後,重複步驟(e)至步驟(h)所要次數。
  19. 如申請專利範圍第15項所述之原子層沈積製程,其中所述第一氧化劑與所述第二氧化劑相同。
  20. 如申請專利範圍第15項所述之原子層沈積製程, 其中所述第一氧化劑以及第二氧化劑選自由水、臭氧及分子氧之電漿激發物質組成之群。
  21. 如申請專利範圍第15項所述之原子層沈積製程,其中所述第一氧化劑包括水、臭氧及分子氧之電漿激發物質,且所述第二氧化劑不同於所述第一氧化劑。
  22. 如申請專利範圍第15項所述之原子層沈積製程,其中所述移除包括用惰性氣體淨化所述反應空間及/或在抽汲系統的幫助下抽汲所述反應空間。
  23. 如申請專利範圍第15項所述之原子層沈積製程,其中步驟(a)至步驟(d)形成選自由氧化鉿以及氧化鋯組成之群之金屬氧化物。
  24. 如申請專利範圍第15項所述之原子層沈積製程,其中步驟(e)至步驟(h)形成選自由SiO以及SiO2 組成之群之氧化矽。
  25. 一種金屬矽酸鹽膜,包括碳雜質以及鹵素雜質,其中所述金屬矽酸鹽膜中的金屬實質上由鉿和鋯構成,所述碳雜質濃度小於或等於50,000ppm,且所述鹵素雜質濃度小於或等於20,000ppm,且其中所述矽酸鉿膜具有大於或等於85%之階梯覆蓋率。
  26. 如申請專利範圍第25項所述之金屬矽酸鹽膜,其中所述階梯覆蓋率為100%。
  27. 如申請專利範圍第25項所述之金屬矽酸鹽膜,其中所述碳雜質濃度小於或等於10,000ppm。
  28. 如申請專利範圍第25項所述之金屬矽酸鹽膜,其 中所述鹵素雜質濃度小於或等於2,000ppm。
  29. 如申請專利範圍第25項所述之金屬矽酸鹽膜,其中所述矽酸鉿膜為動態隨機存取記憶體元件的部分。
  30. 如申請專利範圍第25項所述之金屬矽酸鹽膜,其中所述矽酸鉿膜為在互補金氧半導體元件中之閘極介電層的部分。
TW096124005A 2006-07-21 2007-07-02 金屬矽酸鹽膜的原子層沈積 TWI493071B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/490,875 US7795160B2 (en) 2006-07-21 2006-07-21 ALD of metal silicate films

Publications (2)

Publication Number Publication Date
TW200811308A TW200811308A (en) 2008-03-01
TWI493071B true TWI493071B (zh) 2015-07-21

Family

ID=38957455

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096124005A TWI493071B (zh) 2006-07-21 2007-07-02 金屬矽酸鹽膜的原子層沈積

Country Status (6)

Country Link
US (1) US7795160B2 (zh)
EP (1) EP2044620A2 (zh)
JP (1) JP2009545138A (zh)
KR (2) KR101442212B1 (zh)
TW (1) TWI493071B (zh)
WO (1) WO2008011235A2 (zh)

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7537804B2 (en) 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
WO2008042981A2 (en) * 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
KR101451716B1 (ko) * 2008-08-11 2014-10-16 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US9711373B2 (en) * 2008-09-22 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate dielectric for high-k metal gate devices
US8969188B2 (en) 2008-09-26 2015-03-03 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2010267925A (ja) * 2009-05-18 2010-11-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI392759B (zh) * 2009-09-28 2013-04-11 Univ Nat Taiwan 透明導電薄膜及其形成方法
KR101584100B1 (ko) * 2009-10-29 2016-01-13 삼성전자주식회사 금속 실리케이트 막의 형성 방법 및 이를 이용한 반도체 소자의 형성 방법
KR101654027B1 (ko) * 2010-03-16 2016-09-06 삼성전자주식회사 반도체 소자의 제조 방법
CN102471885A (zh) * 2010-04-01 2012-05-23 乔治洛德方法研究和开发液化空气有限公司 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积
JP5693348B2 (ja) * 2010-05-28 2015-04-01 東京エレクトロン株式会社 成膜方法および成膜装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9330899B2 (en) * 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
JP6087609B2 (ja) 2012-12-11 2017-03-01 東京エレクトロン株式会社 金属化合物膜の成膜方法、成膜装置、および電子製品の製造方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR101993355B1 (ko) 2013-03-13 2019-09-30 삼성전자주식회사 반도체 장치의 제조 방법
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
KR102052664B1 (ko) 2013-03-15 2019-12-06 삼성전자주식회사 트리알킬실란 계열의 실리콘 전구체 및 이를 이용하는 박막 형성 방법
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102109679B1 (ko) * 2013-11-07 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US20160111342A1 (en) * 2014-10-17 2016-04-21 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6068539B2 (ja) * 2015-03-25 2017-01-25 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
TWI740848B (zh) * 2015-10-16 2021-10-01 荷蘭商Asm智慧財產控股公司 實施原子層沉積以得閘極介電質
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10720526B2 (en) * 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for dielectric layers
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11970769B2 (en) * 2021-06-24 2024-04-30 Asm Ip Holding B.V. Cyclical deposition methods
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010024387A1 (en) * 1999-12-03 2001-09-27 Ivo Raaijmakers Conformal thin films over textured capacitor electrodes
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
CN1689147A (zh) * 2003-04-17 2005-10-26 富士通株式会社 高电介质膜的形成方法
US20060264066A1 (en) * 2005-04-07 2006-11-23 Aviza Technology, Inc. Multilayer multicomponent high-k films and methods for depositing the same

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPS5949687B2 (ja) 1977-05-30 1984-12-04 株式会社東芝 半導体装置
JPS6065712A (ja) 1983-09-20 1985-04-15 Toshiba Corp 酸化けい素被膜の形成方法
JPH0382769A (ja) 1989-08-28 1991-04-08 Dainippon Screen Mfg Co Ltd シリコン酸化膜形成方法およびその装置
JPH03286531A (ja) 1990-04-02 1991-12-17 Kawasaki Steel Corp シリコン酸化膜の形成方法
US5187241A (en) 1990-05-15 1993-02-16 International Business Machines Corporation Isoimide modifications of a polyimide and reaction thereof with nucleophiles
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JPH0680413A (ja) 1992-08-27 1994-03-22 Toshiro Maruyama 二酸化珪素膜の化学気相成長法
FI92897C (fi) 1993-07-20 1995-01-10 Planar International Oy Ltd Menetelmä kerrosrakenteen valmistamiseksi elektroluminenssikomponentteja varten
JP3618110B2 (ja) 1993-08-30 2005-02-09 株式会社デンソー エレクトロルミネッセンス素子の製法
DE4437752A1 (de) 1994-10-21 1996-04-25 Basf Ag Verfahren zur Herstellung von siliciumoxidbeschichteten Feststoffteilchen
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
US5603750A (en) 1995-08-14 1997-02-18 Minnesota Mining And Manufacturing Company Fluorocarbon fluids as gas carriers to aid in precious and base metal heap leaching operations
JP3317387B2 (ja) 1996-06-03 2002-08-26 シャープ株式会社 アクティブマトリクス基板およびその製造方法
TW468253B (en) 1997-01-13 2001-12-11 Hitachi Ltd Semiconductor memory device
KR20010005944A (ko) 1997-04-03 2001-01-15 캠벨 존 에스 우수한 유전 강도와 낮은 유전율을 가진 물질
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
EP1148051A3 (en) 1998-10-09 2003-10-15 Mitsui Chemicals, Inc. 1,3-dialkyl-2-imidazolidinones and a manufacturing process therefor
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6537613B1 (en) 2000-04-10 2003-03-25 Air Products And Chemicals, Inc. Process for metal metalloid oxides and nitrides with compositional gradients
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6849305B2 (en) 2000-04-28 2005-02-01 Ekc Technology, Inc. Photolytic conversion process to form patterned amorphous film
JP3687651B2 (ja) 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
US7402539B2 (en) * 2000-08-10 2008-07-22 Japan Vilene Co., Ltd. Battery separator
KR100814980B1 (ko) 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003082464A (ja) 2001-09-10 2003-03-19 Mitsubishi Electric Corp 化学気相成長法用液体原料、化学気相成長法による膜形成方法、および、化学気相成長装置
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US7045170B1 (en) 2002-04-03 2006-05-16 Sandia Corporation Anti-stiction coating for microelectromechanical devices
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
EP1523763A4 (en) 2002-07-18 2008-12-24 Aviza Tech Inc MOLECULAR LAYER DEPOSITION OF THIN FILMS WITH MIXED COMPONENTS
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
KR100463633B1 (ko) 2002-11-12 2004-12-29 주식회사 아이피에스 하프늄 화합물을 이용한 박막증착방법
KR100469158B1 (ko) 2002-12-30 2005-02-02 주식회사 하이닉스반도체 반도체소자의 캐패시터 형성방법
JP4212435B2 (ja) 2003-08-29 2009-01-21 株式会社東芝 半導体装置およびその製造方法
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
JP4059183B2 (ja) 2003-10-07 2008-03-12 ソニー株式会社 絶縁体薄膜の製造方法
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7651729B2 (en) * 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US20060211259A1 (en) 2005-03-21 2006-09-21 Maes Jan W Silicon oxide cap over high dielectric constant films
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
JP2007088113A (ja) * 2005-09-21 2007-04-05 Sony Corp 半導体装置の製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010024387A1 (en) * 1999-12-03 2001-09-27 Ivo Raaijmakers Conformal thin films over textured capacitor electrodes
CN1689147A (zh) * 2003-04-17 2005-10-26 富士通株式会社 高电介质膜的形成方法
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
US20060264066A1 (en) * 2005-04-07 2006-11-23 Aviza Technology, Inc. Multilayer multicomponent high-k films and methods for depositing the same

Also Published As

Publication number Publication date
KR20090033482A (ko) 2009-04-03
EP2044620A2 (en) 2009-04-08
JP2009545138A (ja) 2009-12-17
KR101442212B1 (ko) 2014-09-18
US7795160B2 (en) 2010-09-14
KR20140063897A (ko) 2014-05-27
US20080020593A1 (en) 2008-01-24
KR101505970B1 (ko) 2015-03-26
TW200811308A (en) 2008-03-01
WO2008011235A2 (en) 2008-01-24

Similar Documents

Publication Publication Date Title
TWI493071B (zh) 金屬矽酸鹽膜的原子層沈積
TWI410513B (zh) 金屬矽化物膜之原子層沈積
KR102588666B1 (ko) 기판 상의 구조물 형성 방법
TWI426547B (zh) 用於批次原子層沈積反應器之處理製程
US7816278B2 (en) In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
JP4746269B2 (ja) 低温度におけるゲートスタック製造方法
TWI457983B (zh) 用於在反應空間中的基板上形成薄膜的原子層沉積製程
JP6457101B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR20090068179A (ko) 실리콘 이산화물을 포함하는 박막의 제조 방법
JP2008038254A (ja) ラジカルアシストによるバッチ式膜堆積
JP2007516599A (ja) ゲルマニウム上の堆積前の表面調製
JP2012522379A (ja) 低減された等価酸化膜厚を有する高誘電率ゲートスタックの形成方法
KR20090092728A (ko) 원자층 증착 기술을 이용한 도핑 방법
JP2020133002A (ja) 反応チャンバーにおいて循環堆積プロセスにより基材上に酸化ハフニウムランタン膜を堆積させるための方法
JP2007502021A (ja) 基板上への材料蒸着方法及び基板上への層形成方法
US20130316546A1 (en) Methods of atomic layer deposition of hafnium oxide as gate dielectrics
TWI841680B (zh) 於反應腔室中藉由循環沉積製程於基板上沉積鉿鑭氧化物膜之方法
KR100920402B1 (ko) 저온 게이트 스택
EP1425785A2 (en) Method of fabricating a gate stack at low temperature