KR20090033482A - 금속 실리케이트 막들의 원자층 증착 - Google Patents

금속 실리케이트 막들의 원자층 증착 Download PDF

Info

Publication number
KR20090033482A
KR20090033482A KR1020097003448A KR20097003448A KR20090033482A KR 20090033482 A KR20090033482 A KR 20090033482A KR 1020097003448 A KR1020097003448 A KR 1020097003448A KR 20097003448 A KR20097003448 A KR 20097003448A KR 20090033482 A KR20090033482 A KR 20090033482A
Authority
KR
South Korea
Prior art keywords
metal
oxidant
substrate
reaction space
silicon
Prior art date
Application number
KR1020097003448A
Other languages
English (en)
Other versions
KR101442212B1 (ko
Inventor
창-공 왕
에릭 제이. 쉬로
글렌 윌크
얀 빌렘 마에즈
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20090033482A publication Critical patent/KR20090033482A/ko
Application granted granted Critical
Publication of KR101442212B1 publication Critical patent/KR101442212B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2

Abstract

금속 실리케이트 막들을 형성하기 위한 방법들이 제공된다. 상기 방법들은 기판을 금속 소스 화학 물질, 실리콘 소스 화학 물질 및 산화제의 교대적이면서 순차적인 기상 펄스들과 접촉시키는 단계를 포함한다. 바람직한 구현예들에 있어서, 알킬 아미드 금속 화합물과 실리콘 할로겐화 화합물이 사용된다. 바람직한 구현예들에 따른 방법들은 높은 종횡비 피쳐들(예를 들면, 비아들 및/또는 트렌치들)을 포함하는 기판 표면들 위에 실질적으로 균일한 막 도포성을 갖는 하프늄 실리케이트와 지르코늄 실리케이트 막들을 형성하기 위하여 사용될 수 있다.

Description

금속 실리케이트 막들의 원자층 증착 {ALD of metal silicate films}
본 발명은 금속 실리케이트 막들에 관한 것이다. 특히, 본 발명은 원자층 증착(ALD: atomic layer deposition)에 의하여 금속 실리케이트 막들을 형성하기 위한 방법들에 관한 것이다.
<관련 출원의 참조>
본 출원은 2002년 5월 31일 출원된 Tois 등의 미합중국 특허출원 제10/148,525호 및 2003년 10월 3일 출원된 Tois 등의 미합중국 특허출원 제10/678,766호와 관련되며, 상기 출원들은 여기에 그 전체가 인용되어 포함된다.
<관련 기술의 설명>
집적회로들에서의 부품들의 집적도는 증가하고 있으며, 그 결과 집적회로(IC: integrated circuit) 부품들 및 배선들의 크기 축소에 대한 요구가 급속히 제기된다. 디자인 룰(design rule)들은 피쳐(feature) 크기들을 0.2 ㎛ 이하로 설정하면서 깊은 바닥면들과 비아(via)들을 완전히 도포하는 막을 만드는데, 이는 전통적인 방법을 사용하여서는 얻기 어렵다. 또한, 피쳐 크기들이 감소함에 따라 양자 역학적 터널링("터널링", tunneling)은 누설 전류, 다시 말해 소자의 피쳐 외부로 (예를 들면, 게이트 산화물을 가로질러) 누설되는 전류를 가져오는데, 이는 소 자 성능에 부정적인 영향을 미친다. 이러한 이유로 매우 얇은 SiO2 막들은, 예를 들면, MOSFET (metal-oxide-semiconductor field-effect transistor: 금속-산화물-반도체 전계-효과 트랜지스터) 소자들에서 게이트 유전체(게이트 산화물)로서 신뢰성이 낮다. 따라서, 고 유전상수를 갖는 유전 물질("고 유전상수 유전체"(high-k dielectric))이 바람직하다.
바람직하게는, 고 유전상수 유전체 물질들은 실리콘 표면들 위에 증착되고, 열적 어닐링(annealing) 공정들 하에서 안정한 상태로 남아 있어야 한다. 게이트 유전체로서의 응용에 있어서, 전기적으로 활성이 있는(electrically active) 결함들은 실리콘 웨이퍼들과 고 유전상수 유전체 사이의 계면들에서 형성되는 것이 방지되거나 또는 최소화되어야 한다. DRAM (dynamic random access memory) 응용들과 같은 메모리 응용들에 있어서, 바람직하게는 상기 유전체의 구조는 높은 활성화 온도 하에서 충분히 안정적이어야 한다. 실리콘 산화물(SiOx, 여기서 'x' = 1 또는 2)을 금속 산화물과 혼합하면 바람직한 성질들을 갖는 고 유전상수 유전체로서 사용될 수 있는 안정한 금속 실리케이트들이 형성됨이 확인된 바 있다.
CMOS (complementary metal oxide semiconductor) 응용과 같은 일부 응용 분야들에 있어서, 하프늄 실리케이트(HfSiOx)와 지르코늄 실리케이트(ZrSiOx)는 약 65 나노미터(nm) 또는 그 미만의 소자 피쳐 크기들을 갖는 집적회로들에서 우수한 열적 안정성과 소자 성능을 제공할 수 있기 때문에 실리콘 산화물을 대체하기 위하여 사용되어 왔다. 그러나, 피쳐들의 크기가 감소함에 따라, 현재 및 미래 세대의 집 적회로들에 대하여 적합하도록 조성의 그리고 두께의 균일성을 지닌 채 하프늄 실리케이트 막들을 증착하는 것이 점점 더 어려워져 왔다.
종래 기술의 ALD 방법들에 따르는 문제의 하나는 할로겐계 소스 화학 물질들(예를 들면, MX4 및 SiY4, 여기서 "M"은 금속이고 "X" 및 "Y"는 할로겐화물들임)은 금속 실리케이트 막 내에 할로겐 원자들을 남기게 되고, 이는 CMOS 및 DRAM 소자들과 같은 전기 소자들의 성능을 열화시키기에 충분히 높은 "고정 전하(fixed charge)" 농도들로 이어진다. 한편, 순수 유기 소스 화학 물질들은 막 내에서 전하 중심들(charge centers)로서 거동하는 탄소 불순물들로 이어지게 된다. 탄소 불순물들은 농도가 높아지면 누설 전류들을 증가시켜, CMOS 소자들에서는 전력 소모를 증가시키고 DRAM 소자들에서는 저장 능력을 감소시키는 결과를 가져온다.
할로겐계 또는 유기계 소스 화학 물질들만을 이용하여 형성된 금속 실리케이트 막들에 따르는 문제점들을 개선하기 위하여 이전까지 채용되었던 방법들은 통상 그 막들의 어닐링 및/또는 산화를 수반한다. 이들 방법들은 처리 비용을 현저히 증가시키는 결과로 이어질 수 있고, 또한 금속 실리케이트 막과 그 하부의 기판 사이의 계면의 산화를 촉진할 수 있는데 이는 열악한 막질과 열악한 소자 성능으로 이어질 수 있다.
본 발명의 일 측면에 따르면, 금속 실리케이트 막을 형성하기 위한 ALD 방법들이 제공된다. 바람직한 구현예들에서, 상기 방법들은 반응 공간 내의 기판을 알킬 아미드(alkyl amide) 금속 화합물, 실리콘 할로겐화 화합물, 및 산화제의 기상 펄스들과 교대로 접촉시키는 단계를 포함한다.
일부 구현예들에서, 금속 실리케이트 막을 형성하기 위한 ALD 방법들은 (a) 상기 기판을 알킬 아미드 금속 화합물의 기상 펄스와 접촉시키는 단계; (b) 상기 반응 공간으로부터 과잉의 알킬 아미드 금속 화합물과 반응 부산물들을 제거하는 단계; (c) 상기 기판을 제 1 산화제의 기상 펄스와 접촉시키는 단계; (d) 상기 반응 공간으로부터 과잉의 제 1 산화제와 반응 부산물들을 제거하는 단계; (e) 상기 기판을 실리콘 할로겐화 화합물의 기상 펄스와 접촉시키는 단계; (f) 상기 반응 공간으로부터 과잉의 실리콘 할로겐화 화합물과 반응 부산물들을 제거하는 단계; (g) 상기 기판을 제 2 산화제의 기상 펄스와 접촉시키는 단계; (h) 상기 반응 공간으로부터 과잉의 제 2 산화제와 반응 부산물들을 제거하는 단계; 및 (i) 원하는 두께의 하프늄 실리케이트 막이 상기 기판 위에 형성될 때까지 상기 단계들 (a) 내지 단계 (h)를 반복하는 단계를 포함한다.
다른 구현예들에서, DRAM (dynamic random access memory) 소자에 사용하기 위한 금속 실리케이트 막을 형성하는 ALD 방법들이 제공된다. 상기 방법들은 반응 공간 내의 기판 위에 금속 산화물을 증착하기 위하여 알킬 아미드 금속 화합물과 산화제의 기상 펄스들을 상기 반응 공간 내부로 교대로 또한 순차적으로 제공하는 단계를 포함한다. 상기 방법들은 상기 기판 위에 실리콘 산화물을 증착하기 위하여 실리콘 할로겐화 화합물 및 산화제의 기상 펄스들을 상기 반응 공간 내부로 교대로 또한 순차적으로 제공하는 단계를 더 포함한다.
또 다른 구현예들에서, CMOS (complementary metal oxide semiconductor) 소자의 게이트 유전체로서 사용하기 위한 금속 실리케이트 막을 형성하는 ALD 방법들이 제공된다. 상기 방법들은 반응 공간 내의 기판 위에 금속-함유 막을 많아야 단일층(monolayer)으로 증착하기 위하여 알킬 아미드 금속 화합물의 기상 펄스를 상기 반응 공간 내부에 제공하는 단계를 포함한다. 증착된 상기 금속을 금속 산화물로 산화시키고, 그에 의하여 금속-산화물 함유 막을 형성하기 위하여 상기 반응 공간 내부에 산화제의 기상 펄스를 제공한다. 상기 기판 위에 실리콘을 증착하기 위하여 상기 반응 공간 내부로 실리콘 할로겐화 화합물의 기상 펄스가 제공된다. 증착된 실리콘을 실리콘 산화물로 산화시키고 그에 의하여 금속 실리케이트 막을 형성하기 위하여, 상기 반응 공간 내부로 산화제의 기상 펄스를 제공한다.
다른 구현예들에서, 하프늄 실리케이트 막들이 제공된다. 상기 하프늄 실리케이트 막들은 약 50,000 ppm (parts-per-million) 이하 농도의 탄소 불순물과 약 20,000 ppm 이하 농도의 할로겐 불순물을 포함하는 것이 바람직하다. 일부 구현예들에서, 상기 하프늄 실리케이트 막들은 약 85% 이상의 단차 도포성들을 갖는다.
이들 구현예들 전부는 여기에 개시된 본 발명의 범위 내에 있을 것이 의도된다. 본 발명의 이 구현예들 또는 다른 구현예들은 후속되는 일부 바람직한 구현예들의 발명의 상세한 설명과 첨부된 도면의 참조로부터 당 기술분야에서 통상의 지식을 가진 자에게 용이하게 명백해질 것이다. 본 발명은 개시된 특정 바람직한 구현예들 중 어느 것에도 한정되지 않는다.
본 발명은 바람직한 실시예들의 상세한 설명과 첨부된 도면으로부터 더 잘 이해될 것이다. 상기 상세한 설명과 첨부된 도면은 예시적인 것일 뿐 본 발명을 한정할 의도는 아니다.
도 1은 본 발명의 바람직한 구현예에 따른 펄스 순서를 나타낸 블록도이다.
알킬 아미드 금속 소스 화학 물질들(즉, 알킬 아미드 리간드들을 포함하는 금속 소스 화학 물질들)을 할로겐-계 실리콘 소스 화학 물질들과 조합하여 이용함으로써 형성된 금속 실리케이트 막들은 충분히 낮은 온도에서 고품질의 금속 실리케이트 막들을 형성할 수 있게 하고, 그에 의하여 종래기술에 따른 방법들을 이용하여 형성된 막들에 비하여 개선된 단차 도포성을 가능하게 하는 장점이 있다. 또한, 알킬 아미드 금속 소스 화학 물질들은 더 낮은 활성화 장벽으로 인하여 할로겐-계 소스 화학 물질들만을 이용하여 형성되는 막들에 비하여 더 낮은 온도에서도 높은 성장 속도들을 가능하게 하고, 따라서 처리 비용을 현저하게 절감하는 것을 가능하게 한다. 바람직한 방법들에 따라 형성된 막들은 CMOS 소자들에서의 게이트 스택들, DRAM 소자들에서의 유전층들, 및 다른 캐패시터-계 소자들의 구성요소들과 같은 다양한 응용들에 대하여 개선된 규모-변경성(scalability)와 고정 전하 특성들을 제공하는 탄소 및 할로겐 불순물 레벨을 갖는다.
여기에서 사용될 때, "ALD 공정"은 자기-포화성(self-saturating)의 화학 반응들을 이용하여 기판 위에 박막을 분자층 단위로 한 층씩(molecular layer by molecular layer) 생성하기 위한 공정을 일반적으로 가리킨다. ALD의 일반적인 원 리들은, 예를 들면, 미합중국 특허 제4,058,430호 및 제5,711,811호에 개시되고, 또한, 예를 들면, Handbook of Crystal Growth 3, Thin Films and Epitaxy, Part B: Growth Mechanisms and Dynamics, Chapter 14, Atomic Layer Epitaxy, pp. 601-663, Elsevier Science B.V. 1994에 있는 Suntola의 저작물에 개시되어 있으며, 이들은 그 개시된 바 전체가 여기에 인용되어 통합된다. 통상의 ALD 공정에서, 가스 형태의 반응물들은 ALD 타입 반응기의 반응 공간 내부로 개별적으로 (보통은 교대적으로 그리고 순차적으로) 안내되어, 상기 공간 내에 위치하는 기판과 접촉함으로써 표면 반응을 제공한다. 상기 반응 공간의 온도와 압력은 전구체들의 물리 흡착(physisorption)(즉, 가스들의 응축) 및 열분해가 일어나지 않도록 하는 범위로 조절된다. 또한, 자기 자신들과는 반응하지 않은 반응물들이 선택된다. 결과적으로, 각 펄싱(pulsing) 사이클 동안 한 번에 오직 하나의 단일층(즉, 원자층 또는 분자층)의 물질만이 증착된다. 상기 박막의 실제 성장 속도는 통상 Å/펄싱 사이클로 나타내어지는데, 예를 들면 반응물 분자의 크기 및 사용 가능한 반응성 표면점들(surface sites)의 수에 의존한다. 즉, 일단 모든 사용 가능한 결합점들(binding sites)이 채워지면, 추가적인 표면 반응들은 불가능하다. 전구체들과 어떤 원하지 않는 반응 부산물들 사이의 가스상 반응들은 반응물 펄스들이 시간적으로 및/또는 공간적으로 서로 분리되기 때문에 억제된다. 과잉의 가스 반응물들과 있을지도 모르는 반응 부산물들을 제거하기 위하여 반응물 펄스들 사이에 상기 반응 공간은 통상 불활성 가스(예를 들면, N2, Ar, He, 또는 H2)로 퍼지되고, 및/또 는, 예를 들면, 진공 펌프를 이용하여 비워진다.
정의들
"반응 공간"은 반응기 또는 반응 챔버, 또는 그 안의 임의로 정의된 부피로서 ALD에 의하여 기판 상에 막 성장이 일어나도록 그 내부에서의 조건들이 조절될 수 있는 부피를 가리키기 위해 사용된다. 상기 반응 공간은 통상 모든 반응 가스 펄스들에 영향을 받는 표면들을 포함한다. 상기 반응 가스 펄스들로부터 유래한 가스들 또는 입자들은 정상 작동 중에 비말 동반된 흐름 또는 확산에 의하여 상기 기판으로 유동할 수 있다. 상기 반응 공간은, 예를 들면, 단일-웨이퍼 ALD 반응기 내의 반응 챔버일 수도 있고 또는 증착이 여러 기판들 위에서 일거에 일어나는 배치(batch) ALD 반응기의 반응 챔버일 수도 있다. 상기 반응기는 플라스마 생성이 인 시투(in situ)로 또는 원격적으로 일어나도록 구성될 수 있다.
"플라스마-여기된 종(plasma-excited species)"은 반응물 가스에 에너지를 적용(또는 결합)함으로써 생성되는 라디칼들, 이온들 또는 다른 여기된 종들을 가리킨다. 에너지는, 예를 들면, 유도, 자외선 조사, 마이크로웨이브 및 용량적 결합(capacitive coupling)과 같은 다양한 방법들을 통하여 가해질 수 있다. 플라스마 생성기는 직접 플라스마 생성기(즉, 인 시투 또는 직접 플라스마 생성)일 수도 있고 또는 원격적 플라스마 생성기(즉, 엑스 시투(ex situ) 또는 원격적 플라스마 생성)일 수도 있다. 결합 에너지가 없으면, 플라스마 생성은 종료된다. 플라스마-여기된 종들은 수소 라디칼들을 포함하지만 여기에 한정되지 않는다. 인 시투 플 라스마 생성에 있어서, 특정 기상 화학 물질(예를 들면, O2)의 플라스마-여기된 종들은 처리될 기판을 포함하는 반응 공간 내에서 생성된다. 원격적 플라스마 생성에 있어서, 플라스마-여기된 종들은 상기 기판을 포함하는 반응 공간 외부에서 생성된다.
"흡착(adsorption)"은 원자들 또는 분자들이 표면 위에 화학적으로 부착되는 것을 가리키기 위해 사용된다.
"기판(substrate)"은 그 위에 증착을 하고자 하는 임의의 가공 대상물을 가리키기 위해 사용된다. 통상적인 기판들은, 실리콘, 실리카, 코팅된 실리콘, 구리 금속 및 나이트라이드를 포함하지만 여기에 한정되지 않는다.
"표면(surface)"은 상기 반응 공간과 상기 기판의 피쳐(feature) 사이의 경계를 가리키기 위하여 사용된다.
"금속 실리케이트 막(metal silicate film)"은 실리콘, 하나 또는 그 이상의 금속들 및 산소를 포함하는 막을 가리킨다. 금속 실리케이트 막은 일반적으로 MxSiyOz로 표시될 수 있는데, 여기서, "M"은 하나 또는 그 이상의 금속들을 가리키고, "x", "y" 및 "z"는 0보다 큰 숫자들이다. 금속 실리케이트 막은 실리콘 산화물(예를 들면, SiO, SiO2)과 금속 산화물을 층층이 교대하는 층들로 증착함으로써 형성될 수 있다. 한 예를 들면, 하프늄 실리케이트 막은 HfO2와 SiO2가 교대하는 층들로부터 형성될 수 있다. 다른 예를 들면, 하프늄 실리케이트 막은 실리콘 산화물의 층에 의하여 분리된 하프늄 산화물의 세 층들을 증착함으로써 형성될 수 있 다. 바람직하게는, 상기 금속 실리케이트 막은 미시적 규모에서 균일한 조성을 전체적으로 갖는다.
금속 실리케이트 증착 공정
여기에 제공된 방법들은 기판 표면 위에 금속 실리케이트 막을 콘포말(conformal)하게 제어하여 증착하는 것을 가능하게 한다. 종횡비(aspect-ratio)가 큰 피쳐(예를 들면, 비아들 및 트렌치들)에 증착하는 것과 같이 기하학적으로 어려운 응용들은 여기에서 제공되는 바람직한 화학 물질들을 이용하는 표면 반응들의 자기-제한적인 성질로 인하여 가능해진다.
일부 바람직한 구현예들에 따르면, ALD 공정은 집적 회로(IC) 작업 대상물(workpiece)과 같은 기판 위에 금속 실리케이트 막을 형성하기 위해 사용된다. 상기 기판 또는 작업 대상물은 반응 공간 내에 재치되고, 실리콘 소스 화학 물질, 금속 소스 화학 물질 및 산화제가 교대적으로 반복되는 표면 반응들에 노출된다. 바람직한 ALD 방법들은 플라스마-여기된 종들이 산화제로서 이용되는 플라스마-강화 ALD (PEALD: plasma-enhanced ALD) 공정들과 증착되는 동안 기판이 가열되는 "열적" ALD 공정들을 포함한다.
바람직하게는, 각 ALD 사이클은 적어도 네 개의 증착 단계(step)들 또는 시기(phase)들을 포함하며, 적어도 세 개의 상이한 반응물들을 이용한다. 비록 "제 1 반응물", "제 2 반응물", 및 "제 3 반응물"이라고 지칭되더라도, 이러한 표시들은 반응물들이 이러한 순서대로 도입되어야 함을 함축하고 있는 것이 아니다. 일부 구현예들에서, ALD 사이클은 제 2 반응물 또는 제 3 반응물로 개시될 수 있다. 일부 경우들에 있어서는, 제 4 반응물이 채용될 수 있는데, 예를 들면, (이하에서 더욱 상세하게 논의하는 바와 같이) 두 가지의 상이한 산화종(oxidizing species)들을 사용하고자 하는 경우이다. 유사하게, 비록 제 1 반응물, 제 2 반응물, 제 3 반응물 및 제 4 반응물로 지칭되더라도 이들은 반드시 이러한 순서로 수행되는 것은 아니다. 예를 들면, 일부 경우들에 있어서 제 3 시기로 시작될 수 있다. 추가적인 시기들은, 예를 들면, 원하는 막 조성에 따라 포함될 수 있다.
제 1 반응물(여기서는 "금속 반응물"로 지칭되기도 함)은 금속 소스 화학 물질이며, 기판 표면 위에 하나의 금속(또는 복수의 금속들을 포함하는 소스 화학 물질이 사용되거나 또는 복수의 금속 소스 화학 물질들이 사용되는 경우에는 복수의 금속들)으로 된 대략 하나의 단일층(monolayer)만으로 화학흡착(chemisorb)될 것이다. 상기 금속 반응물은 증착될 상기 금속 실리케이트 막에 있어서 희망되는 전이금속("금속") 종들을 포함하는 것이 바람직하다. 바람직하게는, 상기 금속 반응물은 지르코늄(Zr) 및 하프늄(Hf) 중의 어느 하나를 포함하거나 이들 둘을 모두 포함하는 기상의 종들이다.
상기 금속 반응물은 알킬 및/또는 아미드 기들을 포함하는 화합물인 것이 바람직하고, 알킬 아미드 금속 화합물인 것이 더욱 바람직하다. 바람직한 알킬 아미드 금속 화합물들은 테트라키스(에틸메틸아미노)금속 (TEMA-m: tetrakis(ethylmethylamino)metal, 여기서 "m"은 금속임), 테트라키스(디에틸아미노)금속(TDEA-m: tetrakis(diethylamino)metal), 및 테트라키스(디메틸아미노)금속(TDMA-m: tetrakis(dimethylamino)metal)을 포함한다. 형성될 금속 실리케이트 막이 만일 Hf를 포함하면, 상기 금속 반응물은 알킬 아미드 Hf 소스 화학 물질인 것이 바람직하고, 테트라키스(에틸메틸아미노)하프늄 (TEMAH: tetrakis(ethylmethylamino)hafnium), 테트라키스(디에틸아미노)하프늄(TDEAH: tetrakis(diethylamino)hafnium), 및 테트라키스(디메틸아미노)하프늄(TDMAH: tetrakis(dimethylamino)hafnium)으로 구성되는 군으로부터 선택되는 소스 화학 물질인 것이 더욱 바람직하다. 형성될 금속 실리케이트 막이 만일 Zr을 포함하면, 상기 금속 반응물은 알킬 아미드 지르코늄 화합물인 것이 바람직하고, 테트라키스(에틸메틸아미노)지르코늄 (TEMAZ: tetrakis(ethylmethylamino)zirconium), 테트라키스(디에틸아미노)지르코늄(TDEAZ: tetrakis(diethylamino)zirconium), 및 테트라키스(디메틸아미노)지르코늄(TDMAZ: tetrakis(dimethylamino)zirconium)으로 구성되는 군으로부터 선택되는 소스 화학 물질인 것이 더욱 바람직하다.
제 2 반응물(여기서는 "산화제" 또는 "산화종(oxidizing species)"으로 지칭되기도 함)은 산화제를 포함한다. 바람직한 구현예들에 있어서, 상기 산화제는 상기 기판 표면 위의 금속들과 실리콘을 산화시킬 수 있다. 바람직한 구현예들에 있어서, 상기 산화제는 물, 오존 및 분자 산소(O2)의 플라스마-여기된(plasma-excited) 종들로 구성되는 군으로부터 선택되는 기상의 종들이다. 상기 O2의 플라스마-여기된 종들이 사용되는 경우, 상기 산화제는 산소 이온들 및/또는 라디칼들(즉, 산소의 플라스마-여기된 종들)을 포함한다. 위에서 언급된 바와 같이, 산소의 플라스마-여기된 종들은, 예를 들면, 샤워헤드-타입의 PEALD 반응기를 이용하여 상기 기판을 수용하는 반응 공간 내에서 생성될 수 있다. 이러한 샤워헤드-타입의 PEALD 반응기는 미합중국 특허출원 제10/486,311호에 개시되어 있는 바와 같으며, 미합중국 특허출원 제10/486,311호는 그 개시된 바 전체가 여기에 인용되어 통합된다. 다른 구현예들에 있어서, 산소의 플라스마-여기된 종들은 외부에서 생성되어 (즉, 원격적 플라스마 생성) 상기 기판을 수용하는 상기 반응 공간 내부로 보내어진다. 상기 산화제는 상기 기판 표면 위의 금속 및/또는 실리콘과 반응하여 금속 산화물 및/또는 실리콘 산화물을 형성하는 것이 바람직하다.
만일 플라스마-여기된 종들이 인 시투로 형성된다면, 플라스마 생성을 조절하기 위하여 다양한 "플라스마 파라미터들"이 선택될 수 있다. 플라스마 파라미터들은 정시의(on time) 고주파("RF": radio frequency) 전력, RF 전력 진폭, RF 전력 주파수, 반응물 농도, 반응물 유속, 반응 공간의 압력, 전체 기체의 유량, 반응물 펄스의 지속 시간 및 이격 시간(separation), 및 RF 전극-기판간 간격을 포함하지만 여기에 한정되는 것은 아니다. 예를 들면, 상기 샤워헤드와 상기 기판 표면 사이의 간격은 산소의 플라스마-여기된 종들의 상당 부분이 상기 기판의 표면까지 보내어지도록 선택될 수 있다. 이러한 방식으로, 상기 산소의 플라스마-여기된 종들이 상기 반응 공간의 다른 위치(예를 들면, 플라스마를 고려하지 않은 반응 공간의 벽들)에 노출되는 것이 완전히 제거되는 것은 아니더라도 최소화될 수는 있다.
제 3 반응물(여기서는 "실리콘 반응물"로 지칭되기도 함)은 기상의 실리콘 소스 화학 물질(여기서는 "실리콘 소스 물질" 또는 "실리콘 할로겐화물 소스 화학 물질"로도 지칭됨)인 것이 바람직하며, 실리콘의 대략 오직 하나의 단일층을 형성 하기 위하여 자기-제한적(self-limiting) 방식으로 상기 기판 표면 상에 화학적으로 흡착(화학흡착, chemisorb)될 것이다. 바람직한 구현예들에 있어서, 상기 실리콘 소스 화학 물질은, 예를 들면, SixWyHz와 같은 실리콘 할로겐화 화합물이며, 여기서 "W"는 F, Cl, Br 및 I로 구성되는 군으로부터 선택되는 할로겐이며, "x" 및 "y"는 0보다 큰 정수이고, "z"는 0보다 크거나 같은 정수이다. 상기 실리콘 할로겐화 화합물은 상기 기판 위에 할로겐 리간드로 종결되는 단일의 분자층(이하 "단일층"이라고도 함)을 형성하는 것이 바람직하다. 실리콘 할로겐화물 소스 화학 물질은 실리콘 불화물(예를 들면, SiF4), 실리콘 염화물(예를 들면, SiCl4), 실리콘 브롬화물(예를 들면, SiBr4), 및 실리콘 요오드화물(예를 들면, SiI4)로 구성되는 군으로부터 선택될 수 있다. 일부 구현예들에 있어서, 상기 실리콘 할로겐화 화합물은 사염화 실리콘(SiCl4)이다.
일부 구현예들에 있어서, 예를 들면, 만일 증착된 실리콘과 금속이 상이한 산화제들을 이용하여 개별적으로 산화될 것이라면, 제 4 반응물이 이용될 수 있다. 상기 제 4 반응물은 산화제인 것이 바람직하며, 물, 오존 및 분자 산소(O2)의 플라스마-여기된(plasma-excited) 종들로 구성되는 군으로부터 선택되는 산화제인 것이 바람직하다. 구체적인 환경에 따라, 하나 또는 그 이상의 추가적인 반응물들이 제공될 수도 있다. 예를 들면, 만일 상기 실리케이트 내에 둘 이상의 금속이 포함될 것이라면, 추가적인 금속 반응물이 이용될 수 있다.
금속 실리케이트 막의 증착에 앞서 상기 기판에 초기 표면 종결이 제공될 수 있음은 이해될 것이다. 예로서, 실리콘 기판은 상기 기판의 하나 또는 그 이상의 표면들 위에 OH 표면 종결을 형성하기 위하여 물과 접촉될 수 있다.
여기서는 "제 1 시기"라고 지칭되는 상기 ALD 사이클의 하나의 시기에 있어서, 만일 원한다면 초기 표면 종결 이후에 상기 기판 표면상에 대략 하나의 단일층만으로 된 금속-함유 막을 형성하기 위하여 상기 반응 공간에 상기 금속 반응물(즉, 금속 소스 화학 물질)의 펄스가 공급된다. 상기 기판 위에 흡착될 수 있는 상기 금속 소스 화학 물질의 양은 적어도 부분적으로는 상기 기판 위의 사용 가능한 결합점들(binding sites)의 수와 상기 화학흡착된 종들(리간드들을 포함)의 물리적인 크기에 의하여 결정된다. 상기 금속 소스 화학 물질은 알킬 아미드 소스 화학 물질(예를 들면, TEMAH, TEMAZ)인 것이 바람직한데, 캐리어 가스(예를 들면, N2, He, Ar)의 도움을 받아 제공될 수 있다. 상기 화학흡착된 종들의 크기와 반응성 점(reactive sites)들의 수로 인하여 상기 금속 소스 화학 물질의 각 펄스에서는 통상 단일층(ML: monolayer) 미만이 증착된다. 상기 금속 소스 화학 물질의 펄스에 의하여 남겨지는 화학흡착된 층은 그 펄스의 나머지 화학적 작용과는 비-반응성인 표면으로 자기-종결(self-terminated)된다. 여기서는 이러한 현상을 "자기-포화"(self-saturation)이라고 부른다. 당 기술분야에서 통상의 지식을 가진 자는 이 시기의 상기 자기-제한적인 성질이 전체 ALD 사이클을 자기-제한적으로 만들게 됨을 이해할 것이다.
과잉의 금속 소스 화학 물질과 반응 부산물들(만일 있다면)은 상기 반응 공간으로부터, 예를 들면, 퍼지 가스(예를 들면, N2, He, Ar)의 도움으로 및/또는 펌핑 시스템에 의해 생성된 진공으로 제거된다. 만일 상기 금속 소스 화학 물질이 캐리어 가스의 도움으로 공급된다면, 과잉의 금속 소스 화학 물질과 반응 부산물들은 상기 금속 소스 화학 물질의 공급을 중단하고, 계속하여 캐리어 가스를 공급함으로써 제거될 수 있다. 이러한 관점에서, 상기 캐리어 가스는 퍼지 가스로서의 역할을 한다.
여기서는 "제 2 시기"라고 지칭되는 상기 ALD 사이클의 다음 시기에서, 산화제의 펄스가 상기 반응 공간 내부로 제공된다. 상기 산화제는 캐리어 가스(예를 들면, N2, He, Ar)의 도움으로 도입될 수 있다. 상기 산화제는 선행하는 펄스에 의하여 상기 기판 표면 위에 남겨진 상기 금속-함유 막과 반응한다. 상기 산화제는 그 이전에 증착된 막 내의 금속을 금속 산화물(MOx, 여기서, "M"은 금속)로 산화시키는 것이 바람직하다. 예로서, 만일 증착되는 상기 금속이 하프늄이라면, 상기 산화제는 하프늄을 하프늄 산화물 HfOx(예를 들면, HfO, HfO2)로 산화시키는 것이 바람직하다.
과잉의 산화제와 반응 부산물들(만일 있다면)은 상기 반응 공간으로부터 제거된다. 이 단계는 상기 산화제의 펄스가 종결된 후에 상기 반응 공간을 불활성 가스(예를 들면, N2, He, Ar)로 퍼지하는 단계, 및/또는 펌핑 시스템의 도움으로 상 기 반응 공간을 펌핑하는 단계를 포함할 수 있다. 만일 상기 산화제가 산소의 플라스마-여기된 종들을 포함한다면, 상기 제거 단계는 플라스마 생성기에 공급되는 전력을 차단하는 단계 및 과잉의 산화제 및 만일 있다면 반응 부산물을, 예를 들면, 불활성 가스의 도움으로 퍼지하는 단계를 포함할 수 있다. 선택적으로, 플라스마 전력이 차단되면, 있을지도 모르는 반응 부산물을 퍼지하기 위하여 반응 공간을 산소가 가로질러 통과되도록 함으로써, 산소 분자(O2)가 퍼지 가스의 역할을 할 수도 있다. 만일, 상기 산화제가 캐리어 가스의 도움으로 공급된다면, 과잉의 산화제와 있을지도 모르는 반응 부산물은 상기 산화제의 흐름을 차단하고 상기 캐리어 가스의 공급을 계속함으로써 제거될 수도 있다.
따라서, 상기 제 1 시기와 상기 제 2 시기 동안, 금속 산화물 막이 상기 기판 위에 형성된다. (순차적으로 수행된) 상기 제 1 시기 및 상기 제 2 시기는 총괄하여 "금속 산화물 시기"로 지칭될 수 있다.
여기서는 "제 3 시기"라고 지칭되는 상기 ALD 사이클의 다음 시기에 있어서, 상기 기판 표면상에 대략 하나의 단일층만으로 된 실리콘-함유 막을 증착하기 위하여 상기 실리콘 반응물(즉, 실리콘 소스 화학 물질)이 상기 반응 공간 내부에 제공된다. 상기 실리콘 소스 화학 물질은 할로겐-함유 실리콘 소스 화학 물질(예를 들면, SiCl4)인 것이 바람직한데, 불활성 캐리어 가스의 도움으로 제공될 수 있다. 자기-제한적인 펄스 각각에 있어서 실리콘 소스 화학 물질이 대략 하나의 단일층만으로 화학 흡착될 때 작업 대상물의 표면 위에서의 최대의 단차 도포성이 얻어진 다. 화학 흡착된 종들의 크기와 반응성 점들의 수로 인하여 상기 실리콘 소스 화학 물질의 각 펄스에서는 통상 단일층(ML: monolayer) 미만이 증착된다.
과잉의 실리콘 반응물과 반응 부산물들(만일 있다면)은 상기 반응 공간으로부터 제거된다. 이 단계는 상기 실리콘 반응물의 펄스를 중단하는 단계 및 상기 반응 공간을 불활성 가스(예를 들면, N2, He, Ar)로 퍼지하는 단계 및/또는 상기 반응 공간을 펌핑 시스템의 도움으로 펌핑하는 단계를 포함할 수 있다. 만일 상기 실리콘 반응물이 캐리어 가스의 도움으로 공급된다면, 과잉의 실리콘 소스 화학 물질과 있을지도 모르는 반응 부산물들은 상기 실리콘 반응물의 흐름을 중단시키고, 계속하여 캐리어 가스를 공급함으로써 제거될 수 있다.
여기서는 "제 4 시기"라고 지칭되는 상기 ALD 사이클의 다음 시기에 있어서, 상기 반응 공간 내부로 산화제의 펄스가 제공된다. 상기 산화제는 상기 제 2 시기에서 사용된 산화제와 동일할 수 있다. 그러나, 당 기술분야에서 통상의 지식을 가진 자는 일부 경우들에 있어서 상기 제 2 시기에서 사용된 것과 상이한 산화제(즉, 제 4 반응물)가 사용될 수 있음을 이해할 것이다. 상기 산화제는 선행하는 펄스에 의하여 상기 기판 표면 위에 남겨진 실리콘과 반응하여 실리콘 산화물(SiOx)을 형성한다. SiOx가 SiO(부분 산화) 및 SiO2(완전 산화)를 포함할 수 있음은 이해될 것이다. 바람직한 구현예들에 있어서, 상기 산화제는 실리콘을 SiO2로 완전히 산화시킨다.
따라서, 상기 제 3 시기 및 상기 제 4 시기 동안, 상기 기판 위에 실리콘 산 화물 막이 증착된다(또는 형성된다). (순차적으로 수행된) 상기 제 3 시기 및 상기 제 4 시기는 총괄적으로 "실리콘 산화물 시기"로 지칭될 수 있다.
위에서 언급된 바와 같이, 위에서 설명한 단계들의 순서에 대한 대체적인 순서가 가능함은 이해될 것이다. 한 예로서, 상기 실리콘 산화물 시기는 상기 금속 산화물 시기에 선행할 수 있다. 따라서, 일부 구현예들에서, 펄스 순서는 다음 펄스 순서를 포함할 수 있다: 실리콘 반응물 펄스/ 산화제 펄스/ 금속 반응물 펄스/ 산화제 펄스. 다른 구현예들에서는 반응물 펄스들은 산화제 펄스로 시작될 수 있다. 한 예로서, 상기 반응물 펄스들은 다음 순서의 펄스들을 포함할 수 있다: 산화제 펄스/ 금속 반응물 펄스/ 산화제 펄스/ 실리콘 반응물 펄스/ 산화제 펄스. 다른 구현예들에서, 금속 실리케이트 막의 형성은 다음 펄스 순서에 따라 진행될 수 있다: 금속 반응물 펄스/ 실리콘 반응물 펄스/ 산화제 펄스. 대체적인 것으로서, 상기 실리콘 반응물 펄스는 펄싱 순서가 실리콘 반응물 펄스/ 금속 반응물 펄스/산화제 펄스/가 되도록 상기 금속 반응물 펄스에 선행할 수 있다. 상기 반응물 펄스들은 제거 단계에 의하여 분리되는 것이 바람직한데, 상기 분리 단계에서는 위에서 설명한 바와 같이 과잉의 반응물들 및/또는 반응 부산물들(만일 있다면)이, 바람직하게는 퍼지 가스 및/또는 펌핑 시스템의 도움으로, 반응 공간으로부터 제거된다.
상기 시기들 각각은 (다른 시기와 결합하거나 또는 개별적으로) 기타 시기들에 앞서서 소정의 횟수만큼 반복될 수 있음은 이해될 것이다. 예를 들면, 상기 금속 산화물 시기는 상기 실리콘 산화물 시기에 앞서서 5회 반복될 수 있다. 다른 예로서, 상기 실리콘 산화물 시기는 상기 금속 산화물 시기에 앞서서 8회 반복될 수 있다. 이것은 형성되는 금속 실리케이트 막을 양론적으로 조절하는 것을 가능하게 한다. 만일 금속이 풍부한 금속 실리케이트 막을 소망한다면, 상기 금속 산화물 시기는 상기 실리콘 산화물 시기에 앞서서 여러 차례 반복될 수 있다. 한편, 만일 실리콘이 풍부한 금속 실리케이트 막을 소망한다면, 상기 실리콘 산화물 시기는 상기 금속 산화물 시기에 앞서서 여러 차례 반복될 수 있다. 두 종류 이상의 금속을 갖는 막은 추가적인 금속 시기들을 추가함으로써 형성될 수 있다.
당 기술분야에서 통상의 지식을 가진 자는 상기 금속 산화물 및/또는 실리콘 산화물 시기들이 반복되는 횟수는 다양한 전자적 응용에 적합한 양론을 갖는 막이 형성될 수 있도록 선택됨을 이해할 것이다.
퍼지 또는 다른 반응물 제거 단계가 개재되기만 한다면, 한 시기는 일반적으로 다른 시기를 즉시 뒤따르는 것으로 고려된다.
한 구현예에서, ALD 사이클은,
1. 상기 반응 공간에 알킬 아미드 금속 화합물의 기상 펄스를 제공하는 단계;
2. 상기 반응 공간으로부터 과잉의 금속 소스 화학 물질 및 반응 부산물들을 퍼지하거나 및/또는 비워내는 단계;
3. 상기 반응 공간에 산화제의 기상 펄스를 제공하는 단계;
4. 상기 반응 공간으로부터 과잉의 산화제와 반응 부산물들을 퍼지하거나 및/또는 비워내는 단계;
5. 상기 반응 공간에 실리콘 할로겐화물의 기상 펄스를 제공하는 단계;
6. 상기 반응 공간으로부터 과잉의 실리콘 소스 화학 물질과 반응 부산물들을 퍼지하거나 및/또는 비워내는 단계;
7. 상기 반응 공간에 산화제의 기상 펄스를 제공하는 단계; 및
8. 상기 반응 공간으로부터 과잉의 산화제와 반응 부산물들을 퍼지하거나 및/또는 비워내는 단계;
를 포함한다.
따라서, 하나의 완전한 금속 실리케이트 ALD 사이클에 있어서, 상기 금속 실리케이트 막의 형성은 금속 산화물 막의 형성과 그에 후속되는 실리콘 산화물 막의 형성을 통해 진행된다. 위에서 설명한 단계들의 순서(단계 1 - 단계 8)는 원하는 두께의 금속 실리케이트 막을 형성하기 위하여 반복될 수 있다.
선택적인 구현예에서, 위에서 설명된 ALD 순서는 실리콘 소스 화학 물질의 기상 펄스로 시작되고(단계 5), 여기에 이어서 단계들 6, 7, 8, 1, 2, 3 및 4가 순차 후속된다. 따라서, 하나의 금속 실리케이트 ALD 사이클에 있어서, 상기 금속 실리케이트 막의 형성은 실리콘 산화물 막의 형성과 그에 후속되는 금속 산화물 막의 형성을 통해 진행될 수도 있다.
위의 처리 단계들 중 하나 또는 그 이상의 단계 동안 상기 기판이 가열될 수 있음은 이해될 것이다. 예로서, 상기 실리콘 표면 또는 앞서 증착된 막과 상기 금속 또는 실리콘 반응물 사이의 반응을 위한 활성화 에너지를 제공하기 위하여 상기 기판은 단계 1 동안 및 단계 5 동안 가열될 수 있다. 다른 예로서, 상기 기판은 단계 1 - 단계 8 동안 가열될 수 있다.
바람직한 구현예들에서, 산화제를 제공하는 단계(단계 3 및 단계 7)는 상기 기판을 수용하는 반응 공간 내부로 산소 분자(O2)를 도입하는 단계 및 산소의 플라스마-여기된 종들을 인 시투로 (즉, 상기 반응 공간 내에서) 생성하는 단계를 포함한다. 상기 플라스마를 생성하기 위하여 RF 전극에 전력이 공급된다. 원하는 노출 시간이 경과한 후에, 플라스마의 생성은 종결되고 과잉의 O2 및 반응 부산물들(만일 있다면)은 퍼지 및/또는 비워내는 단계(단계 4 및 단계 8)를 이용하여 상기 반응 공간으로부터 제거된다. 다른 구현예들에서, 산소의 플라스마-여기된 종들은 상기 기판을 수용하는 반응 공간과 유체 소통할 수 있는 챔버 내에서 생성된 후 상기 반응 공간으로 보내어진다.
단계 1 - 단계 8의 하나 또는 그 이상은 후속되는 단계들에 앞서서 원하는 횟수만큼 반복될 수 있음은 이해될 것이다. 이것은, 일부 경우들에 있어서, 상기 기판 표면 위에 원하는 수준의 금속 및/또는 실리콘의 도포성(coverage)을 제공할 것이다. 예로서, 단계 1 - 단계 4(금속 산화물 시기)는 단계 5 - 단계 8(실리콘 산화물 시기) 이전에 약 1회 내지 약 100회 반복될 수 있고, 더욱 바람직하게는 약 5회 반복될 수 있다. 다른 예로서, 단계 5 - 단계 8은 단계 1 - 단계 4에 앞서 10회, 더욱 바람직하게는 약 7회 또는 8회 반복될 수 있다.
상기 기판의 온도 및/또는 상기 반응 공간의 압력은 상기 금속 실리케이트 막의 성장을 최적화하기 위하여 선택될 수 있다. 막들은 바람직하게는 약 150 ℃ 내지 500 ℃ 사이의 기판 온도에서, 더욱 바람직하게는 약 250 ℃ 내지 350 ℃ 사이의 기판 온도에서 형성된다. 상기 금속 실리케이트 막이 형성되는 동안 상기 반응 공간의 압력은 약 0.1 Torr 내지 100 Torr 사이인 것이 바람직하고, 약 0.5 Torr 내지 10 Torr 사이인 것이 더욱 바람직하다.
도 1에 바람직한 구현예의 방법에 따른 예시적인 펄싱 순서가 도시된다. 도시된 구현예에서, 생성되는 상기 금속 실리케이트 막은 하프늄 실리케이트이다. 그러나, 이들 방법들이 지르코늄과 같은 다른 금속들을 포함하는 금속 실리케이트 막들을 형성하는 데 적용될 수 있고, 이 경우 사용되는 금속 소스 화학 물질은 위에서 설명한 바와 같이 선택될 수 있음은 이해될 것이다.
도 1을 참조하면, 초기 표면 종결 이후에, 만일 필요하거나 희망된다면, 금속 반응물 도는 소스 물질이 상기 기판을 수용하는 반응 공간 내부로 공급된다(102). 도시된 구현예에서, 상기 금속 반응물은 TEMAH이고, 캐리어 가스(예를 들면, N2, He, Ar)의 도움으로 상기 반응 공간 내부로 공급된다. 상기 금속 반응물 펄스(102)는 상기 금속 반응물 펄스의 어떠한 과잉의 성분도 본 공정에 의하여 형성된 단일층과 더 이상 반응하지 않도록 하는 방식으로 작업 대상물의 표면들을 자기-포화시킨다. 자기-포화는 상기 단일층을 종결하는 리간드들에 의하여 조장되는데, 상기 층이 반응물과 추가적으로 반응하는 것을 방지한다.
다음으로, 상기 반응 공간으로부터 과잉의 금속 반응물이 제거된다(104). 단계(104)는 상기 반응 공간으로부터 과잉의 반응물들 및 반응 부산물들을 퍼지하거나 확산시키기에 충분한 시간 동안 캐리어 가스를 계속하여 유동시키면서 상기 금속 반응물의 유동 또는 화학 작용의 중단을 수반할 수 있다. 다른 구현예들에 대하여, 상기 퍼지 가스는 상기 캐리어 가스와 상이하다. 그러한 경우에 있어서, 상기 캐리어 가스는 상기 반응물 제거 단계(104) 동안 퍼지 가스로서의 역할을 한다. 상기 반응 공간은 상기 반응 공간 부피의 약 2배보다 많은 퍼지 가스로 퍼지하는 것이 바람직하고, 상기 반응 공간 부피의 약 3배보다 많은 공간 부피로 퍼지하는 것이 더욱 바람직하다. 바람직하게는, 상기 제거(104)는상기 금속 반응물 펄스의 흐름을 중단한 후에 퍼지 가스를 약 0.1 초 내지 약 20초 사이 동안 유동시키는 단계를 포함한다. 펄스간 퍼징은, 예를 들면, 1999년 9월 8일에 출원된 미합중국 특허 제6,511,539호, "Apparatus and Method for Growth of a Thin Film"에 설명되어 있으며, 미합중국 특허 제6,511,539호에 개시된 바 전체는 여기에 인용되어 통합된다. 다른 배열에 있어서, 예를 들어, 만일 금속 소스 화학 물질이 캐리어 가스 없이 제공된다면, 단계(104)는 상기 금속 소스 화학 물질의 흐름을 중단하는 단계와 상기 반응 공간 내부로 불활성 가스를 도입하는 단계를 수반할 수 있다. 어떤 배열에 있어서는, 교대하는 화학 작용들 사이에 상기 반응 공간은 펌핑되어 비워질 수 있다. 예를 들면, 1996년 6월 6일 간행되고 그 개시된 바 전체가 여기에 인용되어 통합되는 PCT 공개공보 WO 96/17107호 "Method and Apparatus for Growing Thin Films"를 참조하라. 따라서, 상기 제거 단계(104)는 상기 반응 공간을 동시에 퍼지하는 것과 펌핑하는 것도 수반할 수 있다. 아울러, 상기 흡착(102) 및 반응물 제거(104)는 도시된 ALD 사이클의 제 1 시기(120)를 나타낸다.
다음으로, 산화제가 상기 기판에 펄스된다(106). 상기 산화제는 소망하는 바에 따라 상기 금속 반응물에 의하여 남겨진 단일층 위에 흡착되거나 상기 단일층과 반응한다. 예시된 구현예에서, 상기 산화제는 H2O이고, H2O는 하프늄을, 예를 들면, HfO 및 HfO2와 같은 하프늄 산화물(HfOx)로 산화시킨다.
상기 단일층을 산화시키기에 충분한 시간이 경과한 후에, 상기 H2O 펄스는 중단되고, 바람직하게는 펌핑 시스템에 의하여 생성된 진공 및/또는 퍼지 가스의 펄스의 도움으로 과잉의 수증기와 임의의 반응 부산물들이 상기 반응 공간으로부터 제거된다(108). 상기 제거 단계(108)는 위의 단계(104)에 대하여 설명한 바와 같을 수 있다. 아울러, 단계(106) 및 단계(108)은 도시된 ALD 공정의 제 2 시기(130)를 나타낸다.
다음으로, 상기 기판에 실리콘 반응물 또는 소스 물질이 공급된다(110). 바람직한 구현예들에 따라, 상기 실리콘 반응물 펄스(110)는 휘발성의 할로겐-함유 실리콘 소스 화학 물질을 제공하는 것을 포함한다. 따라서, 단계(110)에서 할로겐-함유 실리콘 막이 상기 제 1 시기(120) 및 상기 제 2 시기(130)에서 형성된 하프늄 산화물 막 위에 형성된다. 도시된 구현예에서, 상기 실리콘 반응물은 사염화 실리콘(SiCl4)이고, 상기 실리콘 반응물 펄스(110)는 상기 기판 위에 실리콘-함유 막을 단일층으로만 남긴다.
상기 단일층을 실리콘 반응물(110)로 완전히 반응시키고 포화시키기에 충분 한 시간이 경과한 후, 과잉의 실리콘 반응물과 있을지도 모르는 반응 부산물들이 상기 반응 공간으로부터 제거된다(112). 위의 제거 단계(104)에서와 마찬가지로, 단계(112)는 제 3 화학 물질(실리콘 반응물)의 유동을 중단하는 단계 및 상기 반응 공간으로부터 과잉의 반응물들과 임의의 반응 부산물들을 제거하기에 충분한 시간 동안 캐리어 가스의 흐름을 계속하는 단계를 포함하는 것이 바람직하다. 아울러, 상기 실리콘 반응물 펄스(110) 및 제거 단계(112)는 도시된 ALD 공정의 제 3 시기(140)를 나타낸다.
다음으로, 상기 작업 대상물에 상기 산화제(H2O)가 펄스된다(114). 소망하는 바에 따라 물은 상기 실리콘 반응물에 의하여 남겨진 단일층과 반응한다. 물은 단계(110)에서 증착된 실리콘을 실리콘 산화물, 바람직하게는 SiO 및 SiO2로 구성되는 군으로부터 선택되는 실리콘 산화물로 산화시키고, 그에 의하여 상기 기판 위에 실리콘 산화물 막을 형성한다. 상기 막을 물에 노출시키는 것은 HCl의 형성을 수반할 수 있는데, 형성된 HCl은 기상으로 전개될 수 있다. 그러나, 할로겐 원자들은 단계(114)에 따르는 막 내에 잔존할 수 있음은 이해될 것이다. 도시된 단계(114)에서 물이 사용되었지만, 단계(114)에서 사용된 상기 산화제는 단계(106)에서 사용된 산화제와 상이할 수 있다. 예로서, 단계(114)에서 산소의 플라스마-여기된 종들이 사용될 수 있다.
상기 제 3 시기(140)에서 남겨진 단일층을 산화시키기에 충분한 시간이 경과한 후, 상기 산화제(H2O)의 펄스가 중단되고, 과잉의 수증기 및 임의의 반응 부산물 들이, 바람직하게는 퍼지 가스 펄스 및/또는 펌핑 시스템에 의하여 생성된 진공의 도움으로 상기 반응 공간으로부터 제거된다(116). 상기 제거 단계(116)는 위의 단계(104)에 대하여 설명된 바와 같을 수 있다. 아울러, 단계(114)와 단계(116)은 도시된 ALD 공정의 제 4 시기(150)를 나타낸다.
상기 제 1 시기(120), 제 2 시기(130), 제 3 시기(140), 및 제 4 시기(150)는 상기 기판 위에 원하는 두께의 하프늄 실리케이트 막이 형성될 때까지 반복된다(160). 예를 들면, 상기 네 시기들은 조성적으로 균일한 하프늄 실리케이트 막을 형성하기 위하여 10회, 100회, 1000회 또는 그 이상 반복될 수 있다.
다른 선택적인 구현예(미도시)에서, 도 1에 나타낸 ALD 순서는 제 3 시기(140)로 시작하고 제 4 시기(150), 제 1 시기(120) 및 제 2 시기(130)에 의하여 순차 후속된다. 그러한 경우에 있어서, 단계들의 순서는: 실리콘 소스 화학 물질 펄스/ 반응물 제거/ 산화종 펄스/ 반응물 제거/ 금속 소스 화학 물질 펄스/ 반응물 제거/ 산화종 펄스/ 반응물 제거를 포함한다. 이 순서는 상기 기판 위에 원하는 두께의 하프늄 실리케이트 막이 형성될 때까지 반복될 수 있다. 특정한 예로서, 하프늄 실리케이트 막은 다음 기상 펄싱 순서를 포함하는 ALD 사이클에 의하여 형성될 수 있다: SiCl4/ 불활성 가스/ H2O/ 불활성 가스/ TEMAH/ 불활성 가스/ H2O/ 불활성 가스.
선택적인 구현예(미도시)에서, 도 1에 나타낸 ALD 순서는 제 1 시기(120)로 시작하고 제 3 시기(140) 및 제 4 시기(150)에 의하여 순차 후속된다. 그러한 경 우에 있어서, 제 2 시기는 생략된다. 따라서, 단계들의 순서는: 금속 반응물 펄스/ 반응물 제거/ 실리콘 반응물 펄스/ 반응물 제거/ 산화제 펄스/ 반응물 제거를 포함한다. 이 순서는 상기 기판 위에 원하는 두께의 하프늄 실리케이트 막이 형성될 때까지 반복될 수 있다. 특정한 예로서, 하프늄 실리케이트 막은 다음의 기상 펄싱 순서를 포함하는 ALD 사이클에 의하여 형성될 수 있다: TEMAH/ 불활성 가스/ SiCl4/ 불활성 가스/ H2O/ 불활성 가스.
바람직한 방법들에 따라 형성된 금속 실리케이트 막들은 약 0.5 nm 내지 약 40 nm 사이의 두께들을 갖는 것이 바람직하고, 약 1 nm 내지 약 15 nm 사이의 두께를 갖는 것이 더욱 바람직하다. 응용에 따라 두께들이 바뀔 수 있음은 이해될 것이다. 예를 들면, CMOS 소자들에 대한 게이트 유전체에 있어서, 상기 금속 실리케이트 막들은 약 1 nm 내지 5 nm 사이의 두께들을 갖는 것이 바람직하다. 다른 예로서, DRAM 소자들에 있어서, 상기 금속 실리케이트 막들은 약 3 nm 내지 15 nm 사이의 두께들을 갖는 것이 바람직하다. 당 기술분야에서 통상의 지식을 가진 자는 특정한 응용에 대하여 적합한 두께를 선택할 수 있을 것이다.
따라서, 앞선 구현예들에 따라, 기판 위에 금속 실리케이트 막이 형성된다. 바람직한 방법들에 따라 형성된 금속 실리케이트 막들은 그들의 소망되는 용도에 따라 약 80%보다 크거나 같은 단차 도포성(step coverage)을 갖고, 약 85%보다 크거나 같은 단차 도포성을 갖는 것이 바람직하며, 그리고 약 95%보다 크거나 같은 단차 도포성을 갖는 것이 더욱 바람직하며, 약 100%의 단차 도포성을 갖는 것이 가 장 바람직하다. 또한, 상기 금속 실리케이트 막들은 약 4 내지 50 사이의 유전 상수들을 갖는 것이 바람직하며, 약 8 내지 30 사이의 유전 상수를 갖는 것이 더욱 바람직하다. 여기에 설명된 방법들에 따라 형성된 금속 실리케이트 막들은 약 50,000 ppm (parts-per-million) 보다 작거나 같은, 바람직하게는 약 25,000 ppm 보다 작거나 같은, 더욱 바람직하게는 약 15,000 ppm 보다 작거나 같은, 가장 바람직하게는 약 10,000 ppm 보다 작거나 같은 탄소 불순물 농도들을 갖는 것이 바람직하다. 할로겐 (예를 들면, 염소) 불순물 농도들은 약 20,000 ppm (parts-per-million) 보다 작거나 같고, 바람직하게는 약 10,000 ppm 보다 작거나 같으며, 더욱 바람직하게는 약 5,000 ppm 보다 작거나 같고, 가장 바람직하게는 약 2,000 ppm 보다 작거나 같다. 여기에 설명된 방법들에 따라 형성된 금속 실리케이트 막들은 높은 종횡비의 트렌치들과 비아들을 포함하는 표면들 위에 약 1% 미만의 "웨이퍼 내(WIW: within wafer)" 균일성들(1 시그마)을 갖는 것이 바람직하다. 누설 전류 밀도는 약 1.5 nm의 유효 산화물 두께(EOT: effective oxide thickness)에서 약 1×10-3 A/cm2 보다 작거나 같은 것이 바람직하고, 약 1.5 nm의 EOT에서 약 1×10-4 A/cm2 보다 작거나 같은 것이 더욱 바람직하고, 약 1.5 nm의 EOT에서 약 1×10-5 A/cm2 보다 작거나 같은 것이 가장 바람직하다. 여기에 설명된 방법들에 따라 형성된 금속 실리케이트 막들은 약 40% 금속/ 60% Si 내지 약 90% 금속/ 10% Si 사이의 금속 및 실리콘 농도들을 갖는 것이 바람직하다.
바람직한 구현예들의 방법들이 하프늄과 지르코늄을 포함하는 금속 실리케이 트 막들(즉, HfxZryOx, 여기서 "x", "y" 및 "z"는 0보다 큰 수들임)을 형성하기 위하여 사용될 수 있음은 이해될 것이다. 그러한 경우에 있어서, 상기 금속 실리케이트 막은 하프늄 산화물(HfOx)(또는 지르코늄 산화물(ZrOx))/ 실리콘 산화물/ 지르코늄 산화물(또는 Hf 산화물)의 층들을 교대로 증착함으로써 형성될 수 있다. 예를 들면, 하프늄-지르코늄 실리케이트는 다음의 일련의 ALD 사이클들로부터 증착될 수 있다: 하프늄 산화물/ 실리콘 산화물/ 지르코늄 산화물/ 실리콘 산화물/ 하프늄 산화물/ 실리콘 산화물/ 지르코늄 산화물. 선택적으로, 하프늄-지르코늄 실리케이트를 형성하는 단계는 하프늄-지르코늄 혼합 산화물(HfZrOx) 층들을 증착하는 단계 및 실리콘 산화물 층들을 개재시키는 단계를 포함할 수 있다. 그러한 경우에 있어서, 상기 하프늄-지르코늄 실리케이트는 ALD 사이클들: HfZrOx/ SiOx/ HfZrOx/ SiOx에 의하여 증착될 수 있다. 그러한 경우에 있어서, 위에서 설명한 바와 같이, 금속 산화물 시기 동안 알킬 아미드 하프늄 및 지르코늄 소스 화학 물질들은 동시에 또는 교대로 상기 반응 공간 내부로 펄스될 수 있다. 대체적인 것으로서, 이 경우에 있어서 지르코늄과 하프늄을 포함하는 하나의 소스 화학 물질이 상기 금속 반응물로서 사용될 수 있다.
앞서 언급된 구현예들은 두 개의 실시예들의 맥락에서 추가적으로 예시되지만, 이들은 본 발명의 범위를 한정할 의도가 아니다.
실시예 1
300 mm 실리콘 웨이퍼 위에 ASM America, Inc.에 의하여 제작된 PulsarTM 반응기를 이용하여 하프늄 실리케이트 막이 증착되었다. 상기 웨이퍼는 깊이대 폭(depth-to-width)의 종횡비가 약 50:1보다 큰 트렌치들을 포함하였다. 증착은 약 250 ℃ 내지 320 ℃ 범위의 기판 온도에서 수행되었다. 순차 처리 단계들은 다음을 포함하였다:
(1) TEMAH 펄스;
(2) Ar 퍼지;
(3) H2O 펄스;
(4) Ar 퍼지;
(5) SiCl4 펄스
(6) Ar 퍼지;
(7) H2O 펄스; 및
(8) Ar 퍼지.
단계들 (1)-(8)은 상기 실리콘 웨이퍼 위에 하프늄 실리케이트 막이 약 34 Å의 두께로 형성될 때까지 반복되었다. 상기 트렌치들 내에 균일한 도포성이 얻어졌다. 95%를 초과하는 단차 도포성이 달성되었다. 상기 막은 약 0.78%의 균일성(1 시그마)을 가졌다.
실시예 2
300 mm 실리콘 웨이퍼 위에 약 300 ℃의 웨이퍼 온도에서 하프늄 실리케이트 막을 성장시켰다. 금속 반응물로서 TEMAH를 사용하였고, 실리콘 반응물로서 SiCl4를 사용하였고, 산화제로서 물을 사용하였다. 펄싱 순서는 TEMAH/H2O/SiCl4/H2O였다. 상기 펄스들 각각의 사이에 상기 반응 공간을 Ar으로 퍼지하였다. 각 사이클은 TEMAH/H2O 펄싱 순서와 그에 후속되는 5회의 SiCl4/H2O 펄싱 순서들을 포함한다(즉, Hf/Si 펄스비는 1:5였다). 상기 하프늄 실리케이트 막의 성장 속도는 약 0.95 Å/사이클이었다.
형성된 상기 하프늄 실리케이트 막은 약 18%의 하프늄 농도, 약 15%의 실리콘 농도, 및 약 66%의 산소 농도를 가졌다. 탄소 및 염소 불순물의 레벨은 핵반응분석법(NRA: nuclear reaction analysis)과 러더퍼드 후방산란 분석법(RBS: rutherford backscattering spectrometry)에 의하여 결정되었는데 각각 약 1% (즉, 10,000 ppm) 및 0.2% (즉, 2,000 ppm) 미만이었다. 상기 하프늄 실리케이트 막은 약 100%의 단차 도포성을 가졌다.
앞서 언급한 구현예들의 적어도 일부에 있어서, 하나의 구현예에서 사용된 임의의 요소는 다른 구현예에서 대체가 불가능하지 않은 한 상호 교환하여 사용될 수 있다.
당 기술 분야에서 통상의 지식을 가진 자는 본 발명의 범위를 벗어남이 없이 위에서 설명한 구조 및 방법들에 다양한 다른 생략, 추가 및 변경이 가해질 수 있음을 이해할 것이다. 그러한 모든 변경들 및 변화들은 첨부된 청구항들에 의하여 정의된 바와 같이 본 발명의 범위 내에 속하는 것이 의도된다.

Claims (31)

  1. 반응 공간 내의 기판을 알킬 아미드(alkyl amide) 금속 화합물, 실리콘 할로겐화 화합물, 및 산화제의 기상 펄스들과 교대로 접촉시키는 단계를 포함하는, 금속 실리케이트 막 형성을 위한 원자층 증착 (ALD: atomic layer deposition) 방법.
  2. 제 1 항에 있어서,
    상기 기판이 상기 알킬 아미드 금속 화합물, 상기 산화제 및 상기 실리콘 할로겐화 화합물과 순차적으로 접촉되는 것을 특징으로 하는 원자층 증착 방법.
  3. 제 1 항에 있어서,
    상기 기판이 상기 실리콘 할로겐화 화합물, 상기 산화제 및 상기 알킬 아미드 금속 화합물과 순차적으로 접촉되는 것을 특징으로 하는 원자층 증착 방법.
  4. 제 1 항에 있어서,
    상기 알킬 아미드 금속 화합물이 테트라키스(에틸메틸아미노)금속(tetrakis(ethylmethylamino)metal), 테트라키스(디에틸아미노)금속(tetrakis(diethylamino)metal) 및 테트라키스(디메틸아미노)금속(tetrakis(dimethylamino)metal)으로 구성되는 군으로부터 선택되는 것을 특징으로 하는 원자층 증착 방법.
  5. 제 1 항에 있어서,
    형성될 상기 금속 실리케이트 막이 하프늄(Hf) 및 지르코늄(Zr) 중의 어느 하나 또는 둘 모두를 포함하는 것을 특징으로 하는 원자층 증착 방법.
  6. 제 1 항에 있어서,
    상기 실리콘 할로겐화 화합물이 사염화 실리콘(SiCl4)인 것을 특징으로 하는 원자층 증착 방법.
  7. 제 1 항에 있어서,
    상기 산화제가 물, 오존 및 산소 분자(O2)의 플라스마-여기된(plasma-excited) 종으로 구성되는 군으로부터 선택되는 것을 특징으로 하는 원자층 증착 방법.
  8. 제 1 항에 있어서,
    상기 기상 펄스들 각각의 사이에 상기 반응 공간을 퍼지(purge)하는 단계를 더 포함하는 것을 특징으로 하는 원자층 증착 방법.
  9. 제 8 항에 있어서,
    상기 반응 공간이 아르곤(Ar), 질소(N2), 헬륨(He) 및 수소(H2)로 구성되는 군으로부터 선택되는 하나 또는 그 이상의 가스들로 퍼지되는 것을 특징으로 하는 원자층 증착 방법.
  10. 제 1 항에 있어서,
    과잉의 금속 화합물, 실리콘 화합물 및 산화제를 펌프 시스템을 이용하여 제거하는 단계를 더 포함하는 것을 특징으로 하는 원자층 증착 방법.
  11. 제 1 항에 있어서,
    상기 금속 실리케이트 막이 약 150 ℃ 내지 약 500 ℃ 사이의 기판 온도에서 형성되는 것을 특징으로 하는 원자층 증착 방법.
  12. 제 11 항에 있어서,
    상기 금속 실리케이트 막이 약 250 ℃ 내지 약 350 ℃ 사이의 기판 온도에서 형성되는 것을 특징으로 하는 원자층 증착 방법.
  13. 제 1 항에 있어서,
    상기 금속 실리케이트 막이 DRAM (dynamic random access memory) 소자에 사용되는 것을 특징으로 하는 원자층 증착 방법.
  14. 제 1 항에 있어서,
    상기 금속 실리케이트 막이 CMOS (complementary metal oxide semiconductor) 소자의 게이트 유전체로서 사용되는 것을 특징으로 하는 원자층 증착 방법.
  15. 제 1 항에 있어서,
    상기 금속 실리케이트 막이 캐패시터-계 소자의 구성요소로서 사용되는 것을 특징으로 하는 원자층 증착 방법.
  16. 반응 공간 내에서 기판 상에 금속 실리케이트 막을 형성하기 위한 원자층 증착 (ALD: atomic layer deposition) 방법으로서,
    (a) 상기 기판을 알킬 아미드 금속 화합물의 기상 펄스와 접촉시키는 단계;
    (b) 상기 반응 공간으로부터 과잉의 알킬 아미드 금속 화합물과 반응 부산물들을 제거하는 단계;
    (c) 상기 기판을 제 1 산화제의 기상 펄스와 접촉시키는 단계;
    (d) 상기 반응 공간으로부터 과잉의 제 1 산화제와 반응 부산물들을 제거하는 단계;
    (e) 상기 기판을 실리콘 할로겐화 화합물의 기상 펄스와 접촉시키는 단계;
    (f) 상기 반응 공간으로부터 과잉의 실리콘 할로겐화 화합물과 반응 부산물 들을 제거하는 단계;
    (g) 상기 기판을 제 2 산화제의 기상 펄스와 접촉시키는 단계;
    (h) 상기 반응 공간으로부터 과잉의 제 2 산화제와 반응 부산물들을 제거하는 단계; 및
    (i) 원하는 두께의 금속 실리케이트 막이 상기 기판 위에 형성될 때까지 상기 단계들 (a) 내지 단계 (h)를 반복하는 단계;
    를 포함하는 원자층 증착 방법.
  17. 제 16 항에 있어서,
    상기 금속 실리케이트 막의 형성이 단계 (a) 또는 단계 (e)로 시작되는 것을 특징으로 하는 원자층 증착 방법.
  18. 제 16 항에 있어서,
    단계 (a) 내지 단계 (d)가 단계 (e) 내지 단계 (h)에 앞서 원하는 횟수로 반복되는 것을 특징으로 하는 원자층 증착 방법.
  19. 제 16 항에 있어서,
    단계 (e) 내지 단계 (h)가 단계 (a) 내지 단계 (d)의 후에 원하는 횟수로 반복되는 것을 특징으로 하는 원자층 증착 방법.
  20. 제 16 항에 있어서,
    상기 제 1 산화제가 상기 제 2 산화제와 동일한 것을 특징으로 하는 원자층 증착 방법.
  21. 제 16 항에 있어서,
    상기 제 1 산화제 및 상기 제 2 산화제가 물, 오존 및 산소 분자(O2)의 플라스마-여기된(plasma-excited) 종으로 구성되는 군으로부터 선택되는 것을 특징으로 하는 원자층 증착 방법.
  22. 제 16 항에 있어서,
    상기 제 1 산화제가 물, 오존 및 산소 분자(O2)의 플라스마-여기된 종 중의 하나를 포함하고, 상기 제 2 산화제가 상기 제 1 산화제와 상이한 것을 특징으로 하는 원자층 증착 방법.
  23. 제 16 항에 있어서,
    상기 제거하는 단계는 불활성 가스로 상기 반응 공간을 퍼지(purge)하는 단계 및/또는 상기 반응 공간을 펌프 시스템을 이용하여 펌핑하는 단계를 포함하는 것을 특징으로 하는 원자층 증착 방법.
  24. 제 16 항에 있어서,
    단계 (a) 내지 단계 (d)가 하프늄 산화물 및 지르코늄 산화물로 구성되는 군으로부터 선택되는 금속 산화물을 형성하는 것을 특징으로 하는 원자층 증착 방법.
  25. 제 16 항에 있어서,
    단계 (e) 내지 단계 (h)가 SiO 및 SiO2로 구성되는 군으로부터 선택되는 실리콘 산화물을 형성하는 것을 특징으로 하는 원자층 증착 방법.
  26. 탄소와 할로겐 불순물을 포함하고, 상기 탄소 불순물의 농도가 약 50,000 ppm (parts-per-million) 이하이고, 또한 상기 할로겐 불순물의 농도가 약 20,000 ppm 이하이며, 약 85% 이상의 단차 도포성(step coverage)을 갖는 하프늄 실리케이트 막.
  27. 제 26 항에 있어서,
    상기 단차 도포성이 약 100%인 것을 특징으로 하는 하프늄 실리케이트.
  28. 제 26 항에 있어서,
    상기 탄소 불순물의 농도가 약 10,000 ppm 이하인 것을 특징으로 하는 하프늄 실리케이트.
  29. 제 26 항에 있어서,
    상기 할로겐 불순물의 농도가 약 2,000 ppm 이하인 것을 특징으로 하는 하프늄 실리케이트.
  30. 제 26 항에 있어서,
    상기 하프늄 실리케이트 막이 DRAM (dynamic random access memory) 소자의 일부인 것을 특징으로 하는 하프늄 실리케이트 막.
  31. 제 26 항에 있어서,
    상기 하프늄 실리케이트 막이 CMOS (complementary metal oxide semiconductor) 소자의 게이트 유전체의 일부인 것을 특징으로 하는 하프늄 실리케이트 막.
KR1020097003448A 2006-07-21 2007-06-08 금속 실리케이트 막들의 원자층 증착 KR101442212B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/490,875 2006-07-21
US11/490,875 US7795160B2 (en) 2006-07-21 2006-07-21 ALD of metal silicate films
PCT/US2007/070777 WO2008011235A2 (en) 2006-07-21 2007-06-08 Ald of metal silicate films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020147011794A Division KR101505970B1 (ko) 2006-07-21 2007-06-08 금속 실리케이트 막들의 원자층 증착

Publications (2)

Publication Number Publication Date
KR20090033482A true KR20090033482A (ko) 2009-04-03
KR101442212B1 KR101442212B1 (ko) 2014-09-18

Family

ID=38957455

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020147011794A KR101505970B1 (ko) 2006-07-21 2007-06-08 금속 실리케이트 막들의 원자층 증착
KR1020097003448A KR101442212B1 (ko) 2006-07-21 2007-06-08 금속 실리케이트 막들의 원자층 증착

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020147011794A KR101505970B1 (ko) 2006-07-21 2007-06-08 금속 실리케이트 막들의 원자층 증착

Country Status (6)

Country Link
US (1) US7795160B2 (ko)
EP (1) EP2044620A2 (ko)
JP (1) JP2009545138A (ko)
KR (2) KR101505970B1 (ko)
TW (1) TWI493071B (ko)
WO (1) WO2008011235A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101226876B1 (ko) * 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
KR20200002568A (ko) * 2018-06-29 2020-01-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 유전층을 위한 응력 변조

Families Citing this family (315)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7537804B2 (en) 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
WO2008042981A2 (en) * 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
KR101451716B1 (ko) * 2008-08-11 2014-10-16 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US9711373B2 (en) * 2008-09-22 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate dielectric for high-k metal gate devices
US8969188B2 (en) 2008-09-26 2015-03-03 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2010267925A (ja) * 2009-05-18 2010-11-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI392759B (zh) * 2009-09-28 2013-04-11 Univ Nat Taiwan 透明導電薄膜及其形成方法
KR101584100B1 (ko) * 2009-10-29 2016-01-13 삼성전자주식회사 금속 실리케이트 막의 형성 방법 및 이를 이용한 반도체 소자의 형성 방법
KR101654027B1 (ko) * 2010-03-16 2016-09-06 삼성전자주식회사 반도체 소자의 제조 방법
JP5693348B2 (ja) * 2010-05-28 2015-04-01 東京エレクトロン株式会社 成膜方法および成膜装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9330899B2 (en) * 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
JP6087609B2 (ja) 2012-12-11 2017-03-01 東京エレクトロン株式会社 金属化合物膜の成膜方法、成膜装置、および電子製品の製造方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR101993355B1 (ko) 2013-03-13 2019-09-30 삼성전자주식회사 반도체 장치의 제조 방법
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
KR102052664B1 (ko) 2013-03-15 2019-12-06 삼성전자주식회사 트리알킬실란 계열의 실리콘 전구체 및 이를 이용하는 박막 형성 방법
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102109679B1 (ko) * 2013-11-07 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US20160111342A1 (en) * 2014-10-17 2016-04-21 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6068539B2 (ja) * 2015-03-25 2017-01-25 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
TWI740848B (zh) * 2015-10-16 2021-10-01 荷蘭商Asm智慧財產控股公司 實施原子層沉積以得閘極介電質
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11970769B2 (en) * 2021-06-24 2024-04-30 Asm Ip Holding B.V. Cyclical deposition methods
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPS5949687B2 (ja) 1977-05-30 1984-12-04 株式会社東芝 半導体装置
JPS6065712A (ja) 1983-09-20 1985-04-15 Toshiba Corp 酸化けい素被膜の形成方法
JPH0382769A (ja) 1989-08-28 1991-04-08 Dainippon Screen Mfg Co Ltd シリコン酸化膜形成方法およびその装置
JPH03286531A (ja) 1990-04-02 1991-12-17 Kawasaki Steel Corp シリコン酸化膜の形成方法
US5187241A (en) 1990-05-15 1993-02-16 International Business Machines Corporation Isoimide modifications of a polyimide and reaction thereof with nucleophiles
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JPH0680413A (ja) 1992-08-27 1994-03-22 Toshiro Maruyama 二酸化珪素膜の化学気相成長法
FI92897C (fi) 1993-07-20 1995-01-10 Planar International Oy Ltd Menetelmä kerrosrakenteen valmistamiseksi elektroluminenssikomponentteja varten
JP3618110B2 (ja) 1993-08-30 2005-02-09 株式会社デンソー エレクトロルミネッセンス素子の製法
DE4437752A1 (de) 1994-10-21 1996-04-25 Basf Ag Verfahren zur Herstellung von siliciumoxidbeschichteten Feststoffteilchen
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
US5603750A (en) 1995-08-14 1997-02-18 Minnesota Mining And Manufacturing Company Fluorocarbon fluids as gas carriers to aid in precious and base metal heap leaching operations
JP3317387B2 (ja) 1996-06-03 2002-08-26 シャープ株式会社 アクティブマトリクス基板およびその製造方法
TW468253B (en) 1997-01-13 2001-12-11 Hitachi Ltd Semiconductor memory device
EP0972303A1 (en) 1997-04-03 2000-01-19 W.L. Gore & Associates, Inc. Low dielectric constant material with improved dielectric strength
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
EP1148052A3 (en) 1998-10-09 2003-10-15 Mitsui Chemicals, Inc. 1,3-dialkyl-2-imidazolidinones and a manufacturing process therefor
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6537613B1 (en) 2000-04-10 2003-03-25 Air Products And Chemicals, Inc. Process for metal metalloid oxides and nitrides with compositional gradients
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6849305B2 (en) 2000-04-28 2005-02-01 Ekc Technology, Inc. Photolytic conversion process to form patterned amorphous film
US7141278B2 (en) 2000-06-08 2006-11-28 Asm Genitech Korea Ltd. Thin film forming method
US7402539B2 (en) * 2000-08-10 2008-07-22 Japan Vilene Co., Ltd. Battery separator
KR100814980B1 (ko) 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003082464A (ja) 2001-09-10 2003-03-19 Mitsubishi Electric Corp 化学気相成長法用液体原料、化学気相成長法による膜形成方法、および、化学気相成長装置
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US7045170B1 (en) 2002-04-03 2006-05-16 Sandia Corporation Anti-stiction coating for microelectromechanical devices
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
JP2005533390A (ja) 2002-07-18 2005-11-04 アヴィザ テクノロジー インコーポレイテッド 混合成分を有する薄膜の分子層蒸着
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
KR100463633B1 (ko) 2002-11-12 2004-12-29 주식회사 아이피에스 하프늄 화합물을 이용한 박막증착방법
KR100469158B1 (ko) 2002-12-30 2005-02-02 주식회사 하이닉스반도체 반도체소자의 캐패시터 형성방법
WO2004093179A1 (ja) * 2003-04-17 2004-10-28 Fujitsu Limited 高誘電体膜の形成方法
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
JP4212435B2 (ja) 2003-08-29 2009-01-21 株式会社東芝 半導体装置およびその製造方法
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
JP4059183B2 (ja) * 2003-10-07 2008-03-12 ソニー株式会社 絶縁体薄膜の製造方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7651729B2 (en) * 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US20060211259A1 (en) 2005-03-21 2006-09-21 Maes Jan W Silicon oxide cap over high dielectric constant films
KR20080003387A (ko) * 2005-04-07 2008-01-07 에비자 테크놀로지, 인크. 다중층, 다중성분 높은-k 막들 및 이들의 증착 방법
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
JP2007088113A (ja) * 2005-09-21 2007-04-05 Sony Corp 半導体装置の製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101226876B1 (ko) * 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
KR20200002568A (ko) * 2018-06-29 2020-01-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 유전층을 위한 응력 변조
US10720526B2 (en) 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for dielectric layers
US11502196B2 (en) 2018-06-29 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for dielectric layers

Also Published As

Publication number Publication date
TW200811308A (en) 2008-03-01
EP2044620A2 (en) 2009-04-08
US20080020593A1 (en) 2008-01-24
JP2009545138A (ja) 2009-12-17
KR101505970B1 (ko) 2015-03-26
WO2008011235A2 (en) 2008-01-24
KR101442212B1 (ko) 2014-09-18
TWI493071B (zh) 2015-07-21
US7795160B2 (en) 2010-09-14
KR20140063897A (ko) 2014-05-27

Similar Documents

Publication Publication Date Title
KR101442212B1 (ko) 금속 실리케이트 막들의 원자층 증착
KR102588666B1 (ko) 기판 상의 구조물 형성 방법
US7972977B2 (en) ALD of metal silicate films
TWI426547B (zh) 用於批次原子層沈積反應器之處理製程
US8563443B2 (en) Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US7816278B2 (en) In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
KR20180116761A (ko) 반응물 가스의 램프 다운 유동을 이용한 플라즈마-지원 주기적 증착 방법
KR20090068179A (ko) 실리콘 이산화물을 포함하는 박막의 제조 방법
US9139906B2 (en) Doping with ALD technology
WO2003041124A2 (en) Method of fabricating a gate stack at low temperature
JP2009158927A (ja) Ald法又はcvd法による金属含有膜の調製
JP2020133002A (ja) 反応チャンバーにおいて循環堆積プロセスにより基材上に酸化ハフニウムランタン膜を堆積させるための方法
TW202246575A (zh) 用於填充間隙之方法以及相關半導體處理設備
KR20080064259A (ko) 개선된 금속 전구체 공급 및 퍼지 단계를 갖는 박막 증착방법
KR100920402B1 (ko) 저온 게이트 스택
KR100766007B1 (ko) 하프늄 금속 유기물을 이용한 하프늄 산화막 형성 방법
KR20220081905A (ko) 실리콘 질화물 증착용 실리콘 전구체
KR100780605B1 (ko) 탄탈륨지르코늄산화막을 구비한 반도체소자 및 그의 제조방법
EP1425785A2 (en) Method of fabricating a gate stack at low temperature

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170818

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180816

Year of fee payment: 5