TWI469183B - 用於次微影圖樣化之使用嵌塊共聚合物自我組裝的方法 - Google Patents

用於次微影圖樣化之使用嵌塊共聚合物自我組裝的方法 Download PDF

Info

Publication number
TWI469183B
TWI469183B TW97104724A TW97104724A TWI469183B TW I469183 B TWI469183 B TW I469183B TW 97104724 A TW97104724 A TW 97104724A TW 97104724 A TW97104724 A TW 97104724A TW I469183 B TWI469183 B TW I469183B
Authority
TW
Taiwan
Prior art keywords
substrate
block copolymer
sheets
self
trench
Prior art date
Application number
TW97104724A
Other languages
English (en)
Other versions
TW200845124A (en
Inventor
Dan B Millward
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of TW200845124A publication Critical patent/TW200845124A/zh
Application granted granted Critical
Publication of TWI469183B publication Critical patent/TWI469183B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/02Local etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0198Manufacture or treatment of microstructural devices or systems in or on a substrate for making a masking layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing

Description

用於次微影圖樣化之使用嵌塊共聚合物自我組裝的方法
通常在電腦或其他電子裝置中提供記憶體裝置作為內部半導體積體電路。存在許多不同類型的記憶體,包含隨機存取記憶體(RAM)、唯讀記憶體(ROM)、動態隨機存取記憶體(DRAM)、同步動態隨機存取記憶體(SDRAM)及快閃記憶體。快閃記憶體裝置已發展至用於較大範圍之電子應用的非揮發性記憶體之普遍來源。快閃記憶體裝置通常使用單電晶體記憶體單元,其提供高記憶體密度、高可靠性及低功率消耗。快閃記憶體的共同用途包含個人電腦、個人數位助理(PDA)、數位相機及蜂巢式電話。通常將諸如基本輸入/輸出系統(BIOS)的程式碼及系統資料儲存在用於個人電腦系統的快閃記憶體裝置中。
隨著電腦系統之效能的增加,需要快閃記憶體電晶體之效能及密度增加。為實現密度及效能的增加,已減小電晶體之大小,從而導致速度隨功率要求的減小而增加。然而,大小的進一步減小通常受可藉由使用微影方法所達到的尺寸之下限的限制。例如,難以藉由標準微影方法生產諸如具有57.5奈米(nm)或更小之特徵(例如線寬度)的導電線之結構,而且在本文中將該等結構稱為"次微影"特徵。
已嘗試藉由該技術中已知的其他方法來製備次微影特徵。例如,已在嘗試中使用電子束(e束)微影及極紫外線(EUV)微影以製備此類次微影特徵。儘管此類方法能夠製備次微影特徵,但是此類方法之廣泛使用已受到包含(例 如)高成本及/或與高輸出生產方法的不相容之困難的妨礙。
基於以上陳述的原因且基於以下陳述的其他原因(熟習技術人士在閱讀並瞭解本說明書之後將明白該等原因),在該技術中需要用於製備次微影特徵的方法。
已將嵌塊共聚合物之自我組裝用於製備基板上的特徵。參見(例如)美國專利第7,045,851 B2號(Black等人);美國專利申請公告案第2004/0124092 A1號(Black等人)、第2004/0142578 A1號(Wiesner等人)、第2006/0134556 A1號(Nealey等人)、第2006/0163646 A1號(Black等人)及第2006/0249784 A1號(Black等人);Black,IEEE奈米技術學報,3:412-415(2004);Black,應用物理論文87:163116,1-3(2005);以及Yamaguchi等人,光聚合物科學與技術雜誌,19:385-388(2006)。例如,以微影方式得到的溝渠已用作嵌塊聚合物之自我組裝的指導以提供次微影特徵。然而,各以微影方式得到的溝渠之最大寬度係通常限於嵌塊共聚合物之固有週期(Lo )的倍數(例如,某些具體實施例中的整數乘數),且通常限於至多3 Lo 至15 Lo 。因此,對各溝渠之最大寬度的此限制會有效地限制可藉由使用嵌塊共聚合物之自我組裝的此類已知方法所圖樣化的基板之區域。與使用嵌塊共聚合物之自我組裝的迄今已知圖樣化方法相比,本揭示內容之某些具體實施例中說明的方法可用於次微影圖樣化基板之較大區域。
本發明之某些具體實施例提供用於基板之次微影圖樣化的方法。在一項具體實施例中,該方法包含:提供具有固有週期Lo 的一嵌塊共聚合物;提供包含複數個(例如,兩個或兩個以上)自我組裝的共聚合物界定之溝渠的一基板,其中該複數個溝渠之每一者具有寬度n Lo ,其中n可以係從1至15;將該嵌塊共聚合物沈積在該基板表面上;並且對該嵌塊共聚合物進行退火(例如,熱退火及/或溶劑退火)以使該嵌塊共聚合物可自我組裝。在某些具體實施例中,退火使該嵌塊共聚合物可自我組裝並在各溝渠內形成一組薄片,其可實質上垂直於該基板表面而且實質上與各溝渠側壁對齊。對於此類具體實施例而言,可視需要地交聯該組薄片之一第一部分(例如,藉由將其曝露於紫外線輻射),其中交聯薄片包含該自我組裝的嵌塊共聚合物之一第一嵌塊。此外,可視需要選擇性地移除該組薄片之一第二部分,其中經移除的薄片包含該自我組裝的嵌塊共聚合物之一第二嵌塊。所獲得的結構可用作(例如)一蝕刻遮罩及/或一沈積遮罩。例如,在一項具體實施例中,可使用交聯薄片作為一蝕刻遮罩來蝕刻該基板表面,可視需要地移除任何剩餘聚合材料,而且可將導電材料(例如,含金屬材料、導電聚合物及/或含金屬聚合物複合物)沈積在次微影溝渠中以形成次微影導電線。在另一項具體實施例中,可使用交聯薄片作為一蝕刻遮罩來蝕刻該基板表面,可視需要地移除任何剩餘聚合材料,而且可將絕緣材料(例如,具有高或低介電常數的非導電材料,而且在某些 具體實施例中為具有低介電常數的非導電材料以最小化電容耦合)沈積在次微影溝渠中(例如)以將作用區域彼此隔離。本文中所用的高介電常數(高k)材料係視為具有大於二氧化矽之介電常數(即,k=3.9)的介電常數,而且低介電常數(低k)材料係視為具有小於或等於二氧化矽之介電常數(即,k=3.9)的介電常數。或者,對於其中交聯薄片係含金屬薄片的具體實施例而言,所獲得的結構可以包含導電線。可視需要地提供一或多個額外層以形成一裝置,其中該等導電線係(例如)電晶體閘極。
本發明之某些具體實施例提供用於圖樣化一基板的方法。在一項具體實施例中,該方法包含提供具有包含具有複數個高度Y之間隔物(或此後稱為"堰")的一表面之一基板以形成堰及溝渠之一表面佈局;提供具有固有週期Lo 的一第一嵌塊共聚合物;以及提供具有固有週期L'o 的一第二嵌塊共聚合物。
可使用(例如)微影方法製備具有此類表面佈局的一基板。在某些具體實施例中,可藉由一方法製備具有此類表面佈局的基板,該方法包含(例如)將一光阻劑(例如e束光阻劑及/或光阻)沈積在一基板表面上以形成厚度Y之一層,其中該基板具有相對於該第一嵌塊共聚合物之各嵌塊係中性濕潤(即,無實質的濕潤差異)之一表面(例如,氫終止矽、交聯聚合物墊子及/或接枝聚合物);曝露該光阻劑以形成線圖樣;以及選擇性地移除該光阻劑之已曝露或未曝露部分以形成複數個寬度n Lo 之溝渠,其中n可以係從1 至15。可藉由該第一嵌塊共聚合物之一個嵌塊選擇性地濕潤(即,藉由一個嵌塊濕潤而非實質上藉由其他嵌塊濕潤)該基板表面上剩餘的光阻劑。因此,在此類具體實施例中,各溝渠具有相對於該第一嵌塊共聚合物之各嵌塊係中性濕潤的一底部以及藉由該第一嵌塊共聚合物之一個嵌塊所選擇性地濕潤之兩個側壁。在另一項具體實施例中,可藉由一方法製備具有此類表面佈局的基板,該方法包含(例如)提供具有一表面之一基板(例如,半導體基板或基板裝配件);將厚度Y之一層(例如,含矽層)沈積在該基板表面上;以及選擇性地蝕刻該層以形成堰及厚度n Lo 之溝渠之一佈局,其中n可以係從1至15。可視需要地,該方法進一步包含在將厚度Y之該層沈積在該基板表面上之前或之後(且在某些具體實施例中在沈積之前),將一中性濕潤聚合物墊子沈積在該基板表面上並交聯該聚合物墊子。可視需要地,該方法可進一步包含在將厚度Y之該層沈積在該基板表面上之前接枝一中性濕潤聚合物於該基板表面,及/或在某些具體實施例中,在將厚度Y之該層沈積在該基板表面上之後選擇性地接枝一中性濕潤聚合物於該基板表面(例如,選擇性地接枝該中性濕潤聚合物於該溝渠之該底部)。
具有固有週期Lo 的該第一嵌塊共聚合物以及具有固有週期L'o 的該第二嵌塊共聚合物可獨立地分別係雙嵌塊共聚合物、三嵌塊共聚合物、多嵌塊共聚合物或其組合,在某些具體實施例中所有該等嵌塊共聚合物可在片狀形態中自我 組裝。固有週期Lo 及L'o 可以係相同或不同的。在某些具體實施例中,Lo 及L'o 可獨立地分別係從10奈米至100奈米。該等第一及第二嵌塊共聚合物可以係相同或不同的。對於某些具體實施例,該等第一及第二嵌塊共聚合物之至少一者可實質上係對稱雙嵌塊共聚合物;而且在某些具體實施例中其兩者可以係實質對稱的雙嵌塊共聚合物。
用於圖樣化該基板的方法進一步包含:將該第一嵌塊共聚合物沈積在該基板表面上以形成厚度小於或等於Y之一層;對該第一嵌塊共聚合物進行退火以使該第一嵌塊共聚合物可自我組裝並在各溝渠內形成一第一組薄片,其可實質上垂直於該基板表面而且實質上與各溝渠側壁對齊;交聯包含該自我組裝的第一嵌塊共聚合物之一第一嵌塊的該第一組薄片之一部分;以及移除形成該等堰的材料之至少一部分以形成具有深度Y'的至少一個開口。該至少一個開口具有相對於該第二嵌塊共聚合物之各嵌塊係中性濕潤的一底部;藉由該第二嵌塊共聚合物之一個嵌塊所選擇性地濕潤之兩個側壁;以及一寬度m L'o ,其中m可以係從1至15。該方法進一步包含將該第二嵌塊共聚合物沈積在該基板表面上以形成厚度小於或等於Y'之一層;而且對該第二嵌塊共聚合物進行退火以使該第二嵌塊共聚合物可自我組裝並在該至少一個開口內形成一第二組薄片,其可實質上垂直於該基板表面而且實質上與各開口側壁壁對齊。在某些具體實施例中,該方法可進一步包含交聯該第二組薄片之一第一部分,其中該交聯薄片包含該自我組裝的第二嵌 塊共聚合物之一第一嵌塊。此外,可選擇性地移除該第一及/或第二組薄片之一第二部分,而且在某些具體實施例中可選擇性地移除兩者,其中經移除的薄片包含該自我組裝的第一及/或第二嵌塊共聚合物之一第二嵌塊。所獲得的結構可用作(例如)一蝕刻遮罩及/或一沈積遮罩。或者,對於其中交聯薄片之至少某些係含金屬薄片的具體實施例而言,所獲得的結構可以包含導電線。
在某些具體實施例中,本文中揭示的方法可用於次微影圖案化一基板而無需諸如干涉測量、e束微影或EUV微影之技術,其對於高輸出生產方法而言可能係較昂貴及/或不切實際。參考(例如)美國專利第6,746,825 B2號(Nealey等人)及第6,926,953 B2號(Nealey等人)。此外,對於某些具體實施例而言,與迄今使用嵌塊共聚合物之自我組裝的已知圖樣化方法相比,如本文中揭示的方法可用於次微影圖樣化基板之較大區域。
本文中所用的"含金屬"係用於指一材料(通常為化合物或層),其可完全由一金屬組成,或可包含除一金屬以外的其他元素。通常的含金屬化合物包含但不限於金屬、金屬配位複合物、金屬鹽、有機金屬化合物及其組合。通常的含金屬層包含但不限於金屬、金屬氧化物、金屬矽酸鹽及其組合。
本文中所用的術語"(甲基)丙烯酸酯"係丙烯酸酯、丙烯酸甲酯或其組合之簡化參考。
本文中所用的"次微影"係用於指具有小於最小光微影特徵大小的一或多個大小或尺寸之結構。例如,"次微影線"係在本文中用於指具有寬度57.5 nm或較小的線。
本文中所用的"一"、"一個"、"該"及"至少一個"加以交換使用而且意指一個或一個以上。
本文中所用的術語"或"係一般在含意上用作包含"及/或",除非使用背景清楚地指示其他含意。
此外在本文中,藉由端點表示數值範圍之敍述包含該範圍內所包容的所有數字(例如,1至5包含1、1.5、2、2.75、3、3.80、4、5等)。
本文中所用的與"包含"或"含有"同義之術語"包括"係包含性或開放式的,而且不排除額外的未敍述元件或方法步驟。
如本文中所說明的該等方法之各種具體實施例的以上簡短說明並非旨在說明各此類方法之各具體實施例或每一個實施方案。相反,對如本文中所說明的該等方法之更全面的瞭解將根據附圖並參考下列說明及申請專利範圍而為人所明白並瞭解。此外,應瞭解可利用其他具體實施例,並且可進行結構改變而不脫離本揭示內容之範疇。
本文中說明的方法包含嵌塊共聚合物,其係包含與不同類型(例如,包含不同體單元)之一或多個長序列(即,"嵌塊")共價結合的相同單體單元之一或多個長序列(即,"嵌塊")的聚合物。嵌塊共聚合物係通常使用詞語"-嵌塊-"或"-b-"命名以分離各單體單元,而無規共聚合物係通常使用詞語 "-無規-"或"-r-"命名以分離各單體單元。在本文中說明的方法中可使用較大範圍的各種嵌塊共聚合物。例如,本文中所使用的嵌塊共聚合物可以包含雙嵌塊共聚合物(即,具有兩個嵌塊的共聚合物)、三嵌塊共聚合物(即,具有三個嵌塊的共聚合物)、多嵌塊共聚合物(即,具有三個以上嵌塊的共聚合物)及其組合。對於包含一第一及一第二嵌塊共聚合物的方法而言,該第一嵌塊共聚合物或以係與該第二嵌塊共聚合物相同或不同。
雙嵌塊共聚合物可用於如本文中所說明的方法之某些具體實施例。雙嵌塊共聚合物可以係實質對稱(即,第一嵌塊之體積分率係實質上與第二嵌塊之體積分率相同)或不對稱(即,第一嵌塊之體積分率係實質上與第二嵌塊之體積分率不同)。本文中所用的實質對稱的雙嵌塊共聚合物之各嵌塊具有從0.4至0.6的體積分率。本文中所用的不對稱雙嵌塊共聚合物之各嵌塊具有小於0.4或大於0.6的體積分率。實質對稱的雙嵌塊共聚合物可用於其中雙嵌塊共聚合物自我組裝以形成薄片(即,一種材料與另一種材料交錯的片狀物)之具體實施例,不對稱的雙嵌塊共聚合物可用於其中雙嵌塊共聚合物自我組裝以形成包含(例如)球體、圓柱體、螺旋體及其組合的其他結構之具體實施例。對於包含一第一及一第二嵌塊共聚合物的方法而言,該第一及該第二嵌塊共聚合物二者皆可以係實質對稱的雙嵌塊共聚合物。
一嵌塊共聚合物之各嵌塊可以在自我組裝期間組裝成 域。例如,實質對稱的雙嵌塊共聚合物可以自我組裝成薄片結構,其中交替的薄片分別表示包含該雙嵌塊共聚合物之一個嵌塊的域。此外,一第一薄片的中間與同一類型之下一薄片的中間之間的距離(假定任一薄片均未在該系列薄片之邊界)係固有週期("Lo "),其可以取決於各嵌塊的聚合物鏈之長度及/或分子量。因此,假定對於薄片形態而言,各嵌塊之體積分率保持從0.4至0.6,則藉由增加用於一雙嵌塊共聚合物之一或兩個嵌塊的聚合物鏈之長度及/或分子量,可增加該固有週期。同樣地,藉由減小用於一雙嵌塊共聚合物之一或兩個嵌塊的聚合物鏈之長度及/或分子量,可以減小該固有週期。如本文中所說明的方法中使用的雙嵌塊聚合物通常具有從10奈米至100奈米的固有週期(Lo )。假定對於薄片形態而言,各嵌塊之體積分率保持從0.4至0.6,則對於包含一第一及一第二嵌塊共聚合物的方法而言,該第一嵌塊共聚合物之固有週期可以係與該第二嵌塊共聚合物之固有週期相同或不同。
對於某些具體實施例而言,如本文中所說明的方法中使用的一嵌塊共聚合物之各嵌塊可在該共聚合物中不僅在形成該嵌塊的單體單元之結構方面,而且在其他特性方面與其他嵌塊不同,該等特性包含(例如)物理特性(例如,機械強度、表面張力、可濕性及/或溶解性)與化學特性(例如,溶解性、化學反應性、對移除技術的易感性及/或對交聯反應的易感性)。對於某些具體實施例而言,一嵌塊可包含由可交聯單體形成的單元之一小部分(例如,5莫耳%或 較小)。在某些具體實施例中,非可交聯及可交聯單元可以具有類似的物理及化學特性。
示範性雙嵌塊共聚合物包含聚苯乙烯-b-聚甲基丙烯酸甲酯嵌塊共聚合物、聚氧化乙烯-b-聚異戊二烯嵌塊共聚合物、聚氧化乙烯-b-聚丁二烯嵌塊共聚合物、聚氧化乙烯-b-聚苯乙烯嵌塊共聚合物、聚氧化乙烯-b-聚甲基丙烯酸甲酯嵌塊共聚合物、聚苯乙烯-b-聚乙烯吡啶嵌塊共聚合物、聚苯乙烯-b-聚異戊二烯嵌塊共聚合物、聚苯乙烯-b-聚丁二烯嵌塊共聚合物、聚丁二烯-b-聚乙烯吡啶嵌塊共聚合物、聚異戊二烯-b-聚甲基丙烯酸甲酯嵌塊共聚合物及其組合。對於如本文中所說明的方法之某些具體實施例而言,聚苯乙烯-b-聚甲基丙烯酸甲酯雙嵌塊共聚合物可用於該方法中所用的嵌塊共聚合物之某些或全部。
示範性三嵌塊共聚合物及/或多嵌塊共聚合物包含具有三個或三個以上嵌塊的嵌塊共聚合物,該等嵌塊係選自由聚苯乙烯、聚烷基(甲基)丙烯酸酯(例如,聚甲基丙烯酸甲酯)、聚環氧烷烴(例如,聚氧化乙烯)、聚烯烴(例如,聚異戊二烯及聚丁二烯)、聚乙烯吡啶及其組合組成之群組。
可藉由熟習技術人士所熟知的方法將多嵌塊共聚合物沈積在一表面(例如基板表面)上。本文中所用的"沈積在"一表面上係旨在廣義上解釋為包含將嵌塊共聚合物應用於該表面的任何適當構件,包含(例如)沈積方法、塗布方法、轉移方法及/或其他可用的應用方法。示範性沈積方法包 含但不限於旋塗、浸塗、噴塗及其組合。在此類方法中,嵌塊共聚合物可通常溶解、分散或懸浮在一媒介(例如,溶劑)中;可將溶解、分散或懸浮物沈積在該表面上,並且在沈積期間或之後可視需要地移除該媒介(例如,透過在環境或升高的溫度下,且在環境或減小的壓力下蒸發)。
一旦已將嵌塊共聚合物沈積在一表面上,則可對嵌塊共聚合物進行退火以分解現有域並使嵌塊共聚合物可自我組裝。有用的退火方法包含熱退火、溶劑退火及其組合。退火可包含在最多24小時或更長時間內,而且在某些具體實施例中在真空及/或無氧大氣條件下,將嵌塊共聚合物曝露於從0℃至250℃之溫度。熱退火通常包含將嵌塊共聚合物曝露於一升高的溫度(例如,在玻璃轉變溫度或其以上),並且降低該溫度以使自我組裝的嵌塊共聚合物可硬化。溶劑退火可包含將嵌塊共聚合物曝露於溶解嵌塊共聚合物之各嵌塊的一溶劑之蒸氣;使嵌塊共聚合物可膨脹(而且在某些具體實施例中變塑化);並且接著移除該溶劑之至少一部分,例如透過蒸發(而且在某些具體實施例中使可塑劑可隨嵌塊相位的分離而分離,並且移除分離的可塑劑之至少一部分)。
下列範例經提供用以進一步說明本揭示內容之各種特定具體實施例及技術。然而,應該瞭解,熟習技術人士所瞭解的許多變化及修改均可進行,同時保持在本揭示內容之範疇內。因此,本揭示內容之範疇並非旨在受下列範例的 限制。
在如本文中所說明的某些具體實施例中,可將一嵌塊共聚合物沈積在具有一或多個溝渠或開口的表面上以在該一或多個溝渠或開口內形成一嵌塊共聚合物層。圖1說明一說明性裝置5。該裝置包含基板10、堰30及溝渠40,從而形成堰及溝渠之佈局。
該一或多個溝渠或開口可用作嵌塊共聚合物在該一或多個溝渠或開口內自我組裝的指導。各溝渠或開口可(例如)加以微影界定或自我組裝的共聚合物界定,兩者在此後更詳細地加以說明。對於其中一或兩個側壁並非垂直於該表面的溝渠或開口而言,如本文所參考的該等溝渠或開口之寬度可視為該溝渠或開口之底部上的寬度(即,底部寬度)與該溝渠或開口之頂部上的寬度之平均值。在某些具體實施例中,該溝渠或開口之寬度可以係嵌塊共聚合物之固有週期(Lo )的倍數(或接近倍數)n,其中n可以係從1至15,而且在某些具體實施例中為從1至15的整數。薄片形態中的缺陷之增加係通常觀察為溝渠寬度在很大程度上不同於Lo 的倍數。
在某些具體實施例中,各溝渠或開口40之底部50可以相對於嵌塊共聚合物之各嵌塊係中性濕潤(即,無實質濕潤差異)。在某些具體實施例中,可藉由嵌塊共聚合物之一個嵌塊選擇性地濕潤各溝渠或開口40之兩個側壁60。選擇性的濕潤會在側壁表面與嵌塊共聚合物之各嵌塊之間產生介面能量之差異。此介面能量之差異可能係由於各材料之 化學組成物(例如,有利於氫結合的結構)所致。
參考圖1及2,在某些具體實施例中,可將具有固有週期Lo 的實質對稱雙嵌塊共聚合物100沈積在一溝渠或開口40內(例如,共聚合物層係小於或等於溝渠或開口之深度),其中各溝渠或開口40之底部50相對於共聚合物100之各嵌塊係中性濕潤,而且藉由共聚合物100之一個嵌塊選擇性地濕潤溝渠或開口40之兩個側壁60。
參考圖3,接著可對沈積的共聚合物100進行退火以使雙嵌塊共聚合物可自我組裝並在各溝渠內形成一組交替薄片110及120,其係實質上垂直於該表面而且實質上與各溝渠側壁對齊。本文中所用的"實質上垂直"薄片指平均起來垂直於該表面的一組薄片。本文中所用的與一溝渠側壁"實質上對齊"的薄片指在至少10奈米的溝渠長度之上平行於該側壁的薄片(即,定位在與該側壁的恆定距離處)。圖1至3說明一具體實施例,其中n=4(即,各溝渠40之寬度係Lo ),且因此顯示薄片的4個週期。然而,如以上本文中所說明,n可以係從1至15,且因此在此等具體實施例中將產生薄片之從1至15個週期。
在某些具體實施例中,可視需要地交聯包含自我組裝的嵌塊共聚合物之一第一嵌塊的薄片110,例如藉由將該等薄片曝露於輻射,例如紫外線(UV)輻射。在某些具體實施例中,所交聯的嵌塊共聚合物之嵌塊可在無需添加交聯劑的情況下易受交聯的影響。例如,若嵌塊共聚合物係聚苯乙烯-b-聚甲基丙烯酸甲酯雙嵌塊共聚合物,則可藉由將聚 苯乙烯嵌塊曝露於UV輻射對其進行交聯。對於另外的範例而言,嵌塊共聚合物之一個嵌塊可藉由包含少量(例如,0.1至5莫耳%)熱可交聯單體(例如,含苯並環丁烯單體)而進行熱交聯及/或共聚物之嵌塊包含藉由少量(例如,0.1至5莫耳%)光化學可交聯單體(例如,二乙烯苯)而進行光化學交聯。在某些其他範例中,嵌塊共聚合物之一個嵌塊可藉由包含少量(例如,0.1至5莫耳%)熱及/或光化學可交聯單體(例如,帕拉疊氮甲基苯乙烯)而進行熱及/或光化學交聯。或者,或除此以外,在某些具體實施例中,交聯劑可添加至欲進行交聯的薄片中。較大範圍的各種交聯劑在該技術中已為人所知且包含(例如)交聯體(例如,1,3,5-三甲基-2,4,6-(三乙酸甲基)苯)與熱酸產生體(例如,環己基甲基(2-氧環己基)三氟甲基磺酸鋶)的組合。交聯薄片可以具有(例如)改良式機械特性及對移除的較低易感性。
在某些具體實施例中隨交聯之後,可視需要地選擇性移除剩餘交聯薄片120(圖3中未說明),從而將交聯薄片110留在該表面上,儘管處於此級的未交聯薄片之移除可以在某些具體實施例中導致當隨後沈積一第二嵌塊共聚合物時採用該第二嵌塊共聚合物來填充此類新近形成的溝渠。在該技術中已知用於移除未交聯薄片之較大範圍的各種方法,其包含(例如)輻射(例如,UV或電子束)、臭氧處理、濕式化學處理方法(例如浸漬在溶劑中)及/或蝕刻方法(例如反應離子蝕刻及離子束蝕刻)。例如,當嵌塊共聚合物係聚苯乙烯-b-聚甲基丙烯酸甲酯雙嵌塊共聚合物而且交聯 包含聚苯乙烯嵌塊的薄片時,可選擇性地移除包含未交聯聚甲基丙烯酸甲酯嵌塊之剩餘薄片,例如藉由醋酸顯影,以及在某些具體實施例中在全部曝露於紫外線(UV)輻射之後。
在如本文中所說明的方法之某些具體實施例中,一基板可包含複數個以微影方式得到的溝渠,該等溝渠之每一者可作為在各溝渠內進行嵌塊共聚合物自我組裝的指導。可藉由該技術中為人熟知的方法來製備包含以微影方式得到的溝渠之基板(例如,半導體基板或基板裝配件),該等方法包含(例如)光阻劑技術及蝕刻技術。本文中所用的"半導體基板"或"基板裝配件"指諸如基礎半導體層之一半導體基板或上面形成有一或多層、結構或區之一半導體基板。一基礎半導體層通常可以係一晶圓上的矽材料之最低層或沈積在另一材料(例如藍寶石上的矽)上的矽層。當參考一基板裝配件時,先前已使用各種處理步驟以形成或界定區、接面、各種結構或特徵,以及開口,例如電晶體、作用區域、擴散區、植入區、通道、接觸開口、高縱橫比開口、電容器板、用於電容器的阻障等。
參考圖1,在某些具體實施例中,可藉由將一聚合物墊子或刷子20沈積在該基板表面上並交聯該聚合物墊子來修改該基板表面。可使用與用於交聯該嵌塊共聚合物之至少一個嵌塊的相同或不同方法來交聯該聚合物墊子。在某些具體實施例中,相同方法可用於交聯該聚合物墊子以及該嵌塊共聚合物之至少一個嵌塊。在某些具體實施例中,可 在將厚度Y之該層沈積在該基板表面上之前,將該聚合物墊子沈積在該基板表面上,如以下說明。交聯聚合物墊子可用於(例如)使將成為一溝渠或開口之底部的該基板表面相對於將在該基板表面上進行自我組裝的一嵌塊共聚合物之各嵌塊係中性濕潤。例如,若該嵌塊共聚合物係聚苯乙烯-b-聚甲基丙烯酸甲酯雙嵌塊共聚合物,則可將可交聯聚苯乙烯-r-聚甲基丙烯酸甲酯無規共聚合物用作該聚合物墊子。
在某些具體實施例中,可藉由將聚合物墊子20曝露於輻射(例如紫外線(UV)輻射)對其進行交聯。在某些具體實施例中,所交聯的該聚合物墊子可在無需添加交聯劑的情況下易受交聯的影響。例如,若該聚合物墊子係一聚苯乙烯-r-聚甲基丙烯酸甲酯無規共聚合物,則該無規共聚合物中的聚苯乙烯可以在曝露於UV輻射之後為一交聯地點。對於另外的範例而言,該聚合物墊子可藉由在該聚合物墊子中包含少量(例如,0.1至5莫耳%)熱可交聯單體(例如,含苯並環丁烯單體)或光化學可交聯單體(例如,二乙烯苯及/或帕拉疊氮甲基苯乙烯)而進行交聯。在某些其他範例中,該聚合物墊子可藉由包含少量(例如,0.1至5莫耳%)熱及/或光化學可交聯單體(例如,帕拉疊氮甲基苯乙烯)而進行熱及/或光化學交聯。或者,或除此以外,在某些具體實施例中,交聯劑可添加至該聚合物墊子中。較大範圍的各種交聯劑在該技術中已為人所知且包含(例如)交聯體(例如,1,3,5-三甲基-2,4,6-(三乙酸甲基)苯)與熱酸產生體 (例如,環己基甲基(2-氧環己基)三氟甲基磺酸鋶)的組合。在某些具體實施例中,該交聯聚合物墊子可具有足以經受住在嵌塊共聚合物自我組裝程序期間遇到的另外處理步驟之抵抗力。
或者,在某些具體實施例中,可藉由製備氫終止矽表面來修改該基板表面。例如,可蝕刻上面具有厚度Y之氧化物層的矽基板(例如,採用電漿蝕刻)以形成具有小於Y的Y'之深度的溝渠。可移除該矽基板上具有厚度Y減去Y'的剩餘氧化物(例如,採用氟化物離子蝕刻,其亦移除該矽基板上的原有氧化物),從而留下氫終止矽表面。氫終止矽表面可用於(例如)使將成為一溝渠或開口之底部的該基板表面相對於將在該基板表面上進行自我組裝的一嵌塊共聚合物之各嵌塊係中性濕潤。例如,若該嵌塊共聚合物係聚苯乙烯-b-聚甲基丙烯酸甲酯雙嵌塊共聚合物,則該氫終止矽表面可相對於該嵌塊共聚合物之兩個嵌塊係中性濕潤。
在另一項具體實施例中,中性濕潤的無規共聚合物可在原處從一氫終止矽表面(例如,基板表面)生長並接枝。例如,含單體(例如,分別以58:42:<5的比率之苯乙烯、甲基丙烯酸酯以及二乙烯苯)的溶液與基起始劑(例如,過氧化苯甲醯)可放置在一氫終止矽表面之上並加熱以啟動自由基聚合,從而產生與該矽表面接枝的中性濕潤聚合物。
在某些具體實施例中,可使用光阻劑技術製備包含複數 個以微影方式得到的溝渠之一基板。例如,參考圖1,可藉由下列方式製備具有包含複數個堰30及溝渠40的一表面之一基板。可將一光阻劑(例如,正或負光阻劑)沈積在一基板表面上以形成厚度Y之一層並曝露該光阻劑以形成線圖樣。可選擇性地移除該光阻劑之已曝露或未曝露部分以形成堰30及溝渠40之一佈局。在某些具體實施例中,該基板具有一表面50,其相對於該嵌塊共聚合物之各嵌塊係中性濕潤。在某些具體實施例中,藉由該嵌塊共聚合物之一個嵌塊選擇性地濕潤該基板表面上剩餘的光阻劑(即,堰30)。該光阻劑可以係(例如)光阻或電子束(e束)光阻劑。
可使用相當多樣的光阻,其包含(例如)以聚苯乙烯為基礎的光阻劑、以聚(甲基)丙烯酸酯為基礎的光阻劑及其組合。例如,若將在該溝渠中進行自我組裝的嵌塊共聚合物係聚苯乙烯-b-聚甲基丙烯酸甲酯雙嵌塊共聚合物,則可使用以聚苯乙烯為基礎的光阻劑於建立具有藉由該嵌塊共聚合物之聚苯乙烯嵌塊所選擇性地濕潤之側壁的溝渠,或者可使用以聚(甲基)丙烯酸酯為基礎的光阻劑於建立具有藉由嵌塊共聚合物之聚甲基丙烯酸甲酯嵌塊所選擇性地濕潤之側壁的溝渠。
該技術中已知的E束光阻劑包含(例如)以氫倍半矽氧烷為基礎的光阻劑、以聚甲基丙烯酸甲酯為基礎的光阻劑、以聚苯乙烯為基礎的光阻劑(例如,包含以衍生化聚苯乙烯為基礎的光阻劑)及其組合。
在某些具體實施例中,該光阻劑可形成含金屬層(例 如,氮化鋁層)。在其他某些具體實施例中,該光阻可以形成一含矽層,而且該等溝渠將包含具有含矽表面之側壁。含矽層或表面可以係二氧化矽(SiO2 )層、氮化矽(Si3 N4 )層、碳氧化矽(SiO4 C)層或其組合。視需要地,該嵌塊共聚合物之一個嵌塊之一均聚合物可接枝於兩個側壁之含矽表面,以提供具有藉由與該等側壁上的接枝均聚合物相同或相似的該嵌塊共聚合物之該嵌塊所選擇性地濕潤之側壁的溝渠。例如,若將在該溝渠中進行自我組裝的嵌塊共聚合物係聚苯乙烯-b-聚甲基丙烯酸甲酯雙嵌塊共聚合物,則一聚苯乙烯均聚合物可接枝於兩個側壁之含矽表面,以提供具有藉由該嵌塊共聚合物之聚苯乙烯嵌塊所選擇性地濕潤之側壁的溝渠,或者一聚甲基丙烯酸甲酯均聚合物可接枝於兩個側壁之含矽表面以提供具有藉由嵌塊共聚合物之聚甲基丙烯酸甲酯嵌塊所選擇性地濕潤之側壁的溝渠。該等均聚合物可藉由該技術中已知的較大範圍之各種方法來接枝,該等方法包含(例如)製備具有端基團(例如羥基團)的均聚合物及/或在均聚合物中包含少量(例如,0.1至5莫耳%)羥功能單體(例如,2-羥乙甲基丙烯酸酯及/或帕拉羥基苯乙烯)以便羥基團可與含矽表面互動(例如,藉由形成氫及/或共價結合物)。
在某些具體實施例中,可使用蝕刻技術製備包含複數個以微影方式得到的溝渠之一基板。例如,可藉由下列方法製備包含複數個堰的一表面之一基板。可將厚度Y之一層沈積在一基板表面上,而且可使用微影技術選擇地蝕刻該 層以形成堰及溝渠之一佈局。
參考圖1,具有複數個高度Y之堰30的一基板10形成堰30及寬度n Lo 之溝渠40之一表面佈局,其中n可以係從1至15。複數個溝渠40(例如,以微影方式得到的溝渠)可用作嵌塊共聚合物之自我組裝的指導,如本文中所說明。參考圖2,可沈積具有固有週期Lo 的嵌塊共聚合物100以在複數個溝渠40內形成厚度小於或等於Y之一層。參考圖3,接著可對嵌塊共聚合物100進行退火以使嵌塊共聚合物可自我組裝並在各溝渠內形成一組交替薄片110及120,其係實質上垂直於該基板表面而且實質上與各溝渠側壁60對齊。可交聯包含自我組裝的嵌塊共聚合物之一第一嵌塊的薄片110。參考圖3及4,可移除形成堰30的材料之至少一部分(例如,使用濕式及/或乾式蝕刻方法)以形成具有深度Y'的至少一個開口240。此類開口240係在本文中稱為"自我組裝的共聚合物"界定開口或溝渠。
自我組裝的共聚合物界定之開口或溝渠240接著可用作嵌塊共聚合物之自我組裝的指導,如本文中所說明。可使用包含用於界定該等開口的嵌塊共聚合物100之交聯嵌塊的薄片110來形成此類自我組裝的共聚合物界定之開口240的側壁260。參考圖4及5,可接著沈積可與用於界定該等開口的嵌塊共聚合物100相同或不同的一第二嵌塊共聚合物300並對其進行退火,如本文中所說明。在某些具體實施例中,該自我組裝的共聚合物界定之開口可具有一底部250,其相對於第二嵌塊共聚合物300之各嵌塊係中性濕 潤;該自我組裝的共聚合物界定之開口具有兩個側壁260,其係由第二嵌塊共聚合物300之一個嵌塊選擇性地濕潤(例如,第二嵌塊共聚合物300之一個嵌塊係與形成自我組裝的共聚合物界定之開口240的側壁260之第一共聚合物100之交聯嵌塊相同或相似);並且自我組裝的共聚合物界定之開口240具有寬度m L'o ,其中m可以係從1至15,並且L'o 係第二嵌塊共聚合物300之固有週期。參考圖5及6,在此類具體實施例中,可對第二嵌塊共聚合物300進行退火以使第二嵌塊共聚合物300可自我組裝並在各自我組裝的共聚合物界定之開口240內形成一第二組交替薄片310及320,其可實質上垂直於該基板表面而且實質上與各開口側壁260對齊。圖4至6說明一具體實施例,其中m=4(即,各溝渠240之寬度係4 L'o ),且因此顯示薄片的4個週期。然而,如以上本文中所說明,m可以係從1至15,且因此在此等具體實施例中將產生薄片之從1至15個週期。可視需要地交聯包含自我組裝的第二嵌塊共聚合物300之一第一嵌塊的薄片310。
參考圖6及7,可藉由諸如本文中所說明的方法之方法來移除未交聯薄片120(即,來自第一組薄片的薄片)、未交聯薄片320(即,來自第二組薄片的薄片),或在某些具體實施例中移除兩者,如圖7所說明。此外,可視需要地從該基板移除任何剩餘的聚合材料,從而產生次微影溝渠340。
參考圖7,包含交聯薄片110及310之獲得的圖樣可用作 (例如)一蝕刻遮罩以在與次微影溝渠340對齊的基板中形成次微影溝渠。可視需要地將一導電材料沈積於次微影溝渠340中以形成次微影導電線。該導電材料可以係一含金屬材料,其可(例如)藉由一汽相沈積方法(例如化學汽相沈積(CVD)或原子層沈積(ALD)方法)加以沈積。或者,該導電材料可以係導電聚合物及/或含金屬聚合物複合物,其可(例如)藉由旋塗、浸塗、噴塗或其組合加以沈積。或者,或除此以外,包含交聯薄片之獲得的圖樣可用作(例如)一沈積遮罩,其用於在該基板表面上沈積一材料(例如,導電或非導電材料)。在某些具體實施例中,包含交聯薄片之獲得的圖樣可包含形成導電線之含金屬薄片。
圖8係如圖7中所說明的裝置5之俯視圖,其係向下在基板10之圖樣化表面上觀察到,該基板上面可視需要地具有聚合物墊子或刷子20。交聯薄片110及310形成至溝渠340的開口。因此,包含交聯薄片之獲得的圖樣可用作(例如)一沈積遮罩,其用於在該基板表面上沈積一材料(例如,導電或非導電材料)。或者,或除此以外,交聯薄片110及310可用作(例如)一蝕刻遮罩,其用於蝕刻與至溝渠340的開口對齊的基板10之表面,如以上所說明。例如,在一項具體實施例中,可使用交聯薄片作為一蝕刻遮罩來蝕刻該基板表面,可視需要地移除任何剩餘聚合材料,而且可將導電材料(例如,含金屬材料、導電聚合物及/或含金屬聚合物複合物)沈積在次微影溝渠中以形成次微影導電線。在另一項具體實施例中,可使用交聯薄片作為一蝕刻遮罩 來蝕刻該基板表面,可視需要地移除任何剩餘聚合材料,而且可將絕緣材料(例如,具有高或低介電常數的非導電材料,而且在某些具體實施例中為具有低介電常數的非導電材料以最小化電容耦合)沈積在次微影溝渠中(例如)以將作用區域彼此隔離。或者,對於其中交聯薄片係含金屬薄片的具體實施例而言,所獲得的結構可以包含導電線。可視需要地提供一或多個額外層以形成一裝置,其中該等導電線係(例如)電晶體閘極。
可視需要地沈積一或多個額外層以形成一裝置,例如其中如本文中所說明的次微影導電線可以係電晶體閘極。
本文中引用的專利、專利檔及公告案之完整揭示內容係全部以引用的方式併入本文中,如同其皆係個別併入一樣。熟習技術人士應明白可對本文中說明的具體實施例進行各種修改及變動,而不脫離本揭示內容之範疇及精神。應該瞭解,此揭示內容並非旨在不適當地受本文中提出的說明性具體實施例及範例之限制,而且此類範例及具體實施例係僅經由範例而揭示,該揭示內容之範疇旨在僅受如以下本文中提出的申請專利範圍之限制。
5‧‧‧裝置
10‧‧‧基板
20‧‧‧聚合物墊子
30‧‧‧堰
40‧‧‧溝渠
50‧‧‧底部
60‧‧‧側壁
100‧‧‧共聚合物
110‧‧‧薄片
120‧‧‧薄片
240‧‧‧開口
250‧‧‧底部
260‧‧‧側壁
300‧‧‧嵌塊共聚合物
310‧‧‧薄片
320‧‧‧薄片
340‧‧‧次微影溝渠
圖1至7係一示範性裝置或裝置組件之側視圖,其說明使用如本文中所說明的包含嵌塊共聚合物自我組裝的方法之具體實施例來製備裝置及裝置組件。
圖8係一示範性裝置或裝置組件之俯視圖,其說明圖7所示的圖樣化表面。
5‧‧‧裝置
10‧‧‧基板
20‧‧‧聚合物墊子
110‧‧‧薄片
310‧‧‧薄片
340‧‧‧次微影溝渠

Claims (74)

  1. 一種用於基板之次微影圖樣化的方法,該方法包括:提供具有一固有週期Lo 的一嵌塊共聚合物;提供一包括複數個溝渠的基板,其中各溝渠係藉由一自我組裝共聚合物界定且具有一寬度n Lo ,其中n係從1至15;將該嵌塊共聚合物沈積在該基板上;以及對該嵌塊共聚合物進行退火以使該嵌塊共聚合物可自我組裝。
  2. 如請求項1之方法,其中各溝渠具有兩個側壁,且其中藉由該嵌塊共聚合物之一嵌塊選擇性地濕潤兩個側壁。
  3. 如請求項1之方法,其中各溝渠具有相對於該嵌塊共聚合物之各嵌塊係中性濕潤的一底部。
  4. 如請求項1之方法,其中退火包括熱退火、溶劑退火或其組合。
  5. 如請求項4之方法,其中退火包括將該嵌塊共聚合物曝露於一0℃至250℃之溫度。
  6. 如請求項4之方法,其中退火包括:將該嵌塊共聚合物曝露於溶化該嵌塊共聚合物之各嵌塊的一溶劑之蒸氣;使該嵌塊共聚合物膨脹;以及移除該溶劑之至少一部分。
  7. 如請求項6之方法,其中移除該溶劑之至少一部分包括使該溶劑之至少一部分蒸發。
  8. 如請求項1之方法,其中退火使該嵌塊共聚合物可自我組裝並在各溝渠內形成一組薄片,該等薄片係實質上垂直於該基板之一表面而且實質上與各溝渠側壁對齊。
  9. 如請求項8之方法,其進一步包括交聯該組薄片之一第一部分,其中該第一部分包括包含該自我組裝的嵌塊共聚合物之一第一嵌塊的薄片。
  10. 如請求項9之方法,其進一步包括選擇性移除該組薄片之一第二部分,其中該第二部分包括包含該自我組裝的嵌塊共聚合物之一第二嵌塊的薄片。
  11. 如請求項10之方法,其進一步包括使用該組薄片之該第一部分作為一蝕刻遮罩來蝕刻該基板。
  12. 如請求項10之方法,其進一步包括使用該組薄片之該第一部分作為一沈積遮罩來將一材料沈積在該基板上。
  13. 如請求項10之方法,其中該組薄片之該第一部分係含金屬薄片。
  14. 一種用於圖樣化一基板的方法,該方法包括:提供具有一固有週期Lo 的一第一嵌塊共聚合物;提供具有一固有週期L'o 的一第二嵌塊共聚合物;提供具有包括複數個高度Y之堰的一表面之一基板,以形成堰及溝渠之一表面佈局,其中:各溝渠具有相對於該第一嵌塊共聚合物之各嵌塊係中性濕潤的一底部;各溝渠具有藉由該第一嵌塊共聚合物之一嵌塊選擇性地濕潤之兩個側壁; 各溝渠具有一寬度n Lo ,其中n係從1至15;以及該堰寬度/溝渠寬度比率=m L'o /n Lo ,其中m係從1至15;將該第一嵌塊共聚合物沈積在該基板表面上,以形成厚度小於或等於Y之一層;對該第一嵌塊共聚合物層進行退火,以使該第一嵌塊共聚合物可自我組裝並在各溝渠內形成一第一組薄片,該等薄片係實質上垂直於該基板表面且實質上與各溝渠側壁對齊;交聯包括該自我組裝的第一嵌塊共聚合物之一第一嵌塊的該第一組薄片之一部分;移除形成該等堰的材料之至少一部分,以形成具有深度Y'的至少一個開口,其中Y'小於Y,其中:該至少一個開口具有相對於該第二嵌塊共聚合物之各嵌塊係中性濕潤的一底部;該至少一個開口具有藉由該第二嵌塊共聚合物之一嵌塊選擇性地濕潤之兩個側壁;以及該至少一個開口具有一寬度m L'o ,其中m係從1至15;將該第二嵌塊共聚合物沈積在該基板表面上,以形成厚度小於或等於Y'之一層;以及對該第二嵌塊共聚合物層進行退火,以使該第二嵌塊共聚合物可自我組裝並在該至少一個開口內形成一第二組薄片,該等薄片係實質上垂直於該基板表面且實質上 與各開口側壁對齊。
  15. 如請求項14之方法,其進一步包括:交聯該第二組薄片之一第一部分,其中該第二組薄片之該第一部分包括包含該自我組裝的第二嵌塊共聚合物之一第一嵌塊的薄片。
  16. 如請求項15之方法,其中交聯該第二組薄片之該第一部分包括:將該自我組裝的第二嵌塊共聚合物之至少該第一嵌塊曝露於紫外線輻射。
  17. 如請求項15之方法,其進一步包括選擇性地移除該第一組薄片之一第二部分,其中該第一組薄片之該第二部分包括包含該自我組裝的第一嵌塊共聚合物之一第二嵌塊的薄片。
  18. 如請求項15之方法,其進一步包括選擇性地移除該第二組薄片之一第二部分,其中該第二組薄片之該第二部分包括包含該自我組裝的第二嵌塊共聚合物之一第二嵌塊的薄片。
  19. 如請求項14之方法,其中提供具有包括複數個堰的一表面之一基板包括:將一光阻劑沈積在一基板表面上以形成厚度Y之一層,其中該基板具有相對於該第一嵌塊共聚合物之各嵌塊係中性濕潤的一表面;曝露該光阻劑以形成線圖樣;選擇性地移除該光阻劑之該已曝露或未曝露部分,其中藉由該第一嵌塊共聚合物之一嵌塊選擇性地濕潤該基 板表面上剩餘的該光阻劑。
  20. 如請求項19之方法,其中中性濕潤的該表面係一氫終止矽表面。
  21. 如請求項19之方法,其中中性濕潤的該表面係一交聯聚合物墊子。
  22. 如請求項19之方法,其中中性濕潤的該表面係一接枝聚合物。
  23. 如請求項19之方法,其中該光阻劑係選自由以氫倍半矽氧烷為基礎的光阻劑、以聚甲基丙烯酸甲酯為基礎的光阻劑、以聚苯乙烯為基礎的光阻劑及其組合組成的群組之一電子束光阻劑。
  24. 如請求項19之方法,其中該光阻劑係選自由以聚苯乙烯為基礎的光阻劑、以聚(甲基)丙烯酸酯為基礎的光阻劑及其組合組成的群組之一光阻。
  25. 如請求項19之方法,其中沈積該光阻劑形成一含金屬層。
  26. 如請求項25之方法,其中該含金屬層係一氮化鋁層。
  27. 如請求項19之方法,其中沈積該光阻劑形成一含矽層。
  28. 如請求項27之方法,其中該含矽層係選自由二氧化矽(SiO2 )、氮化矽(Si3 N4 )、碳氧化矽(SiO4 C)及其組合組成的群組。
  29. 如請求項28之方法,其中該光阻劑進一步包括接枝至該含矽層之該表面的該第一嵌塊共聚合物之一嵌塊之一均聚合物。
  30. 如請求項14之方法,其中提供具有包括複數個堰的一表面之一基板包括:提供具有一表面之一基板;將厚度Y之一層沈積在該基板表面上;以及選擇性地蝕刻該層以形成堰及溝渠之一佈局,及其中該層係一含金屬層。
  31. 如請求項30之方法,其中該含金屬層係一含氮化鋁層。
  32. 如請求項14之方法,其中提供具有包括複數個堰的一表面之一基板包括:提供具有一表面之一基板;將厚度Y之一層沈積在該基板表面上;以及選擇性地蝕刻該層以形成堰及溝渠之一佈局,及其中該層係一含矽層。
  33. 如請求項32之方法,其中該含矽層係選自由二氧化矽(SiO2 )、氮化矽(Si3 N4 )、碳氧化矽(SiO4 C)及其組合組成的群組。
  34. 如請求項14之方法,其中提供具有包括複數個堰的一表面之一基板包括:提供具有一表面之一基板;將厚度Y之一層沈積在該基板表面上;以及選擇性地蝕刻該層以形成堰及溝渠之一佈局,及其進一步包括在將厚度Y之該層沈積在該基板表面上之前將相對於該第一嵌塊共聚合物之各嵌塊係中性濕潤的一聚合物接枝至該基板表面。
  35. 如請求項14之方法,其中提供具有包括複數個堰的一表面之一基板包括:提供具有一表面之一基板;將厚度Y之一層沈積在該基板表面上;以及選擇性地蝕刻該層以形成堰及溝渠之一佈局,及其進一步包括在將厚度Y之該層沈積在該基板表面上之後將相對於該第一嵌塊共聚合物之各嵌塊係中性濕潤的一聚合物選擇性地接枝至該基板表面。
  36. 如請求項14之方法,其中提供具有包括複數個堰的一表面之一基板包括:提供具有一表面之一基板;將厚度Y之一層沈積在該基板表面上;以及選擇性地蝕刻該層以形成堰及溝渠之一佈局,及其進一步包括將一聚合物墊子沈積在該基板表面上並交聯該聚合物墊子。
  37. 如請求項36之方法,其中該基板係一半導體基板或基板裝配件。
  38. 如請求項36之方法,其中該基板係一矽晶圓。
  39. 如請求項14之方法,其中將該第一嵌塊共聚合物沈積在該基板表面上包括選自由旋塗、浸塗、噴塗及其組合組成的群組之一方法。
  40. 如請求項14之方法,其中退火包括熱退火、溶劑退火或其組合。
  41. 如請求項40之方法,其中退火包括將該嵌塊共聚合物曝 露於一溫度0℃至250℃。
  42. 如請求項40之方法,其中退火包括:將該嵌塊共聚合物曝露於溶化該嵌塊共聚合物之各嵌塊的一溶劑之蒸氣;使該嵌塊共聚合物膨脹;以及移除該溶劑之至少一部分。
  43. 如請求項14之方法,其中交聯包括該自我組裝的第一嵌塊共聚合物之該第一嵌塊的該第一組薄片之該部分包括:將該自我組裝的第一嵌塊共聚合物之至少該第一嵌塊曝露於紫外線輻射。
  44. 如請求項14之方法,其中移除形成該等堰的材料之至少一部分包括一濕式或乾式蝕刻方法。
  45. 一種用於圖樣化一基板的方法,該方法包括:提供具有一固有週期Lo 的一第一嵌塊共聚合物;提供具有一固有週期L'o 的一第二嵌塊共聚合物;提供具有一表面之一基板;將厚度Y之一層沈積在該基板表面上;以及選擇性地蝕刻該層以形成複數個高度Y之堰,而形成堰及溝渠之一表面佈局,其中:各溝渠具有相對於該第一嵌塊共聚合物之各嵌塊係中性濕潤的一底部;各溝渠具有藉由該第一嵌塊共聚合物之一嵌塊選擇性地濕潤之兩個側壁;各溝渠具有一寬度n Lo ,其中n係從1至15;以及 該堰寬度/溝渠寬度比率=m L'o /n Lo ,其中m係從1至15;將該第一嵌塊共聚合物沈積在該基板表面上,以形成厚度小於或等於Y之一層;對該第一嵌塊共聚合物層進行退火,以使該第一嵌塊共聚合物可自我組裝並在各溝渠內形成一第一組薄片,該等薄片係實質上垂直於該基板表面且實質上與各溝渠側壁對齊;交聯包括該自我組裝的第一嵌塊共聚合物之一第一嵌塊的該第一組薄片之一部分;移除形成該等堰的材料之至少一部分,以形成具有深度Y'的至少一個開口,其中Y'小於Y,其中:該至少一個開口具有相對於該第二嵌塊共聚合物之各嵌塊係中性濕潤的一底部;該至少一個開口具有藉由該第二嵌塊共聚合物之一嵌塊選擇性地濕潤之兩個側壁;以及該至少一個開口具有一寬度m L'o ,其中m係從1至15;將該第二嵌塊共聚合物沈積在該基板表面上,以形成厚度小於或等於Y'之一層;以及對該第二嵌塊共聚合物層進行退火,以使該第二嵌塊共聚合物可自我組裝並在該至少一個開口內形成一第二組薄片,該等薄片係實質上垂直於該基板表面且實質上與各開口側壁對齊。
  46. 如請求項45之方法,其中該第一嵌塊共聚合物與第二嵌塊共聚合物之每一者係獨立地選自由雙嵌塊共聚合物、三嵌塊共聚合物、多嵌塊共聚合物及其組合組成的群組。
  47. 如請求項45之方法,其中Lo =L'o
  48. 如請求項47之方法,其中Lo 係10奈米至100奈米。
  49. 如請求項45之方法,其中該第一嵌塊共聚合物係與該第二嵌塊共聚合物相同。
  50. 如請求項49之方法,其中該等第一及第二嵌塊共聚合物係實質對稱的雙嵌塊共聚合物。
  51. 如請求項45之方法,其中該等第一及第二嵌塊共聚合物之至少一者係選自由聚苯乙烯-b-聚甲基丙烯酸甲酯嵌塊共聚合物、聚氧化乙烯-b-聚異戊二烯嵌塊共聚合物、聚氧化乙烯-b-聚丁二烯嵌塊共聚合物、聚氧化乙烯-b-聚苯乙烯嵌塊共聚合物、聚氧化乙烯-b-聚甲基丙烯酸甲酯嵌塊共聚合物、聚苯乙烯-b-聚乙烯吡啶嵌塊共聚合物、聚苯乙烯-b-聚異戊二烯嵌塊共聚合物、聚苯乙烯-b-聚丁二烯嵌塊共聚合物、聚丁二烯-b-聚乙烯吡啶嵌塊共聚合物、聚異戊二烯-b-聚甲基丙烯酸甲酯嵌塊共聚合物及其組合組成的群組之一雙嵌塊共聚合物。
  52. 如請求項49之方法,其中該等第一及第二嵌塊共聚合物皆係聚苯乙烯-b-聚甲基丙烯酸甲酯嵌塊共聚合物。
  53. 如請求項45之方法,其中該等第一及第二嵌塊共聚合物之至少一者係具有三個或三個以上之選自由聚苯乙烯、 聚甲基丙烯酸甲酯、聚氧化乙烯、聚異戊二烯、聚丁二烯、聚乙烯吡啶及其組合組成的群組之嵌塊的一三嵌塊或多嵌塊共聚合物。
  54. 如請求項45之方法,其進一步包括將一聚合物墊子沈積在該基板表面上並交聯該聚合物墊子。
  55. 如請求項54之方法,其中在將厚度Y之該層沈積在該基板表面上之前或之後沈積該聚合物墊子。
  56. 如請求項54之方法,其中該等第一及第二嵌塊共聚合物之至少一者係聚苯乙烯-b-聚甲基丙烯酸甲酯嵌塊共聚合物。
  57. 如請求項56之方法,其中該聚合物墊子係一可交聯聚苯乙烯-r-聚甲基丙烯酸甲酯無規共聚合物。
  58. 一種用於形成次微影導電線的方法,該方法包括:提供具有一固有週期Lo 的一嵌塊共聚合物;提供包括複數個溝渠的一基板,其中各溝渠係藉由一自我組裝共聚合物界定且具有兩個側壁以及一寬度n Lo ,其中n係從1至15;將該嵌塊共聚合物沈積在該基板表面上;對該嵌塊共聚合物進行退火,以使該嵌塊共聚合物可自我組裝並在各溝渠內形成一組薄片,該等薄片係實質上垂直於該基板表面且實質上與各溝渠側壁對齊;交聯該組薄片之一第一部分,其中該第一部分包括包含該自我組裝的嵌塊共聚合物之一第一嵌塊的薄片;選擇性地移除該組薄片之一第二部分,其中該第二部 分包括包含該自我組裝的嵌塊共聚合物之一第二嵌塊的薄片;使用該組薄片之該第一部分作為一蝕刻遮罩來蝕刻該基板表面以形成次微影溝渠;在該蝕刻之後,可選擇性地移除任何剩餘共聚合物及薄片;以及將一導電材料沈積於該等次微影溝渠中以形成次微影導電線。
  59. 如請求項58之方法,其中該導電材料係含金屬材料。
  60. 如請求項58之方法,其中沈積該導電材料包括藉由汽相沈積方法來沈積一含金屬材料。
  61. 如請求項60之方法,其中沈積該導電材料包括藉由化學汽相沈積(CVD)或原子層沈積(ALD)方法來沈積一含金屬材料。
  62. 如請求項58之方法,其中該導電材料係導電聚合物及/或含金屬聚合物複合物。
  63. 如請求項62之方法,其中沈積該導電材料包括選自由旋塗、浸塗、噴塗及其組合組成的群組之一方法。
  64. 一種用於形成一裝置的方法,該方法包含:提供具有一固有週期Lo 的一嵌塊共聚合物;提供包括複數個溝渠的一基板,其中各溝渠係藉由一自我組裝共聚合物界定且具有兩個側壁以及一寬度n Lo ,其中n係從1至15;將該嵌塊共聚合物沈積在該基板表面上; 對該嵌塊共聚合物進行退火,以使該嵌塊共聚合物可自我組裝並在各溝渠內形成一組薄片,該等薄片係實質上垂直於該基板表面且實質上與各溝渠側壁對齊;交聯該組薄片之一第一部分,其中該第一部分包括包含該自我組裝的嵌塊共聚合物之一第一嵌塊的薄片;選擇性地移除該組薄片之一第二部分,其中該第二部分包括包含該自我組裝的嵌塊共聚合物之一第二嵌塊的薄片;使用該組薄片之該第一部分作為一蝕刻遮罩來蝕刻該基板表面以形成次微影溝渠;在該蝕刻之後,可選擇性地移除任何剩餘共聚合物及薄片;將一導電材料沈積於該等次微影溝渠中以形成次微影導電線;以及沈積一或多個額外層以形成該裝置。
  65. 如請求項64之方法,其中該等次微影導電線係電晶體閘極。
  66. 一種用於隔離作用區域的方法,該方法包括:提供具有一固有週期Lo 的一嵌塊共聚合物;提供包括複數個溝渠的一基板,其中各溝渠係藉由一自我組裝共聚合物界定且具有兩個側壁以及一寬度n Lo ,其中n係從1至15;將該嵌塊共聚合物沈積在該基板表面上;對該嵌塊共聚合物進行退火,以使該嵌塊共聚合物可 自我組裝並在各溝渠內形成一組薄片,該等薄片係實質上垂直於該基板表面且實質上與各溝渠側壁對齊;交聯該組薄片之一第一部分,其中該第一部分包括包含該自我組裝的嵌塊共聚合物之一第一嵌塊的薄片;選擇性地移除該組薄片之一第二部分,其中該第二部分包括包含該自我組裝的嵌塊共聚合物之一第二嵌塊的薄片;使用該組薄片之該第一部分作為一蝕刻遮罩來蝕刻該基板表面以形成次微影溝渠;在該蝕刻之後,可選擇性地移除任何剩餘共聚合物及薄片;以及將一絕緣材料沈積於該等次微影溝渠中以隔離作用區域。
  67. 如請求項66之方法,其中沈積該絕緣材料包括使用汽相沈積方法進行沈積。
  68. 如請求項67之方法,其中沈積該絕緣材料包括使用化學汽相沈積(CVD)或原子層沈積(ALD)方法進行沈積。
  69. 如請求項66之方法,其中沈積該絕緣材料包括選自由旋塗、浸塗、噴塗及其組合組成的群組之一方法。
  70. 如請求項66之方法,其中該絕緣材料具有低介電常數。
  71. 一種用於形成一裝置的方法,該方法包括:提供具有一固有週期Lo 的一嵌塊共聚合物;提供包括複數個溝渠的一基板,其中各溝渠係藉由一自我組裝共聚合物界定且具有兩個側壁以及一寬度n Lo ,其中n係從1至15;將該嵌塊共聚合物沈積在該基板表面上;對該嵌塊共聚合物進行退火,以使該嵌塊共聚合物可自我組裝並在各溝渠內形成一組薄片,該等薄片係實質上垂直於該基板表面且實質上與各溝渠側壁對齊;交聯該組薄片之一第一部分,其中該第一部分包括包含該自我組裝的嵌塊共聚合物之一第一嵌塊的薄片;選擇性地移除該組薄片之一第二部分,其中該第二部分包括包含該自我組裝的嵌塊共聚合物之一第二嵌塊的薄片;使用該組薄片之該第一部分作為一蝕刻遮罩來蝕刻該基板表面以形成次微影溝渠;在該蝕刻之後,可選擇性地移除任何剩餘共聚合物及薄片;將一絕緣材料沈積於該等次微影溝渠中以隔離作用區域;以及沈積一或多個額外層以形成該裝置。
  72. 如請求項71之方法,其中該絕緣材料具有低介電常數。
  73. 一種圖樣化一基板的方法,該方法包括:在一基板上形成多個堰及多個溝渠,該等堰個別地包含一包括一第一組交替薄片之自我組裝之第一嵌塊共聚合物;在該等溝渠內形成一第二嵌塊共聚合物;以及在該等溝渠內自我組裝該第二嵌塊共聚合物以在該等 溝渠之各溝渠內形成一第二組交替薄片。
  74. 一種圖樣化一基板的方法,該方法包括:在一基板上之犧牲材料中形成多個第一溝渠;在該等第一溝渠內形成一第一嵌塊共聚合物;在該等第一溝渠內自我組裝該第一嵌塊共聚合物以在該等第一溝渠內形成一第一組交替薄片;移除該犧牲材料以在該基板上形成多個第二溝渠,該等第二溝渠包括多個側壁,該等側壁包括經自我組裝之該第一嵌塊共聚合物;在該等第二溝渠內形成一第二嵌塊共聚合物;以及在該等第二溝渠內自我組裝該第二嵌塊共聚合物以在該等第二溝渠內形成一第二組交替薄片。
TW97104724A 2007-02-08 2008-02-05 用於次微影圖樣化之使用嵌塊共聚合物自我組裝的方法 TWI469183B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/703,911 US7964107B2 (en) 2007-02-08 2007-02-08 Methods using block copolymer self-assembly for sub-lithographic patterning

Publications (2)

Publication Number Publication Date
TW200845124A TW200845124A (en) 2008-11-16
TWI469183B true TWI469183B (zh) 2015-01-11

Family

ID=39682332

Family Applications (1)

Application Number Title Priority Date Filing Date
TW97104724A TWI469183B (zh) 2007-02-08 2008-02-05 用於次微影圖樣化之使用嵌塊共聚合物自我組裝的方法

Country Status (8)

Country Link
US (3) US7964107B2 (zh)
EP (1) EP2121514B1 (zh)
JP (1) JP5574089B2 (zh)
KR (1) KR101350072B1 (zh)
CN (1) CN101578232B (zh)
SG (1) SG178758A1 (zh)
TW (1) TWI469183B (zh)
WO (1) WO2008097736A2 (zh)

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3940546B2 (ja) 1999-06-07 2007-07-04 株式会社東芝 パターン形成方法およびパターン形成材料
US20110256308A1 (en) * 2001-03-30 2011-10-20 Buerger Jr Walter Richard Algorithmic processing to create features
US7579278B2 (en) * 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
JP4421582B2 (ja) * 2006-08-15 2010-02-24 株式会社東芝 パターン形成方法
US7790045B1 (en) * 2006-09-13 2010-09-07 Massachusetts Institute Of Technology Formation of close-packed sphere arrays in V-shaped grooves
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7767099B2 (en) * 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US7999160B2 (en) * 2007-03-23 2011-08-16 International Business Machines Corporation Orienting, positioning, and forming nanoscale structures
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US7923373B2 (en) * 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US7790350B2 (en) * 2007-07-30 2010-09-07 International Business Machines Corporation Method and materials for patterning a neutral surface
US8283258B2 (en) 2007-08-16 2012-10-09 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films
JP4445538B2 (ja) * 2007-09-26 2010-04-07 株式会社東芝 パターン形成方法
US8105960B2 (en) * 2007-10-09 2012-01-31 International Business Machines Corporation Self-assembled sidewall spacer
KR101355167B1 (ko) * 2007-12-14 2014-01-28 삼성전자주식회사 적어도 세 개의 고분자 블록을 구비하는 블록 공중합체를이용한 미세 패턴 형성 방법
US8017194B2 (en) * 2008-01-17 2011-09-13 International Business Machines Corporation Method and material for a thermally crosslinkable random copolymer
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8215074B2 (en) * 2008-02-05 2012-07-10 International Business Machines Corporation Pattern formation employing self-assembled material
FR2927467B1 (fr) * 2008-02-08 2011-09-23 Commissariat Energie Atomique Procede de realisation d'une grille flottante ayant une alternance de lignes en premier et second materiaux
US8101261B2 (en) * 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7906031B2 (en) * 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US8114468B2 (en) * 2008-06-18 2012-02-14 Boise Technology, Inc. Methods of forming a non-volatile resistive oxide memory array
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8187480B2 (en) * 2008-11-13 2012-05-29 Seagate Technology, Llc Ultra thin alignment walls for di-block copolymer
US8362179B2 (en) 2008-11-19 2013-01-29 Wisconsin Alumni Research Foundation Photopatternable imaging layers for controlling block copolymer microdomain orientation
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
KR101572109B1 (ko) 2008-12-30 2015-11-27 삼성디스플레이 주식회사 나노 구조체의 제조 방법 및 이를 이용한 패턴의 제조 방법
KR101535227B1 (ko) * 2008-12-31 2015-07-08 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
US8361704B2 (en) 2009-01-12 2013-01-29 International Business Machines Corporation Method for reducing tip-to-tip spacing between lines
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8834956B2 (en) * 2009-06-22 2014-09-16 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
JP5222805B2 (ja) * 2009-07-09 2013-06-26 パナソニック株式会社 自己組織化パターン形成方法
JP5484817B2 (ja) * 2009-08-04 2014-05-07 株式会社東芝 パターン形成方法及び半導体装置の製造方法
US8247904B2 (en) * 2009-08-13 2012-08-21 International Business Machines Corporation Interconnection between sublithographic-pitched structures and lithographic-pitched structures
KR101109104B1 (ko) 2009-08-24 2012-02-16 한국기계연구원 나노선 패턴 형성 방법 및 선 편광자 제조 방법
JP5524228B2 (ja) * 2009-09-25 2014-06-18 株式会社東芝 パターン形成方法
KR101602942B1 (ko) * 2009-10-07 2016-03-15 삼성전자주식회사 패턴 형성 방법
US8623458B2 (en) * 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US8821978B2 (en) * 2009-12-18 2014-09-02 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8828493B2 (en) * 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8071467B2 (en) 2010-04-07 2011-12-06 Micron Technology, Inc. Methods of forming patterns, and methods of forming integrated circuits
JP2011243655A (ja) * 2010-05-14 2011-12-01 Hitachi Ltd 高分子薄膜、パターン媒体、及びこれらの製造方法、並びに表面改質材料
US8486611B2 (en) * 2010-07-14 2013-07-16 Micron Technology, Inc. Semiconductor constructions and methods of forming patterns
FR2963355B1 (fr) * 2010-07-30 2013-07-12 Centre Nat Rech Scient Films minces nanoorganises a base de copolymeres a blocs polysaccharidiques pour des applications en nanotechnologie.
US8304493B2 (en) * 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
JP5171909B2 (ja) * 2010-09-16 2013-03-27 株式会社東芝 微細パターンの形成方法
US9233840B2 (en) 2010-10-28 2016-01-12 International Business Machines Corporation Method for improving self-assembled polymer features
US8673541B2 (en) * 2010-10-29 2014-03-18 Seagate Technology Llc Block copolymer assembly methods and patterns formed thereby
WO2012071330A1 (en) 2010-11-24 2012-05-31 Dow Corning Corporation Controlling morphology of block copolymers
US8734904B2 (en) 2010-11-30 2014-05-27 International Business Machines Corporation Methods of forming topographical features using segregating polymer mixtures
US20120135159A1 (en) * 2010-11-30 2012-05-31 Seagate Technology Llc System and method for imprint-guided block copolymer nano-patterning
WO2012084558A1 (en) * 2010-12-23 2012-06-28 Asml Netherlands B.V. Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9134617B2 (en) * 2011-06-10 2015-09-15 Tokyo Ohka Kogyo Co., Ltd. Solvent developable negative resist composition, resist pattern formation method, and method for forming pattern of layer including block copolymer
US8956804B2 (en) * 2011-06-23 2015-02-17 Asml Netherlands B.V. Self-assemblable polymer and methods for use in lithography
US9285676B2 (en) 2011-06-23 2016-03-15 Asml Netherlands B.V. Self-assemblable polymer and method for use in lithography
CN102915907B (zh) 2011-08-02 2015-05-13 中芯国际集成电路制造(北京)有限公司 一种半导体器件制作方法
US20140178582A1 (en) 2011-08-22 2014-06-26 Dow Global Technologies Llc Composite membrane formed from polymer blend including self-assembling block copolymers
US8691925B2 (en) 2011-09-23 2014-04-08 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions of neutral layer for directed self assembly block copolymers and processes thereof
KR20130034778A (ko) * 2011-09-29 2013-04-08 주식회사 동진쎄미켐 유도된 자가정렬 공정을 이용한 반도체 소자의 미세패턴 형성 방법
WO2013050338A1 (en) * 2011-10-03 2013-04-11 Asml Netherlands B.V. Method to provide a patterned orientation template for a self-assemblable polymer
CN103035510B (zh) * 2011-10-08 2015-08-19 中芯国际集成电路制造(上海)有限公司 接触通孔刻蚀方法
US8703395B2 (en) * 2011-10-28 2014-04-22 Jsr Corporation Pattern-forming method
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
US10253187B2 (en) 2011-11-08 2019-04-09 Samsung Electronics Co., Ltd. Nano-structure of block copolymer and method of manufacturing the same
US8728714B2 (en) 2011-11-17 2014-05-20 Micron Technology, Inc. Methods for adhering materials, for enhancing adhesion between materials, and for patterning materials, and related semiconductor device structures
FR2983773B1 (fr) * 2011-12-09 2014-10-24 Arkema France Procede de preparation de surfaces
CN103187245B (zh) * 2011-12-30 2015-06-17 中芯国际集成电路制造(上海)有限公司 一种通过定向自组装嵌段共聚物的光刻方法
US9177794B2 (en) * 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US20130200498A1 (en) * 2012-02-03 2013-08-08 Applied Materials, Inc. Methods and apparatus for lithography using a resist array
CN104303103B (zh) * 2012-02-10 2019-04-26 得克萨斯大学体系董事会 用于薄膜嵌段共聚物的取向控制的酸酐共聚物的面涂层
US8961918B2 (en) 2012-02-10 2015-02-24 Rohm And Haas Electronic Materials Llc Thermal annealing process
US9440196B2 (en) 2012-02-21 2016-09-13 Dow Global Technologies Llc Composite membrane
US8686109B2 (en) * 2012-03-09 2014-04-01 Az Electronic Materials (Luxembourg) S.A.R.L. Methods and materials for removing metals in block copolymers
JP6306810B2 (ja) * 2012-03-14 2018-04-04 東京応化工業株式会社 下地剤、ブロックコポリマーを含む層のパターン形成方法
WO2013156240A1 (en) * 2012-04-20 2013-10-24 Asml Netherlands B.V. Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers
WO2013160027A1 (en) * 2012-04-27 2013-10-31 Asml Netherlands B.V. Methods and compositions for providing spaced lithography features on a substrate by self-assembly of block copolymers
US9005877B2 (en) * 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
US9298870B1 (en) 2012-05-16 2016-03-29 International Business Machines Corporation Method for designing topographic patterns for directing the formation of self-assembled domains at specified locations on substrates
FR2990885B1 (fr) * 2012-05-23 2014-09-19 Arkema France Procede de preparation de surfaces
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8821738B2 (en) 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc Thermal annealing process
US8821739B2 (en) 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc High temperature thermal annealing process
JP6239813B2 (ja) 2012-07-18 2017-11-29 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法
CN103633029B (zh) * 2012-08-28 2016-11-23 中国科学院微电子研究所 半导体结构及其制造方法
JP5818760B2 (ja) 2012-09-07 2015-11-18 株式会社東芝 パターン形成方法
JP5758363B2 (ja) * 2012-09-07 2015-08-05 株式会社東芝 パターン形成方法
US9034197B2 (en) 2012-09-13 2015-05-19 HGST Netherlands B.V. Method for separately processing regions on a patterned medium
US9153477B2 (en) * 2012-09-28 2015-10-06 Intel Corporation Directed self assembly of block copolymers to form vias aligned with interconnects
JP6141144B2 (ja) * 2012-10-02 2017-06-07 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US8822130B2 (en) * 2012-11-19 2014-09-02 The Texas A&M University System Self-assembled structures, method of manufacture thereof and articles comprising the same
US9223214B2 (en) * 2012-11-19 2015-12-29 The Texas A&M University System Self-assembled structures, method of manufacture thereof and articles comprising the same
US8956808B2 (en) * 2012-12-04 2015-02-17 Globalfoundries Inc. Asymmetric templates for forming non-periodic patterns using directed self-assembly materials
WO2014098025A1 (ja) * 2012-12-18 2014-06-26 日産化学工業株式会社 スチレン構造を含む自己組織化膜の下層膜形成組成物
KR101993255B1 (ko) * 2013-01-07 2019-06-26 삼성전자주식회사 콘택 홀 형성 방법
US8790522B1 (en) * 2013-02-11 2014-07-29 Globalfoundries Inc. Chemical and physical templates for forming patterns using directed self-assembly materials
JP2014170802A (ja) * 2013-03-01 2014-09-18 Toshiba Corp パターン形成方法
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US8980538B2 (en) * 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US20140273534A1 (en) * 2013-03-14 2014-09-18 Tokyo Electron Limited Integration of absorption based heating bake methods into a photolithography track system
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140273290A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP5802233B2 (ja) * 2013-03-27 2015-10-28 株式会社東芝 パターン形成方法
KR102245179B1 (ko) 2013-04-03 2021-04-28 브레우어 사이언스, 인코포레이션 지향성 자가 조립용 블록 공중합체에 사용하기 위한 고도로 내에칭성인 중합체 블록
KR101961387B1 (ko) * 2013-04-10 2019-03-25 에스케이하이닉스 주식회사 반도체 장치의 제조 방법
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US10457088B2 (en) * 2013-05-13 2019-10-29 Ridgefield Acquisition Template for self assembly and method of making a self assembled pattern
JP5981392B2 (ja) 2013-06-19 2016-08-31 株式会社東芝 パターン形成方法
FR3008986B1 (fr) 2013-07-25 2016-12-30 Arkema France Procede de controle de la periode caracterisant la morphologie obtenue a partir d'un melange de copolymere a blocs et de (co) polymeres de l'un des blocs
WO2015034690A1 (en) 2013-09-04 2015-03-12 Tokyo Electron Limited Uv-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
WO2015035088A1 (en) 2013-09-05 2015-03-12 Applied Materials, Inc Methods and apparatus for forming a resist array using chemical mechanical planarization
US9405189B2 (en) * 2013-09-06 2016-08-02 Rohm And Haas Electronic Materials Llc Self-assembled structures, method of manufacture thereof and articles comprising the same
US10078261B2 (en) 2013-09-06 2018-09-18 Rohm And Haas Electronic Materials Llc Self-assembled structures, method of manufacture thereof and articles comprising the same
FR3010413B1 (fr) * 2013-09-09 2015-09-25 Arkema France Procede de controle de la periode d'un assemblage nano-structure comprenant un melange de copolymeres a blocs
JP5904981B2 (ja) * 2013-09-09 2016-04-20 株式会社東芝 パターン形成方法、磁気記録媒体の製造方法、及び磁気記録媒体
FR3010412B1 (fr) * 2013-09-09 2016-10-21 Arkema France Procede d'obtention de films epais nano-structures obtenus a partir de copolymeres a blocs
FR3010414B1 (fr) * 2013-09-09 2015-09-25 Arkema France Procede d'obtention de films epais nano-structures obtenus a partir d'une composition de copolymeres a blocs
TWI615885B (zh) * 2013-09-12 2018-02-21 聯華電子股份有限公司 圖案化的方法
US9625815B2 (en) * 2013-09-27 2017-04-18 Intel Corporation Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
US9177795B2 (en) * 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US9093263B2 (en) 2013-09-27 2015-07-28 Az Electronic Materials (Luxembourg) S.A.R.L. Underlayer composition for promoting self assembly and method of making and using
JP2015076108A (ja) * 2013-10-07 2015-04-20 株式会社東芝 パターン形成方法、及び磁気記録媒体の製造方法
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
WO2015067433A1 (en) * 2013-11-08 2015-05-14 Asml Netherlands B.V. Methodology to generate a guiding template for directed self-assembly
JP6419820B2 (ja) 2013-12-06 2018-11-07 エルジー・ケム・リミテッド ブロック共重合体
EP3078691B1 (en) 2013-12-06 2018-04-18 LG Chem, Ltd. Block copolymer
JP6521975B2 (ja) 2013-12-06 2019-05-29 エルジー・ケム・リミテッド ブロック共重合体
CN105899557B (zh) * 2013-12-06 2018-10-26 株式会社Lg化学 嵌段共聚物
JP6402867B2 (ja) 2013-12-06 2018-10-10 エルジー・ケム・リミテッド ブロック共重合体
JP6410327B2 (ja) 2013-12-06 2018-10-24 エルジー・ケム・リミテッド ブロック共重合体
EP3078693B1 (en) 2013-12-06 2021-01-27 LG Chem, Ltd. Block copolymer
EP3078686B1 (en) 2013-12-06 2018-10-31 LG Chem, Ltd. Block copolymer
US10202480B2 (en) 2013-12-06 2019-02-12 Lg Chem, Ltd. Block copolymer
CN105899558B (zh) 2013-12-06 2018-09-18 株式会社Lg化学 嵌段共聚物
JP6496318B2 (ja) 2013-12-06 2019-04-03 エルジー・ケム・リミテッド ブロック共重合体
JP6483694B2 (ja) 2013-12-06 2019-03-13 エルジー・ケム・リミテッド 単量体およびブロック共重合体
WO2015084122A1 (ko) 2013-12-06 2015-06-11 주식회사 엘지화학 블록 공중합체
CN105934456B (zh) * 2013-12-06 2018-09-28 株式会社Lg化学 嵌段共聚物
US9181449B2 (en) 2013-12-16 2015-11-10 Az Electronic Materials (Luxembourg) S.A.R.L. Underlayer composition for promoting self assembly and method of making and using
FR3014877B1 (fr) * 2013-12-17 2017-03-31 Arkema France Procede de nanostructuration d'un film de copolymere a blocs a partir d'un copolymere a blocs non structure a base de styrene et de methacrylate de methyle, et film de copolymere a blocs nanostructure
KR101674972B1 (ko) 2013-12-26 2016-11-10 한국과학기술원 나노 스케일 패터닝 방법 및 이로부터 제조된 전자기기용 집적소자
JP6558894B2 (ja) 2013-12-31 2019-08-14 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC コポリマーの設計、その製造方法およびそれを含む物品
JP2015129261A (ja) * 2013-12-31 2015-07-16 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC ブロックコポリマーのアニール方法およびブロックコポリマーから製造する物品
JP6702649B2 (ja) 2013-12-31 2020-06-03 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC ブロックコポリマーの性質を制御する方法及びブロックコポリマーから製造された物品
KR102364329B1 (ko) * 2014-01-16 2022-02-17 브레우어 사이언스, 인코포레이션 유도 자가-조립용 하이-카이 블록 공중합체
TWI648320B (zh) * 2014-01-23 2019-01-21 東京應化工業股份有限公司 含相分離結構之結構體之製造方法、圖型形成方法、微細圖型形成方法
US9195132B2 (en) * 2014-01-30 2015-11-24 Globalfoundries Inc. Mask structures and methods of manufacturing
KR102160791B1 (ko) 2014-02-03 2020-09-29 삼성디스플레이 주식회사 블록 공중합체 및 이를 사용한 패턴 형성 방법
KR102176758B1 (ko) * 2014-02-10 2020-11-10 에스케이하이닉스 주식회사 블록 코폴리머를 이용한 패턴 형성을 위한 구조 및 패턴 형성 방법
US9489974B2 (en) 2014-04-11 2016-11-08 Seagate Technology Llc Method of fabricating a BPM template using hierarchical BCP density patterns
JP6177723B2 (ja) * 2014-04-25 2017-08-09 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US10410914B2 (en) 2014-05-28 2019-09-10 Asml Netherlands B.V. Methods for providing lithography features on a substrate by self-assembly of block copolymers
JP6122906B2 (ja) 2014-06-27 2017-04-26 ダウ グローバル テクノロジーズ エルエルシー ブロックコポリマーを製造するための方法およびそれから製造される物品
JP6356096B2 (ja) * 2014-06-27 2018-07-11 ダウ グローバル テクノロジーズ エルエルシー ブロックコポリマーを製造するための方法およびそれから製造される物品
US9275896B2 (en) * 2014-07-28 2016-03-01 GlobalFoundries, Inc. Methods for fabricating integrated circuits using directed self-assembly
JP2016058620A (ja) * 2014-09-11 2016-04-21 株式会社東芝 半導体装置の製造方法
FR3025937B1 (fr) * 2014-09-16 2017-11-24 Commissariat Energie Atomique Procede de grapho-epitaxie pour realiser des motifs a la surface d'un substrat
JP2016066644A (ja) 2014-09-22 2016-04-28 株式会社東芝 記憶装置の製造方法
JP6394798B2 (ja) 2014-09-30 2018-09-26 エルジー・ケム・リミテッド ブロック共重合体
EP3214102B1 (en) 2014-09-30 2022-01-05 LG Chem, Ltd. Block copolymer
CN107075054B (zh) 2014-09-30 2020-05-05 株式会社Lg化学 嵌段共聚物
US10287429B2 (en) 2014-09-30 2019-05-14 Lg Chem, Ltd. Block copolymer
CN107075052B (zh) 2014-09-30 2020-05-29 株式会社Lg化学 嵌段共聚物
JP6532941B2 (ja) 2014-09-30 2019-06-19 エルジー・ケム・リミテッド ブロック共重合体
JP6451966B2 (ja) 2014-09-30 2019-01-16 エルジー・ケム・リミテッド ブロック共重合体
US10633533B2 (en) 2014-09-30 2020-04-28 Lg Chem, Ltd. Block copolymer
JP6633062B2 (ja) 2014-09-30 2020-01-22 エルジー・ケム・リミテッド パターン化基板の製造方法
CN107078026B (zh) 2014-09-30 2020-03-27 株式会社Lg化学 图案化基底的制备方法
KR20160056457A (ko) 2014-11-11 2016-05-20 삼성디스플레이 주식회사 와이어 그리드 편광자 및 이의 제조방법
US9385129B2 (en) * 2014-11-13 2016-07-05 Tokyo Electron Limited Method of forming a memory capacitor structure using a self-assembly pattern
EP3238234A4 (en) * 2014-12-24 2018-08-22 Intel Corporation Photodefinable alignment layer for chemical assisted patterning
KR101969337B1 (ko) * 2015-02-17 2019-04-17 주식회사 엘지화학 블록 공중합체 박막의 용매 어닐링 방법 및 장치
KR101985802B1 (ko) 2015-06-11 2019-06-04 주식회사 엘지화학 적층체
KR102508525B1 (ko) 2015-10-19 2023-03-09 삼성전자주식회사 블록 코폴리머 및 이를 이용한 집적회로 소자의 제조 방법
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
KR102637883B1 (ko) * 2015-12-11 2024-02-19 아이엠이씨 브이제트더블유 기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용
WO2017111926A1 (en) * 2015-12-21 2017-06-29 Intel Corporation Triblock copolymers for self-aligning vias or contacts
US9818623B2 (en) 2016-03-22 2017-11-14 Globalfoundries Inc. Method of forming a pattern for interconnection lines and associated continuity blocks in an integrated circuit
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
JP6997764B2 (ja) 2016-08-18 2022-01-18 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 自己組織化用途用のポリマー組成物
US9818641B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in mandrel and a non-mandrel lines of an array of metal lines
US9818640B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in a non-mandrel line of an array of metal lines
US9852986B1 (en) * 2016-11-28 2017-12-26 Globalfoundries Inc. Method of patterning pillars to form variable continuity cuts in interconnection lines of an integrated circuit
US11078337B2 (en) 2016-12-14 2021-08-03 Brewer Science, Inc. High-χ block copolymers for directed self-assembly
US10002786B1 (en) 2016-12-15 2018-06-19 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned variable length continuity cuts
US10043703B2 (en) 2016-12-15 2018-08-07 Globalfoundries Inc. Apparatus and method for forming interconnection lines having variable pitch and variable widths
US9812351B1 (en) 2016-12-15 2017-11-07 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned continuity cuts
US9887127B1 (en) 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
FR3060422B1 (fr) * 2016-12-16 2019-05-10 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de fonctionnalisation d'un substrat
SG10202108825RA (en) 2016-12-21 2021-09-29 Ridgefield Acquisition Novel compositions and processes for self-assembly of block copolymers
JP6811638B2 (ja) 2017-02-14 2021-01-13 株式会社Screenホールディングス 基板処理方法及びその装置
US20180323061A1 (en) * 2017-05-03 2018-11-08 Tokyo Electron Limited Self-Aligned Triple Patterning Process Utilizing Organic Spacers
KR102359267B1 (ko) 2017-10-20 2022-02-07 삼성전자주식회사 집적회로 소자 및 그 제조 방법
CN109712871B (zh) * 2018-12-27 2021-09-21 中国科学院微电子研究所 半导体结构与其制作方法
KR20200082076A (ko) 2018-12-28 2020-07-08 삼성전자주식회사 캐패시터를 갖는 반도체 소자 및 그 형성 방법
CN113299684A (zh) * 2021-04-27 2021-08-24 长江先进存储产业创新中心有限责任公司 存储器地址线的制作方法
KR20220149828A (ko) 2021-04-30 2022-11-09 삼성전자주식회사 반도체 소자

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002073699A2 (en) * 2001-03-14 2002-09-19 University Of Massachusetts Nanofabrication
CN1527960A (zh) * 2001-01-08 2004-09-08 �Ҵ���˾ 微结构的制造方法
US20060163646A1 (en) * 2003-06-20 2006-07-27 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
JP2006215052A (ja) * 2005-02-01 2006-08-17 Hitachi Maxell Ltd 細溝形成方法及びそれによって得られた細溝基板
TW200633925A (en) * 2004-11-23 2006-10-01 Agilent Technologies Inc System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6746825B2 (en) 2001-10-05 2004-06-08 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
JP3967114B2 (ja) * 2001-11-22 2007-08-29 株式会社東芝 加工方法
US20040142578A1 (en) 2002-03-28 2004-07-22 Ulrich Wiesner Thin film nanostructures
US20040124092A1 (en) 2002-12-30 2004-07-01 Black Charles T. Inorganic nanoporous membranes and methods to form same
JP3926360B2 (ja) * 2004-10-13 2007-06-06 株式会社東芝 パターン形成方法およびそれを用いた構造体の加工方法
WO2006112887A2 (en) 2004-11-22 2006-10-26 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films
US20060249784A1 (en) 2005-05-06 2006-11-09 International Business Machines Corporation Field effect transistor device including an array of channel elements and methods for forming
JP2006324501A (ja) 2005-05-19 2006-11-30 Toshiba Corp 相変化メモリおよびその製造方法
US7723009B2 (en) * 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US7605081B2 (en) * 2006-06-19 2009-10-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
JP4673266B2 (ja) * 2006-08-03 2011-04-20 日本電信電話株式会社 パターン形成方法及びモールド
US8394483B2 (en) * 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7999160B2 (en) * 2007-03-23 2011-08-16 International Business Machines Corporation Orienting, positioning, and forming nanoscale structures
US7959975B2 (en) * 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US7521094B1 (en) * 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
US8215074B2 (en) * 2008-02-05 2012-07-10 International Business Machines Corporation Pattern formation employing self-assembled material
KR102017205B1 (ko) * 2012-12-07 2019-09-03 삼성디스플레이 주식회사 나노 구조체의 제조 방법 및 이를 이용한 패턴 형성 방법
KR101993255B1 (ko) * 2013-01-07 2019-06-26 삼성전자주식회사 콘택 홀 형성 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1527960A (zh) * 2001-01-08 2004-09-08 �Ҵ���˾ 微结构的制造方法
WO2002073699A2 (en) * 2001-03-14 2002-09-19 University Of Massachusetts Nanofabrication
US20060163646A1 (en) * 2003-06-20 2006-07-27 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
TW200633925A (en) * 2004-11-23 2006-10-01 Agilent Technologies Inc System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth
JP2006215052A (ja) * 2005-02-01 2006-08-17 Hitachi Maxell Ltd 細溝形成方法及びそれによって得られた細溝基板

Also Published As

Publication number Publication date
US20110240596A1 (en) 2011-10-06
US20130270226A1 (en) 2013-10-17
JP5574089B2 (ja) 2014-08-20
SG178758A1 (en) 2012-03-29
WO2008097736A2 (en) 2008-08-14
KR20100014768A (ko) 2010-02-11
TW200845124A (en) 2008-11-16
US20080193658A1 (en) 2008-08-14
EP2121514A2 (en) 2009-11-25
CN101578232A (zh) 2009-11-11
US8562844B2 (en) 2013-10-22
CN101578232B (zh) 2012-05-30
US8974678B2 (en) 2015-03-10
JP2010522643A (ja) 2010-07-08
EP2121514B1 (en) 2016-03-09
KR101350072B1 (ko) 2014-01-14
WO2008097736A3 (en) 2008-11-20
US7964107B2 (en) 2011-06-21

Similar Documents

Publication Publication Date Title
TWI469183B (zh) 用於次微影圖樣化之使用嵌塊共聚合物自我組裝的方法
TWI434868B (zh) 兩性離子嵌段共聚物及方法
US9087699B2 (en) Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
JP6139011B2 (ja) 誘導自己組織化用途における中立層オーバーコートのトポグラフィの最小化
US7811940B2 (en) Topography directed patterning
TWI462871B (zh) 嵌段共聚物圓柱體之一維陣列及其應用
TW200921786A (en) Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
TW200908091A (en) Pitch multiplication using self-assembling materials
TW201115622A (en) Directed self-assembly of block copolymers using segmented prepatterns
TW200906709A (en) Extensions of self-assembled structures to increased dimensions via a &#34;bootstrap&#34; self-templating method
TW201407661A (zh) 使用嵌段共聚物之圖案形成方法及其製品
TWI396227B (zh) 在基板上形成圖案之方法
KR20140087904A (ko) 블록 코폴리머 층의 패턴 형성 방법
KR20160136507A (ko) 패턴 구조물의 형성 방법
KR101428820B1 (ko) 토포그래피 지향 패터닝
US9892918B2 (en) Method of forming pattern of semiconductor device