CN102915907B - 一种半导体器件制作方法 - Google Patents

一种半导体器件制作方法 Download PDF

Info

Publication number
CN102915907B
CN102915907B CN201110218931.5A CN201110218931A CN102915907B CN 102915907 B CN102915907 B CN 102915907B CN 201110218931 A CN201110218931 A CN 201110218931A CN 102915907 B CN102915907 B CN 102915907B
Authority
CN
China
Prior art keywords
metal
block copolymer
monomer
block
metal nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201110218931.5A
Other languages
English (en)
Other versions
CN102915907A (zh
Inventor
张海洋
胡敏达
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Beijing Corp
Priority to CN201110218931.5A priority Critical patent/CN102915907B/zh
Priority to US13/323,728 priority patent/US8835325B2/en
Publication of CN102915907A publication Critical patent/CN102915907A/zh
Application granted granted Critical
Publication of CN102915907B publication Critical patent/CN102915907B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers

Abstract

本发明公开了一种半导体器件制作方法。在半导体器件制作过程中,为了形成宽度更小的沟槽,本发明首先利用由多种单体构成的嵌段共聚物的自组装特征,形成各种单体的图案;然后在嵌段共聚物的表面沉积金属或金属氮化物,由于各种单体与金属或金属氮化物之间的化学亲和力的差异,金属或金属氮化物最初选择性地在一种单体的表面上沉积,金属或金属氮化物层达到一定厚度后开始横向生长,通过控制沉积时间,能够在金属或金属氮化物层开始横向生长且尚未完全覆盖嵌段共聚物表面之前停止沉积处理;最后,以金属或金属氮化物层为掩模进行刻蚀,得到宽度非常小的沟槽。

Description

一种半导体器件制作方法
技术领域
本发明涉及半导体领域,特别涉及一种半导体器件制作方法。
背景技术
随着半导体技术的发展,半导体器件的关键尺寸不断减小,人们努力寻找能够进一步减小半导体器件的线条宽度和沟槽宽度的方法。
S.Kim公开了一种通过自组装的方式形成沟槽的方法(参见“Process Simulation of Block Copolymer Lithography”,Proceedings of 10th IEEE International Conference onNanotechnology Joint Symposium with Nano Korea 2010.)。在该方法中,通过制图外延的方式或者使用化学表面图案化的方式来引导自组装的进行,通过退火处理使得聚苯乙烯-嵌段-聚(甲基丙烯酸甲酯)共聚物(PS-b-PMMA)中,所述聚苯乙烯(PS)单体与聚(甲基丙烯酸甲酯)(PMMA)单体分离,从而在衬底上形成PS和PMMA的单体线条,这两种单体线条彼此间隔,在紫外光照射下利用乙酸选择性地去除PMMA线条,从而在衬底上形成沟槽。
Mottakin M公开了一种制备金属涂层的方法(参见“SelectiveDoping of Block Copolymer Nanodomains by Sputter Deposition ofIron”,Macromolecules,Feb.2011)。Mottakin发现,当在PS单体和PMMA单体已经分离的PS-b-PMMA共聚物薄膜上沉积金属铁时,由于化学亲和性,铁原子选择性沉积在PMMA区域。当PMMA区域上的铁原子层的厚度超过2.0nm时,铁原子层将开始横向生长并且最终覆盖整个PS-b-PMMA共聚物薄膜表面。
发明内容
然而,利用上述方法制备的半导体器件中,沟槽的宽度一般为10至1000纳米,这种沟槽宽度对于当前的半导体发展而言,显然是不能满足关键尺寸要求的。
本发明的发明人发现上述现有技术中存在问题,并因此针对所述问题中的至少一个问题提出了一种新的技术方案。
本发明的一个目的是提供一种制作半导体器件的技术方案。
根据本发明的第一方面,提供了一种半导体器件制作方法,包括以下步骤:对由两种或更多种单体构成的嵌段共聚物涂层进行自组装处理,使得所述嵌段共聚物中的至少一种单体进行自组装,从而形成所述至少一种单体的图案;在所述嵌段共聚物表面沉积金属或金属氮化物涂层,直到所沉积的金属或金属氮化物涂层开始横向生长且尚未完全覆盖所述嵌段共聚物表面时为止;以沉积的金属或金属氮化物涂层作为掩模进行刻蚀,去除未被所述金属或金属氮化物涂层覆盖的嵌段共聚物,从而形成沟槽。
优选地,所述嵌段共聚物为双块嵌段共聚物或三块嵌段共聚物,例如所述双块嵌段共聚物可以为聚苯乙烯-嵌段-聚(甲基丙烯酸甲酯)共聚物,三块嵌段共聚物可以为聚氧乙烯-聚氧丙烯-聚氧乙烯三嵌段共聚物。
优选地,优选地,通过调整所述嵌段共聚物中各个单体的质量比来控制所述至少一种单体的图案的线条宽度。例如,在所述聚苯乙烯-嵌段-聚(甲基丙烯酸甲酯)共聚物中,所述聚苯乙烯单体与聚(甲基丙烯酸甲酯)单体的质量比可以为10∶1至1∶10。
优选地,所述自组装处理为溶剂熏蒸或退火处理。
优选地,所述金属为铁、钽或钛,所述金属氮化物为氮化钛或氮化钽。
优选地,所述刻蚀为干法刻蚀,更进一步地,所述干法刻蚀的气体包括Ar、O2、CF4和CHF3
优选地,沉积所述金属或金属氮化物涂层的方法为溅射、化学气相沉积或电子束蒸发,其中溅射可以为例如直流溅射、交流溅射、反应溅射或磁控溅射等。
优选地,根据单体种类、表面亲和性和将要形成的厚度等因素选择沉积所述金属或金属氮化物涂层的时间,或者把沉积所述金属或金属氮化物涂层的时间设置为预定值。
优选地,所述单体的图案为线条状图案或环状图案。
优选地,所述嵌段共聚物涂层设置在半导体衬底上。
本发明的一个优点在于能够在半导体器件中提供宽度更小的沟槽。
通过以下参照附图对本发明的示例性实施例的详细描述,本发明的其它特征及其优点将会变得清楚。
附图说明
参照附图,根据下面的详细描述,可以更加清楚地理解本发明,其中:
图1是示出根据本发明一个实施例的形成沟槽的方法的流程图。
图2a-2d是示出使用嵌段共聚物形成单体图案的示意图。
图3a-3f是示出使用嵌段共聚物形成单体图案的另一种方法的示意图。
图4a-4b是示出根据本发明的实施例的形成线状沟槽的方法的示意图。
图5是示出根据本发明的另一个实施例的形成环状沟槽的方法的示意图。
具体实施方式
现在将参照附图来详细描述本发明的各种示例性实施例。应注意到:除非另外具体说明,否则在这些实施例中阐述的部件和步骤的相对布置、数字表达式和数值不限制本发明的范围。
同时,应当明白,为了便于描述,附图中所示出的各个部分的尺寸并不是按照实际的比例关系绘制的。
以下对至少一个示例性实施例的描述实际上仅仅是说明性的,决不作为对本发明及其应用或使用的任何限制。
对于相关领域普通技术人员已知的技术、方法和设备可能不作详细讨论,但在适当情况下,所述技术、方法和设备应当被视为授权说明书的一部分。
在这里示出和讨论的所有示例中,任何具体值应被解释为仅仅是示例性的,而不是作为限制。因此,示例性实施例的其它示例可以具有不同的值。
应注意到:相似的标号和字母在下面的附图中表示类似项,因此,一旦某一项在一个附图中被定义,则在随后的附图中不需要对其进行进一步讨论。
图1简要地示出了根据本发明实施例的半导体器件制作过程中,形成沟槽的方法的流程图。
首先,对由两种或更多种单体构成的嵌段共聚物涂层进行自组装处理,使得嵌段共聚物中的至少一种单体分离,从而形成所述至少一种单体的图案(S101)。
然后,在所述嵌段共聚物表面沉积金属涂层,直到所沉积的金属涂层开始横向生长且尚未完全覆盖所述嵌段共聚物表面时为止(S102)。
最后,以沉积的金属涂层作为掩模进行刻蚀,去除未被所述金属涂层覆盖的嵌段共聚物,从而形成沟槽(S103)。
上述方法中,所述嵌段共聚物具有以下特性。通过例如溶剂熏蒸或退火处理等,能够使得该嵌段共聚物中的一种或更多种单体分离并且形成单体的图案。这种嵌段共聚物可以为例如由两种单体嵌段而构成的双块嵌段共聚物、由三种单体构成的三块嵌段共聚物等。
对于双块嵌段共聚物材料,一种典型的例子就是聚苯乙烯-嵌段-聚(甲基丙烯酸甲酯)(PS-b-PMMA)共聚物。
对于三块嵌段共聚物材料,一种典型的例子是聚氧乙烯-聚氧丙烯-聚氧乙烯三嵌段共聚物。
图2a-2d和图3a-3f例示了如何在衬底上形成PS单体和PMMA单体的线条状图案(即单体的图案)。其中,图2a-2d采用图案外延的方式形成单体的线条状图案,图3a-3f通过制备化学纳米图案表面来形成单体的线条状图案。
如图2a所示,在具有抗反射层/聚合物刷子(ARC/ps brush)层202的衬底201上旋涂光致抗蚀剂层203,然后对光致抗蚀剂203进行曝光,在掩模板204的保护下,仅有一部分光致抗蚀剂203被曝光。
然后,对光致抗蚀剂203进行显影,去除被曝光的光致抗蚀剂,从而只留下两侧的光致抗蚀剂205,如图2b所示。
接下来,如图2c所示,在光致抗蚀剂205之间沉积一层PS-b-PMMA。
然后,对PS-b-PMMA进行退火处理,使得PS单体与PMMA单体分离,形成彼此间隔的PS单体线条207和PMMA单体线条208,如图2d所示。这样,就实现了PS-b-PMMA聚合物的定向自组装过程。应该注意到,PS单体线条207和PMMA单体线条208的取向与两侧光致抗蚀剂205的取向相同。对于退火处理,本领域技术人员能够根据公知常识采用各种适当的条件。当然,除了退火处理,还可以采用溶剂熏蒸等方式来替代,这对本领域技术人员而言是公知常识,本文就不再赘述。
图3a-3f示出了另外一种在衬底301上形成PS单体线条307的图案的方法。
如图3a所示,在具有ARC/ps brush 302的衬底301上旋涂光致抗蚀剂层303,然后利用掩模板304对光致抗蚀剂层303进行曝光。
然后,如图3b所示,对经过曝光的光致抗蚀剂层303进行显影处理,从而在衬底上形成光致抗蚀剂的线条状图案,使得ARC/psbrush层302的一部分暴露出来。
接下来,如图3c所示,使用例如氧等离子体对暴露出来的ARC/ps brush层302进行化学改性。
接下来,如图3d所示,使用适当的溶剂去除衬底上的光致抗蚀剂层303,从而在衬底上形成化学表面图案化的ARC/PS brush层302,其中线条状部分305经过氧等离子体处理而被化学改性。
接下来,如图3e所示,在ARC/PS brush层302上旋涂一层PS-b-PMMA层306。
最后,如图3f所示,对旋涂的PS-b-PMMA层306进行退火处理,从而使得PS单体和PMMA单体分离,形成PS单体线条307和PMMA单体线条308。由于ARC/PS brush层302已经被化学表面图案化,所以在ARC/PS brush层302的线条状部分305的作用下,所形成的PS单体线条307的取向和宽度与线条状部分305相同。
上述图2a-2d和图3a-3f所示的PS单体线条的图案中,单体线条的宽度一般在10-1000nm,对于当前的半导体技术发展而言,这种宽度显然是远远不能满足关键尺寸的要求的。
下面仍然以PS-b-PMMA为例,进一步描述当通过自组装处理而使PS单体与PMMA单体分离,在衬底上形成了单体线条之后(即在图2d或图3f的基础上),如何在PMMA单体线条上选择性沉积金属涂层。
该实施例中以金属铁为例,当形成PS单体线条和PMMA单体线条之后,通过带电粒子轰击靶材,使得铁原子从靶材选出并沉积在嵌段共聚物表面。
这里的嵌段共聚物中,PS单体已经与PMMA单体分离,形成了PS单体线条和PMMA单体线条。由于化学亲和性,铁原子在初始阶段选择性地沉积在PMMA单体线条表面。随着时间的推移,PMMA表面的铁金属层厚度不断增加。当铁金属层厚度达到2nm左右的阈值时,开始横向生长,逐渐覆盖PS单体线条的表面,最终将完全覆盖整个嵌段共聚物的表面。
如图4a所示,本实施例中,将在图3f的基础上进一步沉积金属层。通过例如控制溅射的时间范围,使得铁金属层401的厚度虽然已经达到2nm并且开始进行横向生长,但是铁金属层401仅仅覆盖了PS单体307表面的一部分,仍然有一部分PS单体307表面没有被铁金属层覆盖。
上述沉积金属的过程中,溅射的时间取决于粒子束强度、入射角度、靶材种类、靶与样品之间的距离等条件,本领域技术人员可以根据实际需要进行适当地选择。此外,当使用其它嵌段共聚物和金属或金属氮化物时,沉积金属或金属氮化物涂层的时间还取决于例如嵌段共聚物中的单体种类、表面亲和性、以及将要形成的金属或金属氮化物涂层厚度等因素。在一个优选实施例中,还可以把金属或金属氮化物涂层的沉积时间设置为预定值。
对于溅射方式的选择,可以采用直流溅射、交流溅射、反应溅射或磁控溅射中的任意一种,本领域人员可以根据实际情况选用适当的方式。当然,本领域技术人员也可以采用其它已知的方式来制备金属层,例如化学气相沉积、电子束蒸发等。
接下来,以所沉积的铁金属层为掩模,对PS单体进行干法刻蚀,从而形成例如图4b所示的结构。其中,没有被铁金属层401所覆盖的PS单体都已经被刻蚀掉,从而最终形成沟槽402。
上述干法刻蚀中,所采用的刻蚀气体包括例如Ar、O2、CF4和CHF3,当然,本领域人员也可以采用其它适当的刻蚀气体来进行刻蚀。
进一步地,应当理解,本发明不限于干法刻蚀。实际上,只要能够去除暴露出来的PS单体线条,可以采用包括湿刻等在内的任何刻蚀方式。
PS单体线条和PMMA单体线条的宽度已经比较小,例如通过控制PS-b-PMMA中PS单体和PMMA单体之间的质量比例为10∶1至1∶10,可以把PS单体线条的宽度和PMMA单体线条的宽度控制在例如10-1000nm。本实施例在此基础上,通过铁金属层的横向生长,进一步减小了各金属层之间的间距,从而使得最终获得的沟槽宽度能够远远小于PS单体线条的宽度。
上述实施例中,所形成的沟槽为线状沟槽。本领域人员应当理解,利用本发明所公开的方法,可以形成各种形状的沟槽。
在本发明的另一个实施例中,在衬底上形成环状沟槽。如图5所示,对衬底上的ARC/PS brush层进行化学表面图案化,形成环状图案。其中环状部分501经过氧等离子体处理而被化学改性。在此基础上,旋涂嵌段共聚物(例如PS-b-PMMA)并进行自组装处理,能够得到在PMMA单体背景下的PS单体环状图案。采用与上述实施例类似的方式,在预定时间中沉积金属(例如铁)并进行刻蚀,最终形成环状沟槽。
上面以PS-b-PMMA为例描述了本发明的形成沟槽的方法。本领域人员应当理解,还可以使用其它双块、三块或更多块的嵌段共聚物来实现本发明。例如,在本发明一个实施例中,使用聚氧乙烯-聚氧丙烯-聚氧乙烯三嵌段共聚物同样可以实现本发明。此外,随着所采用的共聚物种类不同,对嵌段共聚物进行的自组装处理也可能有所不同。具体地说,例如对于PS-b-PMMA,在上述实施例中采用的自组装处理为退火处理。而本领域人员应当理解,通过溶剂熏蒸等方式也能使PS-b-PMMA发生自组装。
此外,除了沉积金属铁之外,还可以使用例如钽(Ta)、钛(Ti)、等金属。另外,还可以使用金属氮化物来替代金属,例如氮化钛(TiN)、氮化钽(TaN)等。这些金属氮化物的性质与金属类似,同样可以在沉积过程中优先沉积在PMMA单体线条上。
至此,已经详细描述了根据本发明的形成沟槽的方法和所形成的半导体器件。为了避免遮蔽本发明的构思,没有描述本领域所公知的一些细节。本领域技术人员根据上面的描述,完全可以明白如何实施这里公开的技术方案。
虽然已经通过示例对本发明的一些特定实施例进行了详细说明,但是本领域的技术人员应该理解,以上示例仅是为了进行说明,而不是为了限制本发明的范围。本领域的技术人员应该理解,可在不脱离本发明的范围和精神的情况下,对以上实施例进行修改。本发明的范围由所附权利要求来限定。

Claims (16)

1.一种半导体器件制作方法,包括以下步骤:
对由两种或更多种单体构成的嵌段共聚物涂层进行自组装处理,使得所述嵌段共聚物中的至少一种单体进行自组装,从而形成所述至少一种单体的图案;
在所述嵌段共聚物表面沉积金属或金属氮化物涂层,直到所沉积的金属或金属氮化物涂层已经横向生长且尚未完全覆盖所述嵌段共聚物表面时为止;
以沉积的金属或金属氮化物涂层作为掩模进行刻蚀,去除未被所述金属或金属氮化物涂层覆盖的嵌段共聚物,从而形成沟槽。
2.根据权利要求1所述的方法,其中所述嵌段共聚物为双块嵌段共聚物。
3.根据权利要求2所述的方法,其中所述双块嵌段共聚物为聚苯乙烯-嵌段-聚(甲基丙烯酸甲酯)共聚物。
4.根据权利要求3所述的方法,在所述聚苯乙烯-嵌段-聚(甲基丙烯酸甲酯)共聚物中,所述聚苯乙烯单体与聚(甲基丙烯酸甲酯)单体的质量比为10∶1至1∶10。
5.根据权利要求1所述的方法,其中所述嵌段共聚物为三块嵌段共聚物。
6.根据权利要求5所述的方法,其中所述三块嵌段共聚物为聚氧乙烯-聚氧丙烯-聚氧乙烯三嵌段共聚物。
7.根据权利要求1或3所述的方法,所述自组装处理为溶剂熏蒸或退火处理。
8.根据权利要求1所述的方法,所述金属为铁、钽或钛,所述金属氮化物为氮化钛或氮化钽。
9.根据权利要求1所述的方法,所述刻蚀为干法刻蚀。
10.根据权利要求9所述的方法,所述干法刻蚀的气体包括Ar、O2、CF4和CHF3
11.根据权利要求1所述的方法,通过调整所述嵌段共聚物中各个单体的质量比来控制所述至少一种单体的图案的线条宽度。
12.根据权利要求1所述的方法,沉积所述金属涂层的方法为溅射、化学气相沉积或电子束蒸发。
13.根据权利要求12所述的方法,所述溅射为直流溅射、交流溅射、反应溅射或磁控溅射。
14.根据权利要求1所述的方法,沉积所述金属涂层的时间为预定值。
15.根据权利要求1所述的方法,其特征在于,所述单体的图案为线条状图案或环状图案。
16.根据权利要求1所述的方法,其特征在于,所述嵌段共聚物涂层设置在半导体衬底上。
CN201110218931.5A 2011-08-02 2011-08-02 一种半导体器件制作方法 Active CN102915907B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201110218931.5A CN102915907B (zh) 2011-08-02 2011-08-02 一种半导体器件制作方法
US13/323,728 US8835325B2 (en) 2011-08-02 2011-12-12 Method for manufacturing a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201110218931.5A CN102915907B (zh) 2011-08-02 2011-08-02 一种半导体器件制作方法

Publications (2)

Publication Number Publication Date
CN102915907A CN102915907A (zh) 2013-02-06
CN102915907B true CN102915907B (zh) 2015-05-13

Family

ID=47614230

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110218931.5A Active CN102915907B (zh) 2011-08-02 2011-08-02 一种半导体器件制作方法

Country Status (2)

Country Link
US (1) US8835325B2 (zh)
CN (1) CN102915907B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9050621B2 (en) * 2013-01-24 2015-06-09 Corning Incorporated Surface nanofabrication methods using self-assembled polymer nanomasks
US8859433B2 (en) * 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
CN104181770B (zh) * 2014-09-10 2017-10-20 青岛理工大学 一种基于4d打印和纳米压印制造微纳复合结构的方法
CN105576009B (zh) * 2014-10-13 2018-09-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
JP6346115B2 (ja) * 2015-03-24 2018-06-20 東芝メモリ株式会社 パターン形成方法
US10886462B2 (en) 2018-11-19 2021-01-05 International Business Machines Corporation Encapsulated memory pillars
CN110993566A (zh) * 2019-12-11 2020-04-10 成都工业学院 一种定向自组装和掩膜调控制备半导体纳米结构的方法
CN111261586B (zh) * 2020-01-22 2023-03-14 成都工业学院 一种中孔半导体纳米结构的制作方法
CN111403458B (zh) * 2020-03-27 2023-04-07 深圳市华星光电半导体显示技术有限公司 色转换层及其制造方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101837950A (zh) * 2010-05-24 2010-09-22 山东大学 两嵌段共聚物直接组装纳米结构的装置和方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060124467A1 (en) * 2003-05-20 2006-06-15 Industrial Technology Research Institute Metal nanodot arrays and fabrication methods thereof
US7964107B2 (en) * 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
KR101535227B1 (ko) * 2008-12-31 2015-07-08 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
US8071467B2 (en) * 2010-04-07 2011-12-06 Micron Technology, Inc. Methods of forming patterns, and methods of forming integrated circuits

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101837950A (zh) * 2010-05-24 2010-09-22 山东大学 两嵌段共聚物直接组装纳米结构的装置和方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Process Simulation of Block Copolymer Lithography;Sang-Kon Kim等;《Proceedings of 10th IEEE International Conference on Nanotechnology Joint Symposium with Nano Korea 2010》;20100820;第335-338页 *
Selective Doping of Block Copolymer Nanodomains by Sputter Deposition of Iron;Mottakin M. Abul Kashem等;《Macromolecules》;20110214;第44卷(第6期);第1621-1627页 *

Also Published As

Publication number Publication date
US8835325B2 (en) 2014-09-16
US20130034964A1 (en) 2013-02-07
CN102915907A (zh) 2013-02-06

Similar Documents

Publication Publication Date Title
CN102915907B (zh) 一种半导体器件制作方法
US10438797B2 (en) Method of quasi atomic layer etching
KR102510610B1 (ko) Vnand 홀 에칭을 위한 도금된 금속 하드 마스크
WO2013146538A1 (ja) 自己組織化可能なブロック・コポリマーを用いて周期パターン形成する方法及び装置
JP5973557B2 (ja) ブロック共重合体を用いて基板の表面にパターンを作製する方法
Chinoy Reactive ion etching of benzocyclobutene polymer films
TWI754661B (zh) 用於自組裝應用之聚合物組合物
US9991115B2 (en) Directed self-assembly using trench assisted chemoepitaxy
Oria et al. Polystyrene as a brush layer for directed self-assembly of block co-polymers
CN103578973B (zh) 氮化硅高深宽比孔的循环刻蚀方法
TW201402855A (zh) 低溫化學氣相沉積技術所形成之共形犧牲薄膜
EP1481283A1 (en) Lithography pattern shrink process and articles
CN109390216A (zh) 一种半导体器件的形成方法
JP2013226692A (ja) 下地中性膜を用いたマスクパターン積層体の製造方法
Zhang et al. Deep reactive ion etching of PMMA
KR102310841B1 (ko) 레지스트 리플로우 온도 향상을 위한 직류 중첩 경화
CN103094182B (zh) 一种半导体器件制作方法
US10043668B1 (en) Selective dry etch for directed self assembly of block copolymers
He et al. Profile control in block copolymer nanostructures using bilayer thin films for enhanced pattern transfer processes
JPH03174724A (ja) パターン形成方法
CN115440585A (zh) 金属纳米结构及其离子束刻蚀加工方法
US20170051397A1 (en) Method for developing a coating having a high light transmission and/or a low light reflection
WO2018062157A1 (ja) 相分離構造を含む構造体の製造方法
Chevolleau et al. Self-assembly patterning using block copolymer for advanced CMOS technology: optimisation of plasma etching process
CN105576009B (zh) 一种半导体器件及其制备方法、电子装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant