JP5574089B2 - サブリソグラフィックパターニングのためにブロック共重合体自己集合を使用する方法 - Google Patents

サブリソグラフィックパターニングのためにブロック共重合体自己集合を使用する方法 Download PDF

Info

Publication number
JP5574089B2
JP5574089B2 JP2009549163A JP2009549163A JP5574089B2 JP 5574089 B2 JP5574089 B2 JP 5574089B2 JP 2009549163 A JP2009549163 A JP 2009549163A JP 2009549163 A JP2009549163 A JP 2009549163A JP 5574089 B2 JP5574089 B2 JP 5574089B2
Authority
JP
Japan
Prior art keywords
block copolymer
block
trench
self
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009549163A
Other languages
English (en)
Other versions
JP2010522643A5 (ja
JP2010522643A (ja
Inventor
ビー. ミルウォード,ダン
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2010522643A publication Critical patent/JP2010522643A/ja
Publication of JP2010522643A5 publication Critical patent/JP2010522643A5/ja
Application granted granted Critical
Publication of JP5574089B2 publication Critical patent/JP5574089B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/02Local etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0198Manufacture or treatment of microstructural devices or systems in or on a substrate for making a masking layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Nanotechnology (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Composite Materials (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Graft Or Block Polymers (AREA)
  • Laminated Bodies (AREA)
  • Manufacture Of Macromolecular Shaped Articles (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本出願は2007年2月8日出願の米国特許出願No. 11/703,911の優先権を主張し、その全容は引用により本明細書に組み込まれる。
メモリデバイスは通常、コンピュータもしくは他の電子デバイス内の内部半導体集積回路として提供される。ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、ダイナミックランダムアクセスメモリ(DRAM)、同期ダイナミックランダムアクセスメモリ(SDRAM)、およびフラッシュメモリを含む、様々な種類のメモリがある。フラッシュメモリデバイスは、幅広い電子応用のための不揮発性メモリのよく知られた供給源へと発展してきた。フラッシュメモリデバイスは一般的に1トランジスタメモリセルを使用するため、高メモリ密度、高信頼性、低電力消費を可能にする。フラッシュメモリの一般的用法は、パーソナルコンピュータ、携帯情報端末(PDA)、デジタルカメラ、携帯電話を含む。パーソナルコンピュータシステムで使用するために、通常、基本入出力システム(BIOS)などのプログラムコードとシステムデータがフラッシュメモリデバイスに記録される。
コンピュータシステムの性能が向上するにつれ、フラッシュメモリトランジスタの性能と密度の向上が必要になっている。密度と性能の向上を実現するため、トランジスタが縮小化されてきた結果、所要電力は削減され高速化がもたらされた。しかしながら、さらなる縮小化は、リソグラフィー法を用いて実現可能な寸法の下限によって制限されることが多い。例えば、57.5ナノメートル(nm)以下のフィーチャ(例えばライン幅)を持つ導電性ラインなどの構造は、標準的なリソグラフィー法によって作り出すことは難しく、本明細書では“サブリソグラフィック(sub-lithographic)”フィーチャと称する。
当該技術分野で既知の他の方法によってサブリソグラフィックフィーチャを作成しようという試みがなされてきた。例えば電子ビーム(e-ビーム)リソグラフィーおよび極紫外線(EUV)リソグラフィーが、そうしたサブリソグラフィックフィーチャを作成するための試みで使用されてきた。そうした方法はサブリソグラフィックフィーチャを作成することはできるが、そのような方法の普及は、例えば高コストおよび/または高スループット製造法との不適合性を含む問題によって妨げられてきた。
上記の理由、および本明細書を読んで理解することで当業者に明らかとなるであろう下記の他の理由から、サブリソグラフィックフィーチャを作成するための方法が当該技術分野で必要とされている。
デバイスもしくはデバイス構成部品の例の側面図であり、本明細書に記載のブロック共重合体自己集合を含む方法の実施形態を使用するデバイスもしくはデバイス構成部品の作成を図示する。 デバイスもしくはデバイス構成部品の例の側面図であり、本明細書に記載のブロック共重合体自己集合を含む方法の実施形態を使用するデバイスもしくはデバイス構成部品の作成を図示する。 デバイスもしくはデバイス構成部品の例の側面図であり、本明細書に記載のブロック共重合体自己集合を含む方法の実施形態を使用するデバイスもしくはデバイス構成部品の作成を図示する。 デバイスもしくはデバイス構成部品の例の側面図であり、本明細書に記載のブロック共重合体自己集合を含む方法の実施形態を使用するデバイスもしくはデバイス構成部品の作成を図示する。 デバイスもしくはデバイス構成部品の例の側面図であり、本明細書に記載のブロック共重合体自己集合を含む方法の実施形態を使用するデバイスもしくはデバイス構成部品の作成を図示する。 デバイスもしくはデバイス構成部品の例の側面図であり、本明細書に記載のブロック共重合体自己集合を含む方法の実施形態を使用するデバイスもしくはデバイス構成部品の作成を図示する。 デバイスもしくはデバイス構成部品の例の側面図であり、本明細書に記載のブロック共重合体自己集合を含む方法の実施形態を使用するデバイスもしくはデバイス構成部品の作成を図示する。 図7に図示されるパターン化表面を図示する、デバイスもしくはデバイス構成部品の例の上面図である。
ブロック共重合体の自己集合は、基板上にフィーチャを作成するために使用されてきた。例えば米国特許No. 7,045,851 B2 (Black et al.);米国特許出願Pub. No. 2004/0124092 A1 (Black et al.), 2004/0142578 A1 (Wiesner et al.), 2006/0134556 A1 (Nealey et al.), 2006/0163646 A1 (Black et al.), および2006/0249784 (Black et al.);Black, IEEE Transactions on Nanotechnology, 3:412-415 (2004);Black, Applied Physics Letters, 87:163116, 1-3 (2005);およびYamaguchi et al., Journal of Photopolymer Science and Technology, 19:385-388 (2006)を参照のこと。例えば、リソグラフィー由来のトレンチは、サブリソグラフィックフィーチャを提供するためのブロック共重合体の自己集合のためのガイドとして使用されている。しかしながら、各リソグラフィー由来のトレンチの最大幅は、一般的にブロック共重合体の固有周期(Lo)の倍数(例えば特定の実施形態では整数乗数)に制限され、通常は最大でも3Loから15Loに制限される。従って、この各トレンチの最大幅への制限は、事実上、ブロック共重合体の自己集合を用いるそうした既知の方法によってパターニング可能な基板の領域を制限する。本開示の特定の実施形態に記載の方法は、ブロック共重合体の自己集合を用いる従来の既知のパターニング法と比較して、基板のより広い領域のサブリソグラフィックパターニングのために使用することができる。
本発明の特定の実施形態は、基板のサブリソグラフィックパターニングのための方法を提供する。一実施形態では、方法は以下を含む。Loの固有周期を持つブロック共重合体を提供するステップ;複数(例えば二以上)の自己集合共重合体画定トレンチを含む基板を提供し、複数のトレンチの各トレンチはnLoの幅を持ち、nは1から15であり得るステップ;基板表面上にブロック共重合体を堆積するステップ;ブロック共重合体を自己集合させるためにブロック共重合体をアニールするステップ(例えば熱アニーリングおよび/または溶媒アニーリング)。特定の実施形態では、アニールするステップは、ブロック共重合体を自己集合させ、基板表面にほぼ垂直で、かつ各トレンチ側壁にほぼ一致し得るラメラのセットを各トレンチ内に形成することを可能にする。そのような実施形態では、ラメラのセットの第一の部分は随意に(例えば紫外線放射に晒すことによって)架橋されてもよく、架橋ラメラは自己集合ブロック共重合体の第一のブロックを含む。さらに、ラメラのセットの第二の部分は随意に選択的に除去されてもよく、除去されたラメラは自己集合ブロック共重合体の第二のブロックを含む。結果として得られる構造は、例えばエッチングマスクおよび/または堆積マスクとして使用され得る。例えば一実施形態では、架橋ラメラをエッチングマスクとして使用して基板表面をエッチングしてもよく、残存する高分子材料を随意に除去してもよく、サブリソグラフィック導電性ラインを形成するために、導電性材料(例えば金属含有材料、導電性高分子、および/または金属含有高分子複合材料)をサブリソグラフィックトレンチの中に堆積してもよい。別の実施形態では、架橋ラメラをエッチングマスクとして使用して基板表面をエッチングしてもよく、残存する高分子材料を随意に除去してもよく、例えば活性領域を互いに分離するために、絶縁材料(例えば、高誘電率もしくは低誘電率の非導電性材料、および特定の実施形態では容量性カップリングを最小化するために低誘電率の非導電性材料)をサブリソグラフィックトレンチの中に堆積してもよい。本明細書で使用されるように、高誘電率(high-k)材料は二酸化シリコンの誘電率(すなわちk=3.9)よりも大きな誘電率を持つとみなされ、低誘電率(low-k)材料は二酸化シリコンの誘電率(すなわちk=3.9)以下の誘電率を持つとみなされる。あるいは、架橋ラメラが金属含有ラメラである実施形態では、結果として得られる構造は導電性ラインを含んでもよい。導電性ラインが例えばトランジスタゲートであるデバイスを形成するために、一以上の追加層が随意に提供されてもよい。
本発明の特定の実施形態は、基板をパターニングするための方法を提供する。一実施形態では、方法は以下を含む。堰とトレンチの表面地形を形成するために、高さYの複数のスペーサー(もしくは以下“堰”)を含む表面を持つ基板を提供するステップ;Loの固有周期を持つ第一のブロック共重合体を提供するステップ;L'oの固有周期を持つ第二のブロック共重合体を提供するステップ。
そのような表面地形を持つ基板は、例えばリソグラフィー法を用いて作成され得る。特定の実施形態では、そのような表面地形を持つ基板は、例えば以下を含む方法によって作成され得る。厚さYの層を形成するために基板表面上にレジスト(例えばe-ビームレジストおよび/またはフォトレジスト)を堆積し、基板は、第一のブロック共重合体の各ブロックについてニュートラルウェッティングである(neutral-wetting、すなわちウェッティング(濡れ)に実質的な差がない)表面(例えば水素終端シリコン、架橋高分子マット、および/またはグラフト高分子)を持つステップ;ラインのパターンを形成するためにレジストを露光するステップ;幅nLoの複数のトレンチを形成するために、レジストの露光部分もしくは非露光部分のいずれかを選択的に除去し、nは1から15であり得るステップ。基板表面上に残存するレジストは、第一のブロック共重合体の一ブロックによって選択的にウェッティングされ得る(すなわち一ブロックによってウェッティングされ、他のブロックによってはほとんどウェッティングされない)。従って、そのような実施形態では、各トレンチは、第一のブロック共重合体の各ブロックについてニュートラルウェッティングである床面と、第一のブロック共重合体の一ブロックによって選択的にウェッティングされる二つの側壁を持つ。別の実施形態では、そのような表面地形を持つ基板は、例えば以下を含む方法によって作成され得る。表面を持つ基板(例えば半導体基板もしくは基板アセンブリ)を提供するステップ;基板表面上に厚さYの層(例えばシリコン含有層)を堆積するステップ;幅nLoの堰とトレンチの地形を形成するために層を選択的にエッチングし、nは1から15であり得るステップ。随意に、方法は、基板表面上に厚さYの層を堆積する前もしくは後のいずれかにおいて(特定の実施形態では堆積前)、基板表面上にニュートラルウェティング高分子マットを堆積し、高分子マットを架橋するステップをさらに含んでもよい。随意に、方法は、基板表面上に厚さYの層を堆積する前に基板表面にニュートラルウェッティング高分子をグラフトするステップ、および/または特定の実施形態では、基板表面上に厚さYの層を堆積した後に基板表面にニュートラルウェッティング高分子を選択的にグラフトする(例えば、トレンチの床面にニュートラルウェッティング高分子を選択的にグラフトする)ステップをさらに含んでもよい。
Loの固有周期を持つ第一のブロック共重合体と、L'oの固有周期を持つ第二のブロック共重合体は、それぞれ独立してジブロック共重合体、トリブロック共重合体、マルチブロック共重合体、もしくはそれらの組み合わせであってよく、特定の実施形態では、それら全てはラメラ(層状)形態で自己集合し得る。固有周期LoおよびL'oは、同じであっても異なってもよい。特定の実施形態では、LoおよびL'oはそれぞれ独立して10ナノメートルから100ナノメートルであり得る。第一および第二のブロック共重合体は同じであっても異なってもよい。第一および第二のブロック共重合体のうち、いくつかの実施形態では少なくとも一方が、特定の実施形態では両方が、ほぼ対称なジブロック共重合体であり得る。
基板をパターニングするための方法はさらに以下を含む。厚さY以下の層を形成するために基板表面上に第一のブロック共重合体を堆積するステップ;第一のブロック共重合体を自己集合させ、基板表面にほぼ垂直で、かつ各トレンチ側壁にほぼ整合し得るラメラの第一のセットを各トレンチ内に形成するために、第一のブロック共重合体層をアニールするステップ;自己集合した第一のブロック共重合体の第一のブロックを含むラメラの第一のセットの部分を架橋するステップ;深さY'の少なくとも一つの開口部を形成するために、堰を形成する材料の少なくとも一部を除去するステップ。少なくとも一つの開口部は、第二のブロック共重合体の各ブロックについてニュートラルウェッティングである床面と、第二のブロック共重合体の一ブロックによって選択的にウェッティングされる二つの側壁と、幅mL'oを持ち、mは1から15であり得る。方法はさらに以下を含む。厚さY'以下の層を形成するために基板表面上に第二のブロック共重合体を堆積するステップ;第二のブロック共重合体を自己集合させ、基板表面にほぼ垂直で、かつ各開口部側壁にほぼ整合し得るラメラの第二のセットを少なくとも一つの開口部内に形成するために、第二のブロック共重合体層をアニールするステップ。特定の実施形態では、方法は、ラメラの第二のセットの第一の部分を架橋するステップをさらに含んでよく、架橋ラメラは自己集合した第二のブロック共重合体の第一のブロックを含む。さらに、ラメラの第一および/または第二のセットの第二の部分、および特定の実施形態ではその両方は、随意に選択的に除去されてもよく、除去されたラメラは自己集合した第一および/または第二のブロック共重合体の第二のブロックを含む。結果として得られる構造は、例えばエッチングマスクおよび/または堆積マスクとして使用され得る。あるいは、架橋ラメラの少なくとも一部が金属含有ラメラである実施形態では、結果として得られる構造は導電性ラインを含んでもよい。
特定の実施形態では、本明細書に記載の方法は、高スループット製造法にとって費用がかかる、および/または非実用的であり得る、干渉法、e-ビームリソグラフィー、もしくはEUVリソグラフィーなどの技術を必要とすることなく、基板のサブリソグラフィックパターニングのために使用され得る。例えば、米国特許No. 6,746,825 B2 (Nealey et al.)および6,926,953 B2 (Nealey et al.)を参照のこと。さらに特定の実施形態では、本明細書に開示の方法は、ブロック共重合体の自己集合を用いる従来の既知のパターニング法と比較して、基板のより広い領域のサブリソグラフィックパターニングのために使用され得る。
本明細書で使用される“金属含有”という用語は、全て金属から構成され得る、もしくは金属に加えて他の要素を含み得る、典型的には化合物もしくは層の材料をあらわすために用いられる。典型的な金属含有化合物は、金属、金属・配位子錯体、金属塩、有機金属化合物、およびそれらの組み合わせを含むが、限定はされない。典型的な金属含有層は、金属、金属酸化物、金属ケイ酸塩、およびそれらの組み合わせを含むが、限定はされない。
本明細書で使用される“(メタ)アクリレート”という用語は、アクリレート、メタクリレート、もしくはそれらの組み合わせをあらわす省略表現である。
本明細書で使用される“サブリソグラフィック”という用語は、最小フォトリソグラフィーフィーチャサイズ未満のサイズもしくは寸法を一つ以上有する構造をあらわすために使用される。例えば、“サブリソグラフィックライン”とは、本明細書では57.5 nm以下の幅を持つラインをあらわすために使用される。
本明細書で使用される“一つ(a, an, the)”および“少なくとも一つ”という用語は互換的に使用され、一つもしくは一つよりも多くを意味する。
本明細書で使用される“もしくは(or)”という用語は、文脈で他の用法が明示されない限り、概して“および/または”を含む意味で使用される。
また本明細書において、端点による数値域の列挙は、範囲内に包含される全ての数を含む(例えば、1から5は1、1.5、2、2.75、3、3.80、4、5などを含む)。
本明細書で使用される、“including”もしくは“containing”と同義である“含む(comprising)”という用語は、包括的でオープンエンドであり、列挙されていない追加の要素もしくは方法ステップを除外しない。
本明細書に記載の方法の様々な実施形態の上記の簡単な説明は、そのような方法の各実施形態もしくは全ての実装を説明することを意図しない。むしろ、本明細書に記載の方法のより完全な理解は、添付の図面を考慮の上、以下の説明および請求項を参照することによって、明白となり充分理解されるだろう。さらに、当然のことながら他の実施形態が利用されてもよく、本開示の範囲から逸脱することなく構造的変更がなされてもよい。
本明細書に記載の方法は、例えば異なる単量体単位(群)を含む、異なる種類の一以上の長い配列(すなわち“ブロック”)に共有結合した、同じ単量体単位(群)の一以上の長い配列(すなわち“ブロック”)を含む高分子である、ブロック共重合体を含む。ブロック共重合体は、一般的には各単量体単位を区別するために“-ブロック-”もしくは“-b-”という単語を用いて名づけられ、一方ランダム共重合体は、一般的には各単量体単位を区別するために“-ランダム-”もしくは“-r-”という単語を用いて名づけられる。本明細書に記載の方法では幅広いブロック共重合体が使用され得る。例えば、本明細書で使用されるブロック共重合体は、ジブロック共重合体(すなわち二ブロックを持つ共重合体)、トリブロック共重合体(すなわち三ブロックを持つ共重合体)、マルチブロック共重合体(すなわち三より多くのブロックを持つ共重合体)、およびそれらの組み合わせを含んでもよい。第一および第二のブロック共重合体を含む方法では、第一のブロック共重合体は第二のブロック共重合体と同じであっても異なってもよい。
本明細書に記載の方法の特定の実施形態ではジブロック共重合体が使用され得る。ジブロック共重合体はほぼ対称(すなわち第一のブロックの体積分率が第二のブロックの体積分率とほぼ同じ)であっても非対称(すなわち第一のブロックの体積分率が第二のブロックの体積分率と実質的に異なる)であってもよい。本明細書で使用されるように、ほぼ対称なジブロック共重合体の各ブロックは、0.4から0.6の体積分率を持つ。本明細書で使用されるように、非対称なジブロック共重合体の各ブロックは、0.4未満もしくは0.6よりも大きい体積分率を持つ。ほぼ対称なジブロック共重合体は、ジブロック共重合体が自己集合してラメラ(すなわち一つの材料が別の材料と交互になったシート)を形成する実施形態で使用され得る。非対称なジブロック共重合体は、ジブロック共重合体が自己集合して、例えば球、円筒、らせん(gyroid)、もしくはそれらの組み合わせを含む他の構造を形成する実施形態で使用され得る。第一および第二のブロック共重合体を含む方法では、第一および第二のブロック共重合体の両方がほぼ対称なジブロック共重合体であってもよい。
ブロック共重合体の各ブロックは、自己集合の最中、ドメインに集合し得る。例えば、ほぼ対称なジブロック共重合体は、交互ラメラが各々ジブロック共重合体の一ブロックを含むドメインをあらわす、ラメラ構造に自己集合し得る。さらに、同じ種類の第一のラメラの中央と次のラメラの中央の間の距離(ただしいずれのラメラも一連のラメラの境界にないとする)は固有周期(“Lo”)であり、これは各ブロック毎に高分子鎖の長さおよび/または分子量に依存し得る。従って、ラメラ形態に対して各ブロックの体積分率が0.4から0.6のままであるならば、ジブロック共重合体の一方もしくは両方のブロックの高分子鎖の長さおよび/または分子量を増加することによって、固有周期が増加し得る。同様に、ジブロック共重合体の一方もしくは両方のブロックの高分子鎖の長さおよび/または分子量を減少することによって、固有周期が減少し得る。本明細書に記載の方法で使用されるジブロック共重合体は、一般的に10ナノメートルから100ナノメートルの固有周期(Lo)を持つ。第一および第二のブロック共重合体を含む方法では、ラメラ形態に対して各ブロックの体積分率が0.4から0.6のままであるならば、第一のブロック共重合体の固有周期は、第二のブロック共重合体の固有周期と同じであっても異なってもよい。
特定の実施形態では、本明細書に記載の方法で使用されるブロック共重合体の各ブロックは、ブロックを形成する単量体単位の構造においてだけでなく、例えば物理的特性(例えば機械的強度、表面張力、湿潤性、および/または溶解性)および化学的特性(例えば溶解性、化学反応性、除去技術に対する脆弱性、および/または架橋反応に対する脆弱性)を含む他の特性においても、共重合体中の他のブロックと異なってもよい。いくつかの実施形態では、ブロックは架橋性単量体から形成される単位のごく一部(例えば5モル%以下)を含んでもよい。特定の実施形態では、非架橋性および架橋性の単位は同じ物理的特性および化学的特性を持ってもよい。
ジブロック共重合体の例としては、ポリスチレン-b-ポリメチルメタクリレートブロック共重合体、ポリエチレンオキシド-b-ポリイソプレンブロック共重合体、ポリエチレンオキシド-b-ポリブタジエンブロック共重合体、ポリエチレンオキシド-b-ポリスチレンブロック共重合体、ポリエチレンオキシド-b-ポリメチルメタクリレートブロック共重合体、ポリスチレン-b-ポリビニルピリジンブロック共重合体、ポリスチレン-b-ポリイソプレンブロック共重合体、ポリスチレン-b-ポリブタジエンブロック共重合体、ポリブタジエン-b-ポリビニルピリジンブロック共重合体、ポリイソプレン-b-ポリメチルメタクリレートブロック共重合体、およびそれらの組み合わせを含む。本明細書に記載の方法のいくつかの実施形態では、ポリスチレン-b-ポリメチルメタクリレートジブロック共重合体が、本方法で使用されるブロック共重合体の一部もしくは全てに使用され得る。
トリブロックおよび/またはマルチブロック共重合体の例としては、ポリスチレン、ポリアルキル(メタ)アクリレート(例えばポリメチルメタクリレート)、ポリアルキレンオキシド(例えばポリエチレンオキシド)、ポリオレフィン(例えばポリイソプレンおよびポリブタジエン)、ポリビニルピリジン、およびそれらの組み合わせからなる群から選択される三以上のブロックを持つブロック共重合体を含む。
ブロック共重合体は、当業者に周知の方法によって表面(例えば基板表面)上に堆積され得る。本明細書で使用される表面上に“堆積される”という用語は、例えば堆積法、コーティング法、転写法、および/または他の有効な適用法を含む、表面にブロック共重合体を適用する任意の適切な手段を含むように広義に解釈されることを意図する。堆積法の例としては、スピンコーティング、浸漬コーティング、スプレーコーティング、およびそれらの組み合わせを含むが限定はされない。そうした方法では、一般的にブロック共重合体を媒体(例えば溶媒)中に溶解、分散、もしくは懸濁してもよい。つまり溶液、分散液、もしくは懸濁液を表面上に堆積してもよく、媒体を堆積中もしくは堆積後に随意に(例えば大気温度もしくは高温、および大気圧もしくは減圧下での蒸発を通して)除去してもよい。
一旦ブロック共重合体が表面上に堆積されると、任意の既存ドメインを壊してブロック共重合体を自己集合させるために、ブロック共重合体がアニールされ得る。有用なアニーリング法は、熱アニーリング、溶媒アニーリング、およびそれらの組み合わせを含む。アニーリングは、0℃から250℃の温度に最大で24時間かそれ以上にわたって、および特定の実施形態では真空および/または無酸素環境下に、ブロック共重合体を晒すことを含んでもよい。熱アニーリングは一般的に、ブロック共重合体を高温(例えばガラス転移温度以上)に晒すことを含み、温度を下げることで、自己集合したブロック共重合体を硬化させる。溶媒アニーリングは、ブロック共重合体の各ブロックを溶媒和する溶媒の蒸気にブロック共重合体を晒すこと;ブロック共重合体を膨張させること(および特定の実施形態では可塑化させること);その後例えば蒸発を通して溶媒の少なくとも一部を除去すること(特定の実施形態では、ブロックが相分離する際に可塑剤を分離させ、分離した可塑剤の少なくとも一部を除去すること)を含んでもよい。
以下の実施例は、本開示の様々な具体的な実施形態と技術をさらに説明するために提供される。しかし当然のことながら、本開示の範囲内にとどまりながら、当業者に理解される多くの変更および変形がなされてもよい。従って、本開示の範囲は以下の実施例によって制限されることを意図しない。
本明細書に記載の特定の実施形態では、一以上のトレンチもしくは開口部内にブロック共重合体の層を形成するために、一以上のトレンチもしくは開口部を持つ表面上にブロック共重合体が堆積され得る。デバイス例5を図1に図示する。デバイスは、基板10、堰30、およびトレンチ40を含み、堰とトレンチの地形を形成している。
一以上のトレンチもしくは開口部は、ブロック共重合体が一以上のトレンチもしくは開口部内で自己集合するためのガイドとして使用され得る。各トレンチもしくは開口部は、例えば、リソグラフィーで画定されるかもしくは自己集合共重合体で画定されてもよく、その両方について以下さらに詳細に記載する。一方もしくは両方の側壁が表面に垂直ではないトレンチもしくは開口部では、本明細書に記載の通り、トレンチもしくは開口部の幅は、トレンチもしくは開口部の底面における幅(すなわち床幅)とトレンチもしくは開口部の上面における幅の平均としてみなされ得る。いくつかの実施形態では、トレンチもしくは開口部の幅は、ブロック共重合体の固有周期(Lo)の倍数(もしくは倍数付近)nであってよく、nは1から15、特定の実施形態では1から15の整数であり得る。トレンチ幅がLoの倍数から著しく変化すると、一般的にラメラ形態の欠陥の増加が観察される。
特定の実施形態では、各トレンチもしくは開口部40の床面50は、ブロック共重合体の各ブロックについてニュートラルウェッティングであってよい(すなわちウェッティングに実質的な差がない)。特定の実施形態では、各トレンチもしくは開口部40の両側壁60は、ブロック共重合体の一ブロックによって選択的にウェッティングされ得る。選択的ウェッティングは、側壁表面とブロック共重合体の各ブロックの間の界面エネルギーの差に由来する。この界面エネルギーの差は、各材料の化学組成(たとえば水素結合性の構造)に起因し得る。
図1および2を参照すると、特定の実施形態では、Loの固有周期を持つほぼ対称なジブロック共重合体100がトレンチもしくは開口部40内に堆積され得る(例えば共重合体層はトレンチもしくは開口部の深さ以下である)。トレンチもしくは開口部40の床面50はブロック共重合体100の各ブロックについてニュートラルウェッティングであり、トレンチもしくは開口部40の両側壁60はブロック共重合体100の一ブロックによって選択的にウェッティングされる。
図3を参照すると、ジブロック共重合体を自己集合させ、表面にほぼ垂直で、かつ各トレンチ側壁とほぼ一致する交互ラメラ110および120のセットを各トレンチ内に形成するために、堆積された共重合体100がその後アニールされ得る。本明細書で使用される“ほぼ垂直な”ラメラという用語は、平均して表面に垂直なラメラのセットをあらわす。本明細書で使用されるトレンチ側壁に“ほぼ整合する”ラメラとは、少なくとも10マイクロメートルのトレンチ長にわたって側壁に平行な(すなわち側壁から一定距離に位置する)ラメラをあらわす。図1から3はn=4(すなわち各トレンチ40の幅が4Lo)である実施形態を図示し、従って4周期のラメラが示される。しかしながら上記のように、nは1から15であってよく、従って1から15周期のラメラがこれらの実施形態にもたらされる。
特定の実施形態では、自己集合ブロック共重合体の第一のブロックを含むラメラ110は、例えば紫外線(UV)放射などの放射に晒すことによって、随意に架橋され得る。いくつかの実施形態では、架橋されるブロック共重合体のブロックは、架橋剤を加えなくても架橋を起こしやすい可能性がある。例えば、ブロック共重合体がポリスチレン-b-ポリメチルメタクリレートジブロック共重合体である場合、ポリスチレンブロックはUV放射に晒すことによって架橋され得る。さらに例えば、ブロック共重合体の一ブロックは、共重合体のブロック中に、少量(例えば0.1から5モル%)の熱架橋性単量体(例えばベンゾシクロブタン含有単量体)を含むことによって熱架橋性にしてもよく、および/または少量(例えば0.1から5モル%)の光化学架橋性単量体(例えばジビニルベンゼン)を含むことによって光化学架橋性にしてもよい。特定の他の実施例では、ブロック共重合体の一ブロックは、少量(0.1から5モル%)の熱および/または光化学架橋性単量体(例えばパラ‐アジドメチルスチレン)を含むことによって熱架橋性および/または光化学架橋性にされ得る。あるいは、もしくは加えて、いくつかの実施形態では、架橋されるラメラに架橋剤を加えてもよい。幅広い架橋剤が当該技術分野で知られており、例えば、架橋剤(例えば1,3,5-トリメチル-2,4,6-(トリアセトキシメチル)ベンゼン)と熱酸発生剤(例えばシクロヘキシルメチル(2-オキソシクロヘキシル)スルホニウムトリフルオロメタンスルホン酸)の組み合わせを含む。架橋ラメラは、例えば機械的特性が改良されたり、除去に対する脆弱性が低下する可能性がある。
残存する非架橋ラメラ120は、特定の実施形態では架橋後、随意に選択的に除去され(図3には不図示)、表面上に架橋ラメラ110を残すが、この段階における非架橋ラメラの除去は、特定の実施形態では、そうして新たに形成されたトレンチを、後に第二のブロック共重合体が堆積される際に第二のブロック共重合体で充填することになり得る。非架橋ラメラを除去するための幅広い方法が当該技術分野で知られており、例えば放射(例えばUVもしくは電子ビーム)、オゾン処理、溶媒浸漬などの湿式化学処理法、および/または反応性イオンエッチングやイオンビームエッチングなどのエッチング法を含む。例えば、ブロック共重合体がポリスチレン-b-ポリメチルメタクリレートジブロック共重合体であるときは、ポリスチレンブロックを含むラメラは架橋され、非架橋ポリメチルメタクリレートブロックを含む残存ラメラは、例えば酢酸現像によって、特定の実施形態では紫外線(UV)放射へのブランケット露光後に、選択的に除去され得る。
本明細書に記載の方法の特定の実施形態では、基板はリソグラフィー由来の複数のトレンチを含んでよく、その各々は各トレンチ内でのブロック共重合体の自己集合のためのガイドとして機能し得る。リソグラフィー由来のトレンチを含む基板(例えば半導体基板もしくは基板アセンブリ)は、例えばレジスト技術やエッチング技術を含む当該技術分野で周知の方法によって作成され得る。本明細書で使用される“半導体基板”もしくは“基板アセンブリ”という用語は、ベース半導体層などの半導体基板、もしくはその上に一以上の層、構造、もしくは領域を持つ半導体基板をあらわす。ベース半導体層は、一般的に、ウェハ上のシリコン材料の最下位層、もしくは、サファイア上のシリコンなど、別の材料上に堆積されたシリコン層であってよい。基板アセンブリについて言及する際は、領域、接合、様々な構造もしくはフィーチャ、および開口部(トランジスタ、活性領域、拡散、埋め込み領域、ビア、コンタクト開口部、高アスペクト比開口部、キャパシタプレート、キャパシタ用障壁など)を形成もしくは画定するために、様々な処理ステップが事前に使用されていてもよい。
図1を参照すると、特定の実施形態では、基板表面上に高分子マットもしくはブラシ20を堆積し、高分子マットを架橋することによって、基板表面が改質され得る。高分子マットは、ブロック共重合体の少なくとも一ブロックを架橋するために使用される方法と同じかもしくは異なる方法を用いて架橋され得る。特定の実施形態では、高分子マットとブロック共重合体の少なくとも一ブロックを架橋するために同じ方法が使用され得る。特定の実施形態では、高分子マットは、下記のように基板表面上に厚さYの層を堆積する前に基板表面上に堆積され得る。架橋高分子マットは、例えば、トレンチもしくは開口部の床面となる基板表面を、基板表面上で自己集合するブロック共重合体の各ブロックについてニュートラルウェッティングにするために使用され得る。例えば、ブロック共重合体がポリスチレン-b-ポリメチルメタクリレートジブロック共重合体である場合、架橋性ポリスチレン-r-ポリメチルメタクリレートランダム共重合体が高分子マットとして使用され得る。
特定の実施形態では、高分子マット20は例えば紫外線(UV)放射などの放射に晒すことによって架橋され得る。いくつかの実施形態では、架橋される高分子マットは架橋剤を加えなくても架橋を起こしやすい可能性がある。例えば、高分子マットがポリスチレン-r-ポリメチルメタクリレートランダム共重合体である場合、ランダム共重合体中のポリスチレンはUV放射に晒されると架橋部位となり得る。さらに例えば、高分子マットは少量(例えば0.1から5モル%)の熱架橋性単量体(例えばベンゾシクロブタン含有単量体)もしくは光化学架橋性単量体(例えばジビニルベンゼンおよび/またはパラ‐アジドメチルスチレン)を高分子マット中に含むことによって架橋性にされ得る。特定の他の実施例では、高分子マットは少量(例えば0.1から5モル%)の熱および/または光化学架橋性単量体(例えばパラ‐アジドメチルスチレン)を含むことによって、熱および/または光化学架橋性にされ得る。あるいは、もしくは加えて、いくつかの実施形態では、架橋剤を高分子マットに加えてもよい。幅広い架橋剤が当該技術分野で知られており、例えば架橋剤(例えば1,3,5-トリメチル-2,4,6-(トリアセトキシメチル)ベンゼン)と熱酸発生剤(例えばシクロヘキシルメチル(2-オキソシクロヘキシル)スルホニウムトリフルオロメタンスルホン酸)の組み合わせを含む。特定の実施形態では、架橋高分子マットは、ブロック共重合体自己集合プロセスの最中に受けるさらなる処理ステップに耐えるために充分な抵抗性を持ち得る。
あるいは、特定の実施形態では、水素終端シリコン表面を作成することによって基板表面が改質され得る。例えば、上に厚さYの酸化物層を持つシリコン基板を(例えばプラズマエッチングで)エッチングして、Y未満の深さY'を持つトレンチを形成してもよい。シリコン基板上の厚さYマイナスY'の残存酸化物は(例えば、シリコン基板上の自然酸化物も除去するフッ化物イオンエッチングで)除去されてもよく、水素終端シリコン表面を残す。水素終端シリコン表面は、例えばトレンチもしくは開口部の床面となる基板表面を、基板表面上に自己集合するブロック共重合体の各ブロックについてニュートラルウェッティングにするために使用され得る。例えば、ブロック共重合体がポリスチレン-b-ポリメチルメタクリレートジブロック共重合体である場合、水素終端シリコン表面はブロック共重合体の両ブロックについてニュートラルウェッティングであり得る。
別の実施形態では、ニュートラルウェッティングランダム共重合体が、水素終端シリコン表面(例えば基板表面)からin situに成長しグラフトされ得る。例えば、単量体(例えばそれぞれ58:42:<5の割合でスチレン、メチルメタクリレート、ジビニルベンゼン)を含む溶液とラジカル開始剤(例えば過酸化ベンゾイル)を水素終端シリコン表面の上に置き、フリーラジカル重合を開始するために加熱して、シリコン表面にニュートラルウェッティング高分子をグラフトしてもよい。
特定の実施形態では、リソグラフィー由来の複数のトレンチを含む基板は、レジスト技術を用いて作成され得る。例えば図1を参照すると、複数の堰30とトレンチ40を含む表面を持つ基板は、次の方法によって作成され得る。厚さYの層を形成するようにレジスト(例えばポジレジストもしくはネガレジスト)が基板表面上に堆積され、かつ、ラインのパターンを形成するよう露光され得る。堰30とトレンチ40の地形を形成するために、レジストの露光部分もしくは非露光部分のいずれかが選択的に除去され得る。特定の実施形態では、基板はブロック共重合体の各ブロックについてニュートラルウェッティングである表面50を持つ。特定の実施形態では、基板表面上に残存するレジスト(すなわち堰30)は、ブロック共重合体の一ブロックによって選択的にウェッティングされる。レジストは例えばフォトレジストもしくは電子ビーム(e-ビーム)レジストであってよい。
例えばポリスチレンベースレジスト、ポリ(メタ)アクリレートベースレジスト、およびそれらの組み合わせを含む、幅広いフォトレジストが使用され得る。例えば、トレンチ内で自己集合するブロック共重合体がポリスチレン-b-ポリメチルメタクリレートジブロック共重合体である場合、ブロック共重合体のポリスチレンブロックによって選択的にウェッティングされる側壁を持つトレンチを作るために、ポリスチレンベースレジストが使用され得る。もしくは、ブロック共重合体のポリメチルメタクリレートブロックによって選択的にウェッティングされる側壁を持つトレンチを作るためにポリ(メタ)アクリレートベースレジストが使用され得る。
当該技術分野で既知のe-ビームレジストは、例えば水素シルセスキオキサンベースレジスト、ポリメチルメタクリレートベースレジスト、ポリスチレンベースレジスト(例えば誘導体化ポリスチレンベースレジストを含む)、およびそれらの組み合わせを含む。
特定の実施形態では、レジストは金属含有層(例えば窒化アルミニウム層)を形成し得る。他の特定の実施形態では、レジストはシリコン含有層を形成し得、トレンチはシリコン含有表面を持つ側壁を含むことになる。シリコン含有層もしくは表面は、酸化シリコン(SiO2)層、窒化シリコン(Si3N4)層、シリコンオキシカーバイド(SiO4C)層、もしくはそれらの組み合わせであってよい。随意に、ブロック共重合体の一ブロックの単独重合体を両側壁のシリコン含有表面にグラフトして、側壁上のグラフト単独重合体と同一もしくは同様のブロック共重合体のブロックによって選択的にウェッティングされる側壁をトレンチにもたらしてもよい。例えば、トレンチ内で自己集合するブロック共重合体がポリスチレン-b-ポリメチルメタクリレートジブロック共重合体である場合、ポリスチレン単独重合体を両側壁のシリコン含有表面にグラフトし、ブロック共重合体のポリスチレンブロックによって選択的にウェッティングされる側壁をトレンチにもたらしてもよい。もしくは、ポリメチルメタクリレート単独重合体を両側壁のシリコン含有表面にグラフトし、ブロック共重合体のポリメチルメタクリレートブロックによって選択的にウェッティングされる側壁をトレンチにもたらしてもよい。単独重合体は当該技術分野で既知の幅広い方法によってグラフトされてよく、例えば、末端基(例えばヒドロキシル基)を持つ単独重合体を作成すること、および/または少量(例えば0.1から5モル%)のヒドロキシル官能基を持つ単量体(例えば2-ヒドロキシエチルメタクリレートおよび/またはパラ‐ヒドロキシスチレン)を単独重合体に含むことで、ヒドロキシル基がシリコン含有表面と(例えば水素結合および/または共有結合を形成することによって)相互作用し得るようにすることを含む。
特定の実施形態では、リソグラフィー由来の複数のトレンチを含む基板はエッチング技術を用いて作成され得る。例えば、複数の堰を含む表面を持つ基板は、次の方法によって作成され得る。厚さYの層を基板表面上に堆積し、堰とトレンチの地形を形成するためにリソグラフィー技術を用いて層が選択的にエッチングされ得る。
図1を参照すると、高さYの複数の堰30を持つ基板10は、幅nLoの堰30とトレンチ40の表面地形を形成し、nは1から15であり得る。複数のトレンチ40(例えばリソグラフィー由来のトレンチ)は、本明細書に記載のようにブロック共重合体の自己集合のためのガイドとして使用され得る。図2を参照すると、複数のトレンチ40内に厚さY以下の層を形成するために、Loの固有周期を持つブロック共重合体100が堆積され得る。図3を参照すると、ブロック共重合体100はその後アニールされ、ブロック共重合体を自己集合させ、基板表面にほぼ垂直で、かつ各トレンチ側壁60にほぼ整合する交互ラメラ(交互に並んだラメラ)110および120のセットを各トレンチ内に形成する。自己集合ブロック共重合体の第一のブロックを含むラメラ110は架橋され得る。図3および4を参照すると、深さY'の少なくとも一つの開口部240を形成するために、堰30を形成する材料の少なくとも一部が(例えば湿式および/または乾式エッチング法を用いて)除去され得る。そのような開口部240は、本明細書では“自己集合共重合体”画定開口部もしくはトレンチ(すなわち、自己集合した共重合体によって画定された開口部もしくはトレンチ)と称される。
自己集合共重合体画定開口部もしくはトレンチ240は、その後本明細書に記載のようにブロック共重合体の自己集合のためのガイドとして使用され得る。そのような自己集合共重合体画定開口部240の側壁260は、開口部を画定するために使用されるブロック共重合体100の架橋ブロックを含むラメラ110から形成され得る。図4および5を参照すると、開口部を画定するために使用されるブロック共重合体100と同じであっても異なってもよい、第二のブロック共重合体300が、その後本明細書に記載の通り堆積され、アニールされ得る。特定の実施形態では、自己集合共重合体画定開口部は、第二のブロック共重合体300の各ブロックについてニュートラルウェッティングである床面250を持ってよく、自己集合共重合体画定開口部は、第二のブロック共重合体300の一ブロックによって選択的にウェッティングされる二つの側壁260を持ち(例えば第二の共重合体300の一ブロックは自己集合共重合体画定開口部240の側壁260を形成する第一の共重合体100の架橋ブロックと同一もしくは同様である)、自己集合共重合体画定開口部240はmL'oの幅を持ち、mは1から15であり得、L'oは第二のブロック共重合体300の固有周期である。図5および6を参照すると、そのような実施形態では、第二のブロック共重合体300はアニールされ、第二のブロック共重合体300を自己集合させ、基板表面にほぼ垂直で、かつ各開口部側壁260にほぼ整合し得る交互ラメラ(交互に並んだラメラ)310および320の第二のセットを、各自己集合共重合体画定開口部240内に形成し得る。図4から6はm=4(すなわち各トレンチ240の幅が4L'o)である実施形態を図示し、従って4周期のラメラが示される。しかしながら上記のように、mは1から15であってよく、従って1から15周期のラメラがこれらの実施形態にもたらされる。自己集合した第二のブロック共重合体300の第一のブロックを含むラメラ310は随意に架橋され得る。
図6および7を参照すると、非架橋ラメラ120(すなわちラメラの第一のセットから)、非架橋ラメラ320(すなわちラメラの第二のセットから)、もしくは特定の実施形態ではその両方が、図7に図示されるように、本明細書に記載の方法などによって除去され得る。さらに、残存する高分子材料は随意に基板から除去され、サブリソグラフィックトレンチ340がもたらされ得る。
図7を参照すると、結果として得られる架橋ラメラ110および310を含むパターンは、例えばサブリソグラフィックトレンチ340と一致するサブリソグラフィックトレンチを基板内に形成するためのエッチングマスクとして使用され得る。サブリソグラフィック導電性ラインを形成するために、導電性材料がサブリソグラフィックトレンチ340内に随意に堆積され得る。導電性材料は、例えば化学蒸着(CVD)もしくは原子層堆積(ALD)法などの蒸着法によって堆積され得る金属含有材料であってよい。あるいは、導電性材料は例えばスピンコーティング、浸漬コーティング、スプレーコーティング、もしくはそれらの組み合わせによって堆積され得る導電性高分子および/または金属含有高分子複合材料であってもよい。あるいは、もしくは加えて、結果として得られる架橋ラメラを含むパターンは、例えば基板表面上に材料(例えば導電性もしくは非導電性材料)を堆積するための堆積マスクとして使用され得る。いくつかの実施形態では、結果として得られる架橋ラメラを含むパターンは、導電性ラインを形成する金属含有ラメラを含んでもよい。
図8は図7に図示されたデバイス5の上面図であり、上に高分子マットもしくはブラシ20を随意に有する基板10のパターン化表面を俯瞰する。架橋ラメラ110および310はトレンチ340への開口部を形成する。従って、結果として得られる架橋ラメラを含むパターンは、例えば基板表面上に材料(例えば導電性もしくは非導電性材料)を堆積するための堆積マスクとして使用され得る。あるいは、もしくは加えて、架橋ラメラ110および310は、例えば上記のようにトレンチ340への開口部と整合する基板10の表面をエッチングするためのエッチングマスクとして使用され得る。例えば一実施形態では、架橋ラメラをエッチングマスクとして使用して基板表面をエッチングしてもよく、残存する高分子材料を随意に除去してもよく、サブリソグラフィック導電性ラインを形成するために、導電性材料(例えば金属含有材料、導電性高分子、および/または金属含有高分子複合材料)をサブリソグラフィックトレンチ内に堆積してもよい。別の実施形態では、架橋ラメラをエッチングマスクとして用いて基板表面をエッチングしてもよく、残存する高分子材料を随意に除去してもよく、例えば活性領域を互いに分離するために、絶縁材料(例えば高誘電率もしくは低誘電率の非導電性材料、および特定の実施形態では容量性カップリングを最小化するために低誘電率の非導電性材料)をサブリソグラフィックトレンチ内に堆積してもよい。あるいは、架橋ラメラが金属含有ラメラである実施形態では、結果として得られる構造が導電性ラインを含んでもよい。導電性ラインが例えばトランジスタゲートであるデバイスを形成するために、一以上の追加層(追加の層)が随意に提供されてもよい。
デバイスを形成するために一以上の追加層を堆積してもよく、例えば本明細書に記載のサブリソグラフィック導電性ラインはトランジスタゲートであってもよい。
本明細書で引用した特許、特許文献、および出版物の完全な開示は、それぞれが独立に組み込まれるかのようにその全容が引用により組み込まれる。本明細書に記載の実施形態への様々な変更および変形は、本開示の範囲と趣旨から逸脱することなく当業者に明らかとなるだろう。当然のことながら、本開示は本明細書に記載の例示的な実施形態と実施例によって不当に限定されることを意図せず、そうした実施例と実施形態は例として示されるに過ぎない。本開示の範囲は以下のように本明細書に記載の請求項によってのみ限定されることを意図する。

Claims (24)

  1. 基板のサブリソグラフィックパターニングのための方法であって、
    の固有周期を持つブロック共重合体を提供するステップと、
    複数のトレンチを含む基板を提供するステップであって、前記複数のトレンチの各トレンチは、自己集合した共重合体によって画定されており、かつ、nLの幅を持ち、nは1から15である、ステップと、
    前記ブロック共重合体を前記基板上に堆積するステップと、
    前記ブロック共重合体をアニールして、前記ブロック共重合体を自己集合させるステップと、
    を含む方法。
  2. 前記複数のトレンチの各トレンチは二つの側壁を持ち、両側壁は前記ブロック共重合体の一つのブロックによって選択的にウェッティングされる、請求項1記載の方法。
  3. 前記複数のトレンチの各トレンチは、前記ブロック共重合体の各ブロックに対してニュートラルウェッティングである床面を持つ、請求項1又は2記載の方法。
  4. アニールするステップは、前記基板にほぼ垂直で、かつ各トレンチ側壁にほぼ整合するラメラのセットを各トレンチ内に形成し、
    前記方法は、
    前記ラメラのセットの第一の部分を架橋するステップであって、前記第一の部分は、前記自己集合したブロック共重合体の第一のブロックを含むラメラを含む、ステップ
    前記ラメラのセットの第二の部分を選択的に除去するステップであって、前記第二の部分は前記自己集合したブロック共重合体の第二のブロックを含むラメラを含む、ステップ
    前記架橋されたラメラをエッチングマスクとして使用して前記基板をエッチングして、サブリソグラフィックトレンチを形成するステップ
    残存する高分子材料を随意に除去するステップと、
    前記サブリソグラフィックトレンチの中に導電性材料を堆積して、サブリソグラフィック導電性ラインを形成するステップと、
    更に含む、請求項2記載の方法。
  5. 前記導電性材料は金属含有材料である、請求項4記載の方法。
  6. 一つ以上の追加の層を堆積してデバイスを形成するステップを更に含む、請求項4又は5記載の方法。
  7. 前記サブリソグラフィック導電性ラインはトランジスタゲートである、請求項4又は5記載の方法。
  8. アニールするステップは、前記基板にほぼ垂直で、かつ各トレンチ側壁にほぼ整合するラメラのセットを各トレンチ内に形成し、
    前記方法は、
    前記ラメラのセットの第一の部分を架橋するステップであって、前記第一の部分は、前記自己集合したブロック共重合体の第一のブロックを含むラメラを含む、ステップと、
    前記ラメラのセットの第二の部分を選択的に除去するステップであって、前記第二の部分は前記自己集合したブロック共重合体の第二のブロックを含むラメラを含む、ステップと、
    記架橋されたラメラをエッチングマスクとして使用して前記基板をエッチングして、サブリソグラフィックトレンチを形成するステップと、
    残存する高分子材料を随意に除去するステップと、
    記サブリソグラフィックトレンチの中に絶縁材料を堆積して、活性領域を分離するステップと、
    更に含む、請求項2記載の方法。
  9. 一つ以上の追加層を堆積してデバイスを形成するステップを更に含む、請求項8記載の方法。
  10. 前記絶縁材料は低誘電率を持つ、請求項8又は9記載の方法。
  11. 基板をパターニングするための方法であって、
    の固有周期を持つ第一のブロック共重合体を提供するステップと、
    ′の固有周期を持つ第二のブロック共重合体を提供するステップと、
    さYの複数の堰を含む表面を持つ基板を提供して、堰とトレンチの表面地形を形成するステップであって、各トレンチは前記第一のブロック共重合体の各ブロックに対してニュートラルウェッティングである床面を持ち、各トレンチは前記第一のブロック共重合体の一つのブロックによって選択的にウェッティングされる二つの側壁を持ち、各トレンチはnLの幅を持ち、nは1から15であり、堰幅/トレンチ幅の比mL′/nLであり、mは1から15である、ステップと、
    記基板上に前記第一のブロック共重合体を堆積して、厚さY以下の層を形成するステップと、
    前記第一のブロック共重合体の層をアニールして、前記第一のブロック共重合体を自己集合させ、前記基板にほぼ垂直で、かつ各トレンチ側壁とほぼ整合するラメラの第一のセットを各トレンチ内に形成するステップと、
    前記自己集合した第一のブロック共重合体の第一のブロックを含む前記ラメラの第一のセットの部分を架橋するステップと、
    前記堰を形成する材料の少なくとも一部を除去して、深さY′の少なくとも一つの開口部を形成するステップであって、前記少なくとも一つの開口部は前記第二のブロック共重合体の各ブロックに対してニュートラルウェッティングである床面を持ち、前記少なくとも一つの開口部は前記第二のブロック共重合体の一つのブロックによって選択的にウェッティングされる二つの側壁を持ち、前記少なくとも一つの開口部はmL′の幅を持ち、mは1から15である、ステップと、
    記基板上に前記第二のブロック共重合体を堆積して、厚さY′以下の層を形成するステップと、
    前記第二のブロック共重合体の層をアニールして、前記第二のブロック共重合体を自己集合させ、前記基板にほぼ垂直で、かつ各開口部側壁にほぼ整合するラメラの第二のセットを前記少なくとも一つの開口部内に形成するステップと、
    を含む方法。
  12. 前記ラメラの第二のセットの第一の部分を架橋するステップをさらに含み、前記ラメラの第二のセットの前記第一の部分は、前記自己集合した第二のブロック共重合体の第一のブロックを含むラメラを含む、請求項11記載の方法。
  13. 前記ラメラの第一のセットの第二の部分を選択的に除去するステップをさらに含み、前記ラメラの第一のセットの前記第二の部分は、前記自己集合した第一のブロック共重合体の第二のブロックを含むラメラを含む、請求項11記載の方法。
  14. 前記ラメラの第二のセットの第二の部分を選択的に除去するステップをさらに含み、前記ラメラの第二のセットの前記第二の部分は、前記自己集合した第二のブロック共重合体の第二のブロックを含むラメラを含む、請求項11記載の方法。
  15. 前記基板上に高分子マットを堆積するステップと、前記高分子マットを架橋するステップをさらに含む、請求項1、2、4、5、8、9、11、12、13、又は14のいずれか一項に記載の方法。
  16. =L′である請求項11記載の方法。
  17. 前記第一のブロック共重合体は前記第二のブロック共重合体と同じである、請求項11記載の方法。
  18. 前記第一のブロック共重合体を前記基板上に堆積するステップは、スピンコーティング、浸漬コーティング、スプレーコーティング、およびそれらの組み合わせからなる群から選択される方法を含む、請求項11記載の方法。
  19. アニールするステップは、熱アニーリング、溶媒アニーリング、もしくはそれらの組み合わせを含む、請求項1、2、4、5、8、9、11、12、14、16、17、又は18のいずれか一項に記載の方法。
  20. さYの複数の堰を含む表面を持つ基板を提供して、堰とトレンチの表面地形を形成するステップが、
    前記表面を持つ前記基板を提供するステップと、
    前記基板上に厚さYの層を堆積するステップと、
    前記厚さYの層を選択的にエッチングして、堰とトレンチの表面地形を形成するように高さYの複数の堰を形成するステップと、
    を含む、請求項11から14、16、17、又は18のいずれか一項に記載の方法。
  21. 基板をパターニングする方法であって、
    基板上に堰及び開口部を形成するステップであって、前記堰は、各々、交互に並んだラメラの第一のセットを含む自己集合した第一のブロック共重合体を含む、ステップと、
    前記開口部内に第二のブロック共重合体を形成するステップと、
    前記開口部内の前記第二のブロック共重合体を自己集合させて、前記開口部の各々の中に、交互に並んだラメラの第二のセットを形成するステップと、
    を含む方法。
  22. 前記第一及び第二のブロック共重合体は同一の組成からなる、請求項21記載の方法。
  23. 前記第一及び第二のブロック共重合体は異なる組成からなる、請求項21記載の方法。
  24. 基板をパターニングする方法であって、
    基板上の犠牲材料の中に第一の開口部を形成するステップと、
    前記第一の開口部内に第一のブロック共重合体を形成するステップと、
    前記第一の開口部内の前記第一のブロック共重合体を自己集合させて、前記第一の開口部内に、交互に並んだラメラの第一のセットを形成するステップと、
    前記犠牲材料を除去して、前記基板上に第二の開口部を形成するステップであって、前記第二の開口部は、前記自己集合した第一のブロック共重合体を含む側壁を含む、ステップと、
    前記第二の開口部内に第二のブロック共重合体を形成するステップと、
    前記第二の開口部内の前記第二のブロック共重合体を自己集合させて、前記第二の開口部内に、交互に並んだラメラの第二のセットを形成するステップと、
    を含む方法。
JP2009549163A 2007-02-08 2008-01-25 サブリソグラフィックパターニングのためにブロック共重合体自己集合を使用する方法 Active JP5574089B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/703,911 2007-02-08
US11/703,911 US7964107B2 (en) 2007-02-08 2007-02-08 Methods using block copolymer self-assembly for sub-lithographic patterning
PCT/US2008/052022 WO2008097736A2 (en) 2007-02-08 2008-01-25 Methods using block copolymer self-assembly for sub-lithographic patterning

Publications (3)

Publication Number Publication Date
JP2010522643A JP2010522643A (ja) 2010-07-08
JP2010522643A5 JP2010522643A5 (ja) 2011-03-10
JP5574089B2 true JP5574089B2 (ja) 2014-08-20

Family

ID=39682332

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009549163A Active JP5574089B2 (ja) 2007-02-08 2008-01-25 サブリソグラフィックパターニングのためにブロック共重合体自己集合を使用する方法

Country Status (8)

Country Link
US (3) US7964107B2 (ja)
EP (1) EP2121514B1 (ja)
JP (1) JP5574089B2 (ja)
KR (1) KR101350072B1 (ja)
CN (1) CN101578232B (ja)
SG (1) SG178758A1 (ja)
TW (1) TWI469183B (ja)
WO (1) WO2008097736A2 (ja)

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3940546B2 (ja) 1999-06-07 2007-07-04 株式会社東芝 パターン形成方法およびパターン形成材料
US20110256308A1 (en) * 2001-03-30 2011-10-20 Buerger Jr Walter Richard Algorithmic processing to create features
US7579278B2 (en) * 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
JP4421582B2 (ja) * 2006-08-15 2010-02-24 株式会社東芝 パターン形成方法
US7790045B1 (en) * 2006-09-13 2010-09-07 Massachusetts Institute Of Technology Formation of close-packed sphere arrays in V-shaped grooves
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7767099B2 (en) * 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US7999160B2 (en) * 2007-03-23 2011-08-16 International Business Machines Corporation Orienting, positioning, and forming nanoscale structures
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US7923373B2 (en) * 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US7790350B2 (en) * 2007-07-30 2010-09-07 International Business Machines Corporation Method and materials for patterning a neutral surface
US8283258B2 (en) 2007-08-16 2012-10-09 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films
JP4445538B2 (ja) * 2007-09-26 2010-04-07 株式会社東芝 パターン形成方法
US8105960B2 (en) * 2007-10-09 2012-01-31 International Business Machines Corporation Self-assembled sidewall spacer
KR101355167B1 (ko) * 2007-12-14 2014-01-28 삼성전자주식회사 적어도 세 개의 고분자 블록을 구비하는 블록 공중합체를이용한 미세 패턴 형성 방법
US8017194B2 (en) * 2008-01-17 2011-09-13 International Business Machines Corporation Method and material for a thermally crosslinkable random copolymer
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8215074B2 (en) * 2008-02-05 2012-07-10 International Business Machines Corporation Pattern formation employing self-assembled material
FR2927467B1 (fr) * 2008-02-08 2011-09-23 Commissariat Energie Atomique Procede de realisation d'une grille flottante ayant une alternance de lignes en premier et second materiaux
US8101261B2 (en) * 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7906031B2 (en) * 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US8114468B2 (en) * 2008-06-18 2012-02-14 Boise Technology, Inc. Methods of forming a non-volatile resistive oxide memory array
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8187480B2 (en) * 2008-11-13 2012-05-29 Seagate Technology, Llc Ultra thin alignment walls for di-block copolymer
US8362179B2 (en) 2008-11-19 2013-01-29 Wisconsin Alumni Research Foundation Photopatternable imaging layers for controlling block copolymer microdomain orientation
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
KR101572109B1 (ko) 2008-12-30 2015-11-27 삼성디스플레이 주식회사 나노 구조체의 제조 방법 및 이를 이용한 패턴의 제조 방법
KR101535227B1 (ko) * 2008-12-31 2015-07-08 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
US8361704B2 (en) 2009-01-12 2013-01-29 International Business Machines Corporation Method for reducing tip-to-tip spacing between lines
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8834956B2 (en) * 2009-06-22 2014-09-16 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
JP5222805B2 (ja) * 2009-07-09 2013-06-26 パナソニック株式会社 自己組織化パターン形成方法
JP5484817B2 (ja) * 2009-08-04 2014-05-07 株式会社東芝 パターン形成方法及び半導体装置の製造方法
US8247904B2 (en) * 2009-08-13 2012-08-21 International Business Machines Corporation Interconnection between sublithographic-pitched structures and lithographic-pitched structures
KR101109104B1 (ko) 2009-08-24 2012-02-16 한국기계연구원 나노선 패턴 형성 방법 및 선 편광자 제조 방법
JP5524228B2 (ja) * 2009-09-25 2014-06-18 株式会社東芝 パターン形成方法
KR101602942B1 (ko) * 2009-10-07 2016-03-15 삼성전자주식회사 패턴 형성 방법
US8623458B2 (en) * 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US8821978B2 (en) * 2009-12-18 2014-09-02 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8828493B2 (en) * 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8071467B2 (en) 2010-04-07 2011-12-06 Micron Technology, Inc. Methods of forming patterns, and methods of forming integrated circuits
JP2011243655A (ja) * 2010-05-14 2011-12-01 Hitachi Ltd 高分子薄膜、パターン媒体、及びこれらの製造方法、並びに表面改質材料
US8486611B2 (en) * 2010-07-14 2013-07-16 Micron Technology, Inc. Semiconductor constructions and methods of forming patterns
FR2963355B1 (fr) * 2010-07-30 2013-07-12 Centre Nat Rech Scient Films minces nanoorganises a base de copolymeres a blocs polysaccharidiques pour des applications en nanotechnologie.
US8304493B2 (en) * 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
JP5171909B2 (ja) * 2010-09-16 2013-03-27 株式会社東芝 微細パターンの形成方法
US9233840B2 (en) 2010-10-28 2016-01-12 International Business Machines Corporation Method for improving self-assembled polymer features
US8673541B2 (en) * 2010-10-29 2014-03-18 Seagate Technology Llc Block copolymer assembly methods and patterns formed thereby
WO2012071330A1 (en) 2010-11-24 2012-05-31 Dow Corning Corporation Controlling morphology of block copolymers
US8734904B2 (en) 2010-11-30 2014-05-27 International Business Machines Corporation Methods of forming topographical features using segregating polymer mixtures
US20120135159A1 (en) * 2010-11-30 2012-05-31 Seagate Technology Llc System and method for imprint-guided block copolymer nano-patterning
WO2012084558A1 (en) * 2010-12-23 2012-06-28 Asml Netherlands B.V. Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9134617B2 (en) * 2011-06-10 2015-09-15 Tokyo Ohka Kogyo Co., Ltd. Solvent developable negative resist composition, resist pattern formation method, and method for forming pattern of layer including block copolymer
US8956804B2 (en) * 2011-06-23 2015-02-17 Asml Netherlands B.V. Self-assemblable polymer and methods for use in lithography
US9285676B2 (en) 2011-06-23 2016-03-15 Asml Netherlands B.V. Self-assemblable polymer and method for use in lithography
CN102915907B (zh) 2011-08-02 2015-05-13 中芯国际集成电路制造(北京)有限公司 一种半导体器件制作方法
US20140178582A1 (en) 2011-08-22 2014-06-26 Dow Global Technologies Llc Composite membrane formed from polymer blend including self-assembling block copolymers
US8691925B2 (en) 2011-09-23 2014-04-08 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions of neutral layer for directed self assembly block copolymers and processes thereof
KR20130034778A (ko) * 2011-09-29 2013-04-08 주식회사 동진쎄미켐 유도된 자가정렬 공정을 이용한 반도체 소자의 미세패턴 형성 방법
WO2013050338A1 (en) * 2011-10-03 2013-04-11 Asml Netherlands B.V. Method to provide a patterned orientation template for a self-assemblable polymer
CN103035510B (zh) * 2011-10-08 2015-08-19 中芯国际集成电路制造(上海)有限公司 接触通孔刻蚀方法
US8703395B2 (en) * 2011-10-28 2014-04-22 Jsr Corporation Pattern-forming method
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
US10253187B2 (en) 2011-11-08 2019-04-09 Samsung Electronics Co., Ltd. Nano-structure of block copolymer and method of manufacturing the same
US8728714B2 (en) 2011-11-17 2014-05-20 Micron Technology, Inc. Methods for adhering materials, for enhancing adhesion between materials, and for patterning materials, and related semiconductor device structures
FR2983773B1 (fr) * 2011-12-09 2014-10-24 Arkema France Procede de preparation de surfaces
CN103187245B (zh) * 2011-12-30 2015-06-17 中芯国际集成电路制造(上海)有限公司 一种通过定向自组装嵌段共聚物的光刻方法
US9177794B2 (en) * 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US20130200498A1 (en) * 2012-02-03 2013-08-08 Applied Materials, Inc. Methods and apparatus for lithography using a resist array
CN104303103B (zh) * 2012-02-10 2019-04-26 得克萨斯大学体系董事会 用于薄膜嵌段共聚物的取向控制的酸酐共聚物的面涂层
US8961918B2 (en) 2012-02-10 2015-02-24 Rohm And Haas Electronic Materials Llc Thermal annealing process
US9440196B2 (en) 2012-02-21 2016-09-13 Dow Global Technologies Llc Composite membrane
US8686109B2 (en) * 2012-03-09 2014-04-01 Az Electronic Materials (Luxembourg) S.A.R.L. Methods and materials for removing metals in block copolymers
JP6306810B2 (ja) * 2012-03-14 2018-04-04 東京応化工業株式会社 下地剤、ブロックコポリマーを含む層のパターン形成方法
WO2013156240A1 (en) * 2012-04-20 2013-10-24 Asml Netherlands B.V. Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers
WO2013160027A1 (en) * 2012-04-27 2013-10-31 Asml Netherlands B.V. Methods and compositions for providing spaced lithography features on a substrate by self-assembly of block copolymers
US9005877B2 (en) * 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
US9298870B1 (en) 2012-05-16 2016-03-29 International Business Machines Corporation Method for designing topographic patterns for directing the formation of self-assembled domains at specified locations on substrates
FR2990885B1 (fr) * 2012-05-23 2014-09-19 Arkema France Procede de preparation de surfaces
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8821738B2 (en) 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc Thermal annealing process
US8821739B2 (en) 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc High temperature thermal annealing process
JP6239813B2 (ja) 2012-07-18 2017-11-29 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法
CN103633029B (zh) * 2012-08-28 2016-11-23 中国科学院微电子研究所 半导体结构及其制造方法
JP5818760B2 (ja) 2012-09-07 2015-11-18 株式会社東芝 パターン形成方法
JP5758363B2 (ja) * 2012-09-07 2015-08-05 株式会社東芝 パターン形成方法
US9034197B2 (en) 2012-09-13 2015-05-19 HGST Netherlands B.V. Method for separately processing regions on a patterned medium
US9153477B2 (en) * 2012-09-28 2015-10-06 Intel Corporation Directed self assembly of block copolymers to form vias aligned with interconnects
JP6141144B2 (ja) * 2012-10-02 2017-06-07 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US8822130B2 (en) * 2012-11-19 2014-09-02 The Texas A&M University System Self-assembled structures, method of manufacture thereof and articles comprising the same
US9223214B2 (en) * 2012-11-19 2015-12-29 The Texas A&M University System Self-assembled structures, method of manufacture thereof and articles comprising the same
US8956808B2 (en) * 2012-12-04 2015-02-17 Globalfoundries Inc. Asymmetric templates for forming non-periodic patterns using directed self-assembly materials
WO2014098025A1 (ja) * 2012-12-18 2014-06-26 日産化学工業株式会社 スチレン構造を含む自己組織化膜の下層膜形成組成物
KR101993255B1 (ko) * 2013-01-07 2019-06-26 삼성전자주식회사 콘택 홀 형성 방법
US8790522B1 (en) * 2013-02-11 2014-07-29 Globalfoundries Inc. Chemical and physical templates for forming patterns using directed self-assembly materials
JP2014170802A (ja) * 2013-03-01 2014-09-18 Toshiba Corp パターン形成方法
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US8980538B2 (en) * 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US20140273534A1 (en) * 2013-03-14 2014-09-18 Tokyo Electron Limited Integration of absorption based heating bake methods into a photolithography track system
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140273290A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP5802233B2 (ja) * 2013-03-27 2015-10-28 株式会社東芝 パターン形成方法
KR102245179B1 (ko) 2013-04-03 2021-04-28 브레우어 사이언스, 인코포레이션 지향성 자가 조립용 블록 공중합체에 사용하기 위한 고도로 내에칭성인 중합체 블록
KR101961387B1 (ko) * 2013-04-10 2019-03-25 에스케이하이닉스 주식회사 반도체 장치의 제조 방법
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US10457088B2 (en) * 2013-05-13 2019-10-29 Ridgefield Acquisition Template for self assembly and method of making a self assembled pattern
JP5981392B2 (ja) 2013-06-19 2016-08-31 株式会社東芝 パターン形成方法
FR3008986B1 (fr) 2013-07-25 2016-12-30 Arkema France Procede de controle de la periode caracterisant la morphologie obtenue a partir d'un melange de copolymere a blocs et de (co) polymeres de l'un des blocs
WO2015034690A1 (en) 2013-09-04 2015-03-12 Tokyo Electron Limited Uv-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
WO2015035088A1 (en) 2013-09-05 2015-03-12 Applied Materials, Inc Methods and apparatus for forming a resist array using chemical mechanical planarization
US9405189B2 (en) * 2013-09-06 2016-08-02 Rohm And Haas Electronic Materials Llc Self-assembled structures, method of manufacture thereof and articles comprising the same
US10078261B2 (en) 2013-09-06 2018-09-18 Rohm And Haas Electronic Materials Llc Self-assembled structures, method of manufacture thereof and articles comprising the same
FR3010413B1 (fr) * 2013-09-09 2015-09-25 Arkema France Procede de controle de la periode d'un assemblage nano-structure comprenant un melange de copolymeres a blocs
JP5904981B2 (ja) * 2013-09-09 2016-04-20 株式会社東芝 パターン形成方法、磁気記録媒体の製造方法、及び磁気記録媒体
FR3010412B1 (fr) * 2013-09-09 2016-10-21 Arkema France Procede d'obtention de films epais nano-structures obtenus a partir de copolymeres a blocs
FR3010414B1 (fr) * 2013-09-09 2015-09-25 Arkema France Procede d'obtention de films epais nano-structures obtenus a partir d'une composition de copolymeres a blocs
TWI615885B (zh) * 2013-09-12 2018-02-21 聯華電子股份有限公司 圖案化的方法
US9625815B2 (en) * 2013-09-27 2017-04-18 Intel Corporation Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
US9177795B2 (en) * 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US9093263B2 (en) 2013-09-27 2015-07-28 Az Electronic Materials (Luxembourg) S.A.R.L. Underlayer composition for promoting self assembly and method of making and using
JP2015076108A (ja) * 2013-10-07 2015-04-20 株式会社東芝 パターン形成方法、及び磁気記録媒体の製造方法
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
WO2015067433A1 (en) * 2013-11-08 2015-05-14 Asml Netherlands B.V. Methodology to generate a guiding template for directed self-assembly
JP6419820B2 (ja) 2013-12-06 2018-11-07 エルジー・ケム・リミテッド ブロック共重合体
EP3078691B1 (en) 2013-12-06 2018-04-18 LG Chem, Ltd. Block copolymer
JP6521975B2 (ja) 2013-12-06 2019-05-29 エルジー・ケム・リミテッド ブロック共重合体
CN105899557B (zh) * 2013-12-06 2018-10-26 株式会社Lg化学 嵌段共聚物
JP6402867B2 (ja) 2013-12-06 2018-10-10 エルジー・ケム・リミテッド ブロック共重合体
JP6410327B2 (ja) 2013-12-06 2018-10-24 エルジー・ケム・リミテッド ブロック共重合体
EP3078693B1 (en) 2013-12-06 2021-01-27 LG Chem, Ltd. Block copolymer
EP3078686B1 (en) 2013-12-06 2018-10-31 LG Chem, Ltd. Block copolymer
US10202480B2 (en) 2013-12-06 2019-02-12 Lg Chem, Ltd. Block copolymer
CN105899558B (zh) 2013-12-06 2018-09-18 株式会社Lg化学 嵌段共聚物
JP6496318B2 (ja) 2013-12-06 2019-04-03 エルジー・ケム・リミテッド ブロック共重合体
JP6483694B2 (ja) 2013-12-06 2019-03-13 エルジー・ケム・リミテッド 単量体およびブロック共重合体
WO2015084122A1 (ko) 2013-12-06 2015-06-11 주식회사 엘지화학 블록 공중합체
CN105934456B (zh) * 2013-12-06 2018-09-28 株式会社Lg化学 嵌段共聚物
US9181449B2 (en) 2013-12-16 2015-11-10 Az Electronic Materials (Luxembourg) S.A.R.L. Underlayer composition for promoting self assembly and method of making and using
FR3014877B1 (fr) * 2013-12-17 2017-03-31 Arkema France Procede de nanostructuration d'un film de copolymere a blocs a partir d'un copolymere a blocs non structure a base de styrene et de methacrylate de methyle, et film de copolymere a blocs nanostructure
KR101674972B1 (ko) 2013-12-26 2016-11-10 한국과학기술원 나노 스케일 패터닝 방법 및 이로부터 제조된 전자기기용 집적소자
JP6558894B2 (ja) 2013-12-31 2019-08-14 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC コポリマーの設計、その製造方法およびそれを含む物品
JP2015129261A (ja) * 2013-12-31 2015-07-16 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC ブロックコポリマーのアニール方法およびブロックコポリマーから製造する物品
JP6702649B2 (ja) 2013-12-31 2020-06-03 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC ブロックコポリマーの性質を制御する方法及びブロックコポリマーから製造された物品
KR102364329B1 (ko) * 2014-01-16 2022-02-17 브레우어 사이언스, 인코포레이션 유도 자가-조립용 하이-카이 블록 공중합체
TWI648320B (zh) * 2014-01-23 2019-01-21 東京應化工業股份有限公司 含相分離結構之結構體之製造方法、圖型形成方法、微細圖型形成方法
US9195132B2 (en) * 2014-01-30 2015-11-24 Globalfoundries Inc. Mask structures and methods of manufacturing
KR102160791B1 (ko) 2014-02-03 2020-09-29 삼성디스플레이 주식회사 블록 공중합체 및 이를 사용한 패턴 형성 방법
KR102176758B1 (ko) * 2014-02-10 2020-11-10 에스케이하이닉스 주식회사 블록 코폴리머를 이용한 패턴 형성을 위한 구조 및 패턴 형성 방법
US9489974B2 (en) 2014-04-11 2016-11-08 Seagate Technology Llc Method of fabricating a BPM template using hierarchical BCP density patterns
JP6177723B2 (ja) * 2014-04-25 2017-08-09 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US10410914B2 (en) 2014-05-28 2019-09-10 Asml Netherlands B.V. Methods for providing lithography features on a substrate by self-assembly of block copolymers
JP6122906B2 (ja) 2014-06-27 2017-04-26 ダウ グローバル テクノロジーズ エルエルシー ブロックコポリマーを製造するための方法およびそれから製造される物品
JP6356096B2 (ja) * 2014-06-27 2018-07-11 ダウ グローバル テクノロジーズ エルエルシー ブロックコポリマーを製造するための方法およびそれから製造される物品
US9275896B2 (en) * 2014-07-28 2016-03-01 GlobalFoundries, Inc. Methods for fabricating integrated circuits using directed self-assembly
JP2016058620A (ja) * 2014-09-11 2016-04-21 株式会社東芝 半導体装置の製造方法
FR3025937B1 (fr) * 2014-09-16 2017-11-24 Commissariat Energie Atomique Procede de grapho-epitaxie pour realiser des motifs a la surface d'un substrat
JP2016066644A (ja) 2014-09-22 2016-04-28 株式会社東芝 記憶装置の製造方法
JP6394798B2 (ja) 2014-09-30 2018-09-26 エルジー・ケム・リミテッド ブロック共重合体
EP3214102B1 (en) 2014-09-30 2022-01-05 LG Chem, Ltd. Block copolymer
CN107075054B (zh) 2014-09-30 2020-05-05 株式会社Lg化学 嵌段共聚物
US10287429B2 (en) 2014-09-30 2019-05-14 Lg Chem, Ltd. Block copolymer
CN107075052B (zh) 2014-09-30 2020-05-29 株式会社Lg化学 嵌段共聚物
JP6532941B2 (ja) 2014-09-30 2019-06-19 エルジー・ケム・リミテッド ブロック共重合体
JP6451966B2 (ja) 2014-09-30 2019-01-16 エルジー・ケム・リミテッド ブロック共重合体
US10633533B2 (en) 2014-09-30 2020-04-28 Lg Chem, Ltd. Block copolymer
JP6633062B2 (ja) 2014-09-30 2020-01-22 エルジー・ケム・リミテッド パターン化基板の製造方法
CN107078026B (zh) 2014-09-30 2020-03-27 株式会社Lg化学 图案化基底的制备方法
KR20160056457A (ko) 2014-11-11 2016-05-20 삼성디스플레이 주식회사 와이어 그리드 편광자 및 이의 제조방법
US9385129B2 (en) * 2014-11-13 2016-07-05 Tokyo Electron Limited Method of forming a memory capacitor structure using a self-assembly pattern
EP3238234A4 (en) * 2014-12-24 2018-08-22 Intel Corporation Photodefinable alignment layer for chemical assisted patterning
KR101969337B1 (ko) * 2015-02-17 2019-04-17 주식회사 엘지화학 블록 공중합체 박막의 용매 어닐링 방법 및 장치
KR101985802B1 (ko) 2015-06-11 2019-06-04 주식회사 엘지화학 적층체
KR102508525B1 (ko) 2015-10-19 2023-03-09 삼성전자주식회사 블록 코폴리머 및 이를 이용한 집적회로 소자의 제조 방법
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
KR102637883B1 (ko) * 2015-12-11 2024-02-19 아이엠이씨 브이제트더블유 기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용
WO2017111926A1 (en) * 2015-12-21 2017-06-29 Intel Corporation Triblock copolymers for self-aligning vias or contacts
US9818623B2 (en) 2016-03-22 2017-11-14 Globalfoundries Inc. Method of forming a pattern for interconnection lines and associated continuity blocks in an integrated circuit
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
JP6997764B2 (ja) 2016-08-18 2022-01-18 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 自己組織化用途用のポリマー組成物
US9818641B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in mandrel and a non-mandrel lines of an array of metal lines
US9818640B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in a non-mandrel line of an array of metal lines
US9852986B1 (en) * 2016-11-28 2017-12-26 Globalfoundries Inc. Method of patterning pillars to form variable continuity cuts in interconnection lines of an integrated circuit
US11078337B2 (en) 2016-12-14 2021-08-03 Brewer Science, Inc. High-χ block copolymers for directed self-assembly
US10002786B1 (en) 2016-12-15 2018-06-19 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned variable length continuity cuts
US10043703B2 (en) 2016-12-15 2018-08-07 Globalfoundries Inc. Apparatus and method for forming interconnection lines having variable pitch and variable widths
US9812351B1 (en) 2016-12-15 2017-11-07 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned continuity cuts
US9887127B1 (en) 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
FR3060422B1 (fr) * 2016-12-16 2019-05-10 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de fonctionnalisation d'un substrat
SG10202108825RA (en) 2016-12-21 2021-09-29 Ridgefield Acquisition Novel compositions and processes for self-assembly of block copolymers
JP6811638B2 (ja) 2017-02-14 2021-01-13 株式会社Screenホールディングス 基板処理方法及びその装置
US20180323061A1 (en) * 2017-05-03 2018-11-08 Tokyo Electron Limited Self-Aligned Triple Patterning Process Utilizing Organic Spacers
KR102359267B1 (ko) 2017-10-20 2022-02-07 삼성전자주식회사 집적회로 소자 및 그 제조 방법
CN109712871B (zh) * 2018-12-27 2021-09-21 中国科学院微电子研究所 半导体结构与其制作方法
KR20200082076A (ko) 2018-12-28 2020-07-08 삼성전자주식회사 캐패시터를 갖는 반도체 소자 및 그 형성 방법
CN113299684A (zh) * 2021-04-27 2021-08-24 长江先进存储产业创新中心有限责任公司 存储器地址线的制作方法
KR20220149828A (ko) 2021-04-30 2022-11-09 삼성전자주식회사 반도체 소자

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100418011C (zh) * 2001-01-08 2008-09-10 国际商业机器公司 微结构的制造方法
EP1374310A4 (en) 2001-03-14 2008-02-20 Univ Massachusetts NANOFABRICATION
US6746825B2 (en) 2001-10-05 2004-06-08 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
JP3967114B2 (ja) * 2001-11-22 2007-08-29 株式会社東芝 加工方法
US20040142578A1 (en) 2002-03-28 2004-07-22 Ulrich Wiesner Thin film nanostructures
US20040124092A1 (en) 2002-12-30 2004-07-01 Black Charles T. Inorganic nanoporous membranes and methods to form same
US7045851B2 (en) 2003-06-20 2006-05-16 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
JP3926360B2 (ja) * 2004-10-13 2007-06-06 株式会社東芝 パターン形成方法およびそれを用いた構造体の加工方法
WO2006112887A2 (en) 2004-11-22 2006-10-26 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films
US20080032238A1 (en) * 2004-11-23 2008-02-07 Lu Jennifer Q System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth
JP2006215052A (ja) * 2005-02-01 2006-08-17 Hitachi Maxell Ltd 細溝形成方法及びそれによって得られた細溝基板
US20060249784A1 (en) 2005-05-06 2006-11-09 International Business Machines Corporation Field effect transistor device including an array of channel elements and methods for forming
JP2006324501A (ja) 2005-05-19 2006-11-30 Toshiba Corp 相変化メモリおよびその製造方法
US7723009B2 (en) * 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US7605081B2 (en) * 2006-06-19 2009-10-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
JP4673266B2 (ja) * 2006-08-03 2011-04-20 日本電信電話株式会社 パターン形成方法及びモールド
US8394483B2 (en) * 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7999160B2 (en) * 2007-03-23 2011-08-16 International Business Machines Corporation Orienting, positioning, and forming nanoscale structures
US7959975B2 (en) * 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US7521094B1 (en) * 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
US8215074B2 (en) * 2008-02-05 2012-07-10 International Business Machines Corporation Pattern formation employing self-assembled material
KR102017205B1 (ko) * 2012-12-07 2019-09-03 삼성디스플레이 주식회사 나노 구조체의 제조 방법 및 이를 이용한 패턴 형성 방법
KR101993255B1 (ko) * 2013-01-07 2019-06-26 삼성전자주식회사 콘택 홀 형성 방법

Also Published As

Publication number Publication date
US20110240596A1 (en) 2011-10-06
US20130270226A1 (en) 2013-10-17
SG178758A1 (en) 2012-03-29
WO2008097736A2 (en) 2008-08-14
KR20100014768A (ko) 2010-02-11
TW200845124A (en) 2008-11-16
TWI469183B (zh) 2015-01-11
US20080193658A1 (en) 2008-08-14
EP2121514A2 (en) 2009-11-25
CN101578232A (zh) 2009-11-11
US8562844B2 (en) 2013-10-22
CN101578232B (zh) 2012-05-30
US8974678B2 (en) 2015-03-10
JP2010522643A (ja) 2010-07-08
EP2121514B1 (en) 2016-03-09
KR101350072B1 (ko) 2014-01-14
WO2008097736A3 (en) 2008-11-20
US7964107B2 (en) 2011-06-21

Similar Documents

Publication Publication Date Title
JP5574089B2 (ja) サブリソグラフィックパターニングのためにブロック共重合体自己集合を使用する方法
US7732533B2 (en) Zwitterionic block copolymers and methods
US11538684B2 (en) UV-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
JP6139011B2 (ja) 誘導自己組織化用途における中立層オーバーコートのトポグラフィの最小化
US9087699B2 (en) Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US9005877B2 (en) Method of forming patterns using block copolymers and articles thereof
JP5596133B2 (ja) ブロック共重合体を用いてホール又はビアを有するデバイスを形成する方法
US20090214823A1 (en) Methods for aligning polymer films and related structures
US9810980B1 (en) Graphoepitaxy directed self assembly
TW200921786A (en) Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
JP6045746B2 (ja) 誘導自己組織化ケモエピタキシ用途において有機フィルムを除去するためのトラック処理
JP6249714B2 (ja) 相分離構造を含む構造体の製造方法
KR20140103570A (ko) 블록 코폴리머 층의 패턴 형성 방법 및 패턴화된 폴리머 구조물

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110113

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110113

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110113

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130514

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130801

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130801

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140603

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140617

R150 Certificate of patent or registration of utility model

Ref document number: 5574089

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250