CN101578232B - 使用嵌段共聚物自组装进行亚光刻图案化的方法 - Google Patents

使用嵌段共聚物自组装进行亚光刻图案化的方法 Download PDF

Info

Publication number
CN101578232B
CN101578232B CN2008800017448A CN200880001744A CN101578232B CN 101578232 B CN101578232 B CN 101578232B CN 2008800017448 A CN2008800017448 A CN 2008800017448A CN 200880001744 A CN200880001744 A CN 200880001744A CN 101578232 B CN101578232 B CN 101578232B
Authority
CN
China
Prior art keywords
block copolymer
block
thin layer
groove
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2008800017448A
Other languages
English (en)
Other versions
CN101578232A (zh
Inventor
丹·B·米尔沃德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN101578232A publication Critical patent/CN101578232A/zh
Application granted granted Critical
Publication of CN101578232B publication Critical patent/CN101578232B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/02Local etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0198Manufacture or treatment of microstructural devices or systems in or on a substrate for making a masking layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing

Abstract

嵌段共聚物可自组装并用于(例如)本文所述的亚光刻图案化方法中。所述嵌段共聚物可为二嵌段共聚物、三嵌段共聚物、多嵌段共聚物、或其组合。所述方法可用于制造包括(例如)亚光刻导线等装置。

Description

使用嵌段共聚物自组装进行亚光刻图案化的方法
相关申请案交叉参考
此申请案主张优先于2007年2月8日提出申请的美国专利申请案第11/703,911号的权利,其整体以引用的方式并入本文中。
技术领域
本发明是关于使用嵌段共聚物的自组装来在衬底上制备特征。
背景技术
存储器装置通常提供作为电脑或其它电子装置中的内部半导体集成电路。存在许多不同类型的存储器,其包括随机存取存储器(RAM)、只读存储器(ROM)、动态随机存取存储器(DRAM)、同步动态随机存取存储器(SDRAM)和快闪存储器。快闪存储器装置已发展成为用于广泛的电子应用的非易失性存储器的普遍来源。快闪存储器装置通常使用允许高存储器密度、高可靠性和低功率消耗的单晶体管存储器单元。快闪存储器的一般用途包括个人电脑、个人数字助理(PDA)、数码相机和蜂窝式电话。诸如基本输入/输出系统(BIOS)等的程序代码和系统数据通常存储在快闪存储器装置中以供在个人电脑系统中使用。
为了提高电脑系统的性能,需要提高记忆体装置的性能及密度。为了实现密度和性能提高,已经使晶体管尺寸减小,此获得增加的速度和降低的功率需求。然而,尺寸的进一步缩小通常受可使用光刻方法达成的尺度下限的限制。例如,诸如具有(例如,线宽)57.5纳米(nm)或更小的特征的导线等结构很难通过标准光刻方法产生,且在本文中称为“亚光刻”特征。
已经试图通过此项技术中知道的其它方法制备亚光刻特征。例如,已经使用电子束(e束)光刻和远紫外(EUV)光刻来试图制备所述亚光刻特征。尽管所述方法能够制备亚光刻特征,但所述方法的推广使用受到各种困难的阻碍,其包括(例如)高成本和/或与高产量制作方法不相容。
鉴于上述原因和那些所属领域的技术人员在阅读和理解本说明书后可明了的下述其它原因,此项技术中需要用于制备亚光刻特征的新颖方法。
发明内容
本发明的某些实施例提供图案化衬底的方法。在一个实施例中,所述方法包括提供具有包括多个高度为Y的间隔(或在下文中称为“堰”)的表面的衬底以形成包含堰和沟槽的表面形貌;提供具有固有周期Lo的第一嵌段共聚物和提供具有固有周期L′o的第二嵌段共聚物。
具有所述表面形貌的衬底可使用(例如)光刻方法来制备。在某些实施例中,具有所述表面形貌的衬底可通过包括(例如)将抗蚀剂(例如,电子束抗蚀剂和/或光致抗蚀剂)沉积于衬底表面以形成厚度为Y的层的方法来制备,其中衬底具有相对于第一嵌段共聚物的每一嵌段而言为中性润湿(即,在润湿方面无实质差别)的表面(例如,氢封端硅、交联聚合物垫层、和/或接枝聚合物);暴露抗蚀剂以形成线图案;和选择性去除抗蚀剂的暴露或未暴露部分以形成多个宽度为nLo的沟槽,其中n可为1到15。留在衬底表面上的抗蚀剂可由第一嵌段共聚物的一个嵌段选择性润湿(即,由一个嵌段润湿且基本上不被其它嵌段润湿)。因此,在此一实施例中,每一沟槽具有相对于第一嵌段共聚物的每一嵌段而言为中性润湿的底板和两个由第一嵌段共聚物的一个嵌段选择性润湿的侧壁。在另一实施例中,具有所述表面形貌的衬底可由包括(例如)以下的方法来制备:提供具有表面的衬底(例如,半导体衬底或衬底组合件);将厚度为Y的层(例如,含硅层)沉积于衬底表面上;和选择性蚀刻所述层以形成堰和宽度为nLo的沟槽的形貌,其中n可为1到15。任选地,所述方法可进一步包括在将厚度为Y的层沉积于衬底表面上之前或之后(且在某些实施例中在此之前)将中性润湿聚合物垫层沉积于衬底表面并使聚合物垫层交联。任选地,所述方法可进一步包括在将厚度为Y的层沉积于衬底表面上之前将中性润湿聚合物接枝到衬底表面,和/或在某些实施例中,在将厚度为Y的层沉积于所述衬底表面上之后将中性润湿聚合物选择性接枝到衬底表面(例如,将中性润湿聚合物选择性接枝到沟槽的底板)。
所述具有固有周期Lo的第一嵌段共聚物和具有固有周期L′o的第二嵌段共聚物各自可独立为二嵌段共聚物、三嵌段共聚物、多嵌段共聚物、或其组合,在某些实施例中均可自组装成片层形态。固有周期Lo和L′o可相同或不同。  在某些实施例中,Lo和L′o可各自独立为10纳米到100纳米。第一和第二嵌段共聚物可相同或不同。对于一些实施例第一和第二嵌段共聚物中的至少一个且在某些实施例中二者均可为基本上对称的二嵌段共聚物。
图案化衬底的方法进一步包括:将第一嵌段共聚物沉积于衬底表面上以形成厚度小于或等于Y的层;使第一嵌段共聚物层退火以允许第一嵌段共聚物自组装并在每一沟槽内形成第一组薄层,所述薄层基本上垂直于衬底表面且基本上与每一沟槽侧壁对齐;使包括自组装第一嵌段共聚物的第一嵌段的第一组薄层的一部分交联;和去除至少一部分形成堰的材料以形成至少一个深度为Y’的开口。所述至少一个开口具有相对于第二嵌段共聚物的每一嵌段而言为中性润湿的底板;两个由第二嵌段共聚物的一个嵌段选择性润湿的侧壁;和宽度mL′o,其中m可为1到15。所述方法进一步包括将第二嵌段共聚物沉积于衬底表面以形成厚度小于或等于Y’的层;和使第二嵌段共聚物层退火以使第二嵌段共聚物自组装并在所述至少一个开口内形成第二组薄层,所述薄层基本上垂直于衬底表面且基本上与每一开口侧壁对齐。在某些实施例中,方法可进一步包括使第二组薄层的第一部分交联,其中经交联薄层包括自组装第二嵌段共聚物的第一嵌段。此外,第一和/或第二组薄层的第二部分且在某些实施例中二者的第二部分可任选地选择性去除,其中所去除薄层包括自组装的第一和/或第二嵌段共聚物的第二嵌段。所得结构可用作(例如)蚀刻掩模和/或沉积掩模。或者,对于其中至少一些交联薄层为含金属薄层的实施例来说,所得结构可包括若干导线。
在某些实施例中,本文所揭示方法可用于衬底的亚光刻图案化而无需使用诸如干涉测量法、电子束光刻术或EUV光刻术等对高产量制作方法而言昂贵和/或不实际的技术。例如,参见美国专利第6,746,825 B2号(尼里(Nealey)等人)和第6,926,953 B2号(尼里(Nealey)等人)。此外,对于某些实施例来说,与迄今为止已知图案化方法相比,本文所揭示的方法可利用嵌段共聚物的自组装用于衬底较大区域的亚光刻图案化。
附图说明
图1到7是装置或装置组件的实例的侧视图,其绘示使用包括本文所述嵌段共聚物自组装的方法的实施例制备装置或装置组件。
图8是绘示图7中所展示图案化表面的装置或装置组件的实例的俯视图。
具体实施方式
嵌段共聚物的自组装已用于制备衬底上的若干特征。参见(例如)美国专利第7,045,851 B2号(布兰克(Black)等人)、美国专利申请公开案第2004/0124092 A1号(布兰克(Black)等人)、第2004/0142578 A1号(威斯纳(Wiesner)等人)、第2006/0134556A1号(尼里(Nealey)等人)、第2006/0163646 A1号(布兰克(Black)等人)、和第2006/0249784 A1号(布兰克(Black)等人);布兰克(Black),美国电气电子工程师学会(IEEE)纳米技术专刊(Transactions on Nanotechnology),3:412-415(2004);布兰克(Black),应用物理快报(Applied Physics Letters),87:163116,1-3(2005);和阳光池(Yamaguchi)等人,光聚合物科学技术期刊(Journal of Photopolymer Science andTechnology),19:385-388(2006)。例如,已使用光刻得到的沟槽作为嵌段共聚物自组装的导槽以提供亚光刻特征。然而,每一光刻得到的沟槽的最大宽度通常限于嵌段共聚物的固有周期(Lo)的倍数(例如,在某些实施例中整数倍),且通常限于最多3 Lo到15 Lo。因此,此对于每一沟槽的最大宽度实际上限制可通过所述已知方法利用嵌段共聚物的自组装图案化的衬底区域。与迄今为止已知图案化方法相比,在本发明某些实施例中所阐述的方法可利用嵌段共聚物的自组装用于衬底较大区域的亚光刻图案化。
本发明的某些实施例提供亚光刻图案化衬底的方法。在一个实施例中,所述方法包括:提供具有固有周期Lo的嵌段共聚物;提供包括多个(例如,两个或更多个)自组装共聚物界定的沟槽的衬底,其中所述多个沟槽中的每一沟槽具有宽度nLo,其中n可为1到15;将所述嵌段共聚物沉积于所述衬底表面上;和使所述嵌段共聚物退火(例如,热退火和/或溶剂退火)以使所述嵌段共聚物自组装。在某些实施例中,退火使得嵌段共聚物自组装并在每一沟槽内形成一组薄层,所述薄层可基本上垂直于衬底表面且基本上与每一沟槽侧壁对齐。对于所述实施例,所述组薄层的第一部分可任选地交联(例如,通过暴露于紫外辐射),其中经交联薄层包括自组装嵌段共聚物的第一嵌段。此外,可任选地选择性去除所述组薄层的第二部分,其中所去除薄层包括自组装嵌段共聚物的第二嵌段。所得结构可用作(例如)蚀刻掩模和/或沉积掩模。例如,在一个实施例中,可使用交联薄层作为蚀刻掩模来蚀刻衬底表面,可任选地去除任何残余聚合物材料且导电材料(例如,含金属的材料、导电聚合物、和/或含金属的聚合物复合材料)可沉积于亚光刻沟槽内以形成亚光刻导线。在另一实施例中,可使用交联薄层作为蚀刻掩模来蚀刻衬底表面,可任选地去除任何残余聚合物材料,且绝缘材料(例如,具有或高或低介电常数且在某些实施例中具有低介电常数以最小化电容耦合的非导电材料)可沉积于亚光刻沟槽内以(例如)将有源区彼此隔离。本文所用高介电常数(高-k)材料视为具有大于二氧化硅的介电常数(即k=3.9)的介电常数,且低介电常数(低-k)材料视为具有小于或等于二氧化硅的介电常数(即k=3.9)的介电常数。或者,对于其中交联薄层为含金属薄层的实施例来说,所得结构可包括若干导线。可任选地提供一个或更多个额外层以形成其中导线为(例如)晶体管栅极的装置。
本发明的某些实施例提供图案化衬底的方法。在一个实施例中,所述方法包括提供具有包括多个高度为Y的间隔(或在下文中称为“堰”)的表面的衬底以形成包含堰和沟槽的表面形貌;提供具有固有周期Lo的第一嵌段共聚物;和提供具有固有周期L′o的第二嵌段共聚物。
具有所述表面形貌的衬底可使用(例如)光刻方法来制备。在某些实施例中,具有所述表面形貌的衬底可通过包括(例如)将抗蚀剂(例如,电子束抗蚀剂和/或光致抗蚀剂)沉积于衬底表面以形成厚度为Y的层的方法来制备,其中衬底具有相对于第一嵌段共聚物的每一嵌段而言为中性润湿(即,在润湿方面无实质差别)的表面(例如,氢封端硅、交联聚合物垫层、和/或接枝聚合物);暴露抗蚀剂以形成线图案;和选择性去除抗蚀剂的暴露或未暴露部分以形成多个宽度为nLo的沟槽,其中n可为1到15。留在衬底表面上的抗蚀剂可由第一嵌段共聚物的一个嵌段选择性润湿(即,由一个嵌段润湿且基本上不被其它嵌段润湿)。因此,在此一实施例中,每一沟槽具有相对于第一嵌段共聚物的每一嵌段而言为中性润湿的底板和两个由第一嵌段共聚物的一个嵌段选择性润湿的侧壁。在另一实施例中,具有所述表面形貌的衬底可由包括(例如)以下的方法来制备:提供具有表面的衬底(例如,半导体衬底或衬底组合件);将厚度为Y的层(例如,含硅层)沉积于衬底表面上;和选择性蚀刻所述层以形成堰和宽度为nLo的沟槽的形貌,其中n可为1到15。任选地,所述方法可进一步包括在将厚度为Y的层沉积于衬底表面上之前或之后(且在某些实施例中在此之前)将中性润湿聚合物垫层沉积于衬底表面并使聚合物垫层交联。任选地,所述方法可进一步包括在将厚度为Y的层沉积于衬底表面上之前将中性润湿聚合物接枝到衬底表面,和/或在某些实施例中,在将厚度为Y的层沉积于所述衬底表面上之后将中性润湿聚合物选择性接枝到衬底表面(例如,将中性润湿聚合物选择性接枝到沟槽的底板)。
所述具有固有周期Lo的第一嵌段共聚物和具有固有周期L′o的第二嵌段共聚物各自可独立为二嵌段共聚物、三嵌段共聚物、多嵌段共聚物、或其组合,在某些实施例中均可自组装成片层形态。固有周期Lo和L′o可相同或不同。在某些实施例中,Lo和L′o可独立为10纳米到100纳米。第一和第二嵌段共聚物可相同或不同。对于一些实施例第一和第二嵌段共聚物中的至少一个且在某些实施例中二者均可为基本上对称的二嵌段共聚物。
图案化衬底的方法进一步包括:将第一嵌段共聚物沉积于衬底表面上以形成厚度小于或等于Y的层;使第一嵌段共聚物层退火以允许第一嵌段共聚物自组装并在每一沟槽内形成第一组薄层,所述薄层基本上垂直于衬底表面且基本上与每一沟槽侧壁对齐;使包括自组装第一嵌段共聚物的第一嵌段的第一组薄层的一部分交联;和去除至少一部分形成堰的材料以形成至少一个深度为Y’的开口。所述至少一个开口具有相对于第二嵌段共聚物的每一嵌段而言为中性润湿的底板;两个由第二嵌段共聚物的一个嵌段选择性润湿的侧壁;和宽度mL′o,其中m可为1到15。所述方法进一步包括将第二嵌段共聚物沉积于衬底表面以形成厚度小于或等于Y’的层;和使第二嵌段共聚物层退火以使第二嵌段共聚物自组装并在所述至少一个开口内形成第二组薄层,所述薄层基本上垂直于衬底表面且基本上与每一开口侧壁对齐。在某些实施例中,方法可进一步包括使第二组薄层的第一部分交联,其中经交联薄层包括自组装第二嵌段共聚物的第一嵌段。此外,第一和/或第二组薄层的第二部分且在某些实施例中二者的第二部分可任选地选择性去除,其中所去除薄层包括自组装的第一和/或第二嵌段共聚物的第二嵌段。所得结构可用作(例如)蚀刻掩模和/或沉积掩模。或者,对于其中至少一些交联薄层为含金属薄层的实施例来说,所得结构可包括若干导线。
在某些实施例中,本文所揭示方法可用于衬底的亚光刻图案化而无需使用诸如干涉测量法、电子束光刻术或EUV光刻术等对高产量制作方法而言昂贵和/或不实际的技术。例如,参见美国专利第6,746,825 B2号(尼里(Nealey)等人)和第6,926,953 B2号(尼里(Nealey)等人)。此外,对于某些实施例来说,与迄今为止已知图案化方法相比,本文所揭示的方法可利用嵌段共聚物的自组装用于衬底较大区域的亚光刻图案化。
本文所用“含金属”用于指一种材料,通常为可完全由金属组成或除金属外还可包括其它元素的化合物或层。典型含金属化合物包括(但不限于)金属、金属-配体络合物、金属盐、有机金属化合物、和其组合。典型含金属层包括(但不限于)金属、金属氧化物、金属硅酸盐、和其组合。
本文所用术语“(甲基)丙烯酸酯”是丙烯酸酯、甲基丙烯酸酯、或其组合的简写形式。
本文所用“亚光刻”用于指具有一个或更多个小于最小光刻术特征尺寸的尺寸或尺度的结构。例如,本文所用“亚光刻线”用于指宽度为57.5nm或更小的线。
本文所用“一个”(“a” 、“an”)、所述(“the”)和“至少一个”(“at least one”)可互换使用且意指一个或一个以上。
除非明确指明使用背景,否则本文所用词语“或”通常以包括“和/或”的含义使用。
而且在本文中,由端点列举的数值范围包括归属于所述范围内的所有数值(例如,1到5包括1、1.5、2、2.75、3、3.80、4、5等)。
本文所用词语“包含(comprising)”与“包括(including)”或“含有(containing)”同义且具包罗性、无限定性,且并不排除其它未列举的元件或方法步骤。
本文所揭示方法各实施例的上述简要说明并非意欲阐述所述方法的每一实施例或每个实行方案。而且,通过参照下文说明和权利要求书结合附图,将更清楚且更完整地理解本文所述方法。另外,应理解,可使用其它实施例并可进行结构改变而不背离本揭示内容的范围。
本文所述方法包括嵌段共聚物,所述嵌段共聚物是包括相同单体单元的一个或更多个长序列(即,“嵌段”)的聚合物,所述长序列共价键结至不同类型(例如,包括不同单体单元)的一个或更多个长序列(即,“嵌段”)。嵌段共聚物通常使用词语“-嵌段-”(“-block-”)或“-b-”来命名以隔开每一单体单元,而无规共聚物通常使用词语“-无规-”(“-random-”)或“-r-”来命名以隔开每一单体单元。本文所述方法中可使用各种嵌段共聚物。例如,本文所用嵌段共聚物可包括二嵌段共聚物(即,具有两个嵌段的共聚物)、三嵌段共聚物(即,具有三个嵌段的共聚物)、多嵌段共聚物(即,具有三个以上嵌段的共聚物)、和其组合。对于包括第一和第二嵌段共聚物的方法来说,第一嵌段共聚物与第二嵌段共聚物可相同或不同。
二嵌段共聚物可用于本文所述方法的某些实施例中。二嵌段共聚物可基本上对称(即,第一嵌段的体积分数基本上与第二嵌段的体积分数相同)或不对称(即,第一嵌段的体积分数基本上不同于第二嵌段的体积分数)。如本文所用,基本上对称的二嵌段共聚物的每一嵌段具有0.4到0.6的体积分数。如本文所用,不对称的二嵌段共聚物的每一嵌段具有小于0.4或大于0.6的体积分数。基本上对称的二嵌段共聚物可用于其中二嵌段共聚物自组装以形成薄层(即,一种材料与另一种材料相互交叉的薄板)的实施例,不对称的二嵌段共聚物可用于其中二嵌段共聚物自组装以形成其它结构(包括例如球体、圆柱体、螺旋体、或其组合)的实施例。对于包括第一和第二嵌段共聚物的方法来说,第一和第二嵌段共聚物二者均可为基本上对称的二嵌段共聚物。
嵌段共聚物的每一嵌段可在自组装期间组装成一个域。例如,基本上对称的二嵌段共聚物可自组装成薄层状结构,其中每一交替薄层代表一包括二嵌段共聚物的一个嵌段的一个域。此外,第一薄层中间与相同类型的下一薄层中间的距离(假如两个薄层均不在一系列薄层的边缘)为固有周期(“Lo”),其可视每一嵌段的聚合物链的长度和/或分子量而定。因此,固有周期可通过增加二嵌段共聚物一个或两个嵌段的聚合物链长度和/或分子量来增加,条件是对于片层形态来说每一嵌段的体积分数保持0.4到0.6。同样地,可通过减少二嵌段共聚物一个或两个嵌段的聚合物链的长度和/或分子量来减小固有周期。本文所述方法中所用的二嵌段共聚物通常具有10纳米到100纳米的固有周期(Lo)。对于包括第一和第二嵌段共聚物的方法来说,第一嵌段共聚物的固有周可与第二嵌段共聚物的固有周期相同或不同,条件是对于片层形态来说每一嵌段的体积分数保持0.4到0.6。
对于某些实施例来说,本文所述方法中所用嵌段共聚物的每一嵌段可能不同于共聚物中的其它嵌段,不仅在形成嵌段的单体单元的结构方面不同而且在其它性质方面也不同,所述性质包括(例如)物理性质(例如,机械强度、表面张力、可湿性、和/或溶解性)和化学性质(例如,溶解性、化学反应性、对移除技术的敏感性、和/或对交联反应的敏感性)。对于一些实施例来说,嵌段可包括一小部分(例如,5摩尔%或更少)由可交联单体所形成的单元。在某些实施例中,不可交联与可交联单元可具有类似物理和化学性质。
实例二嵌段共聚物包括聚苯乙烯-b-聚甲基丙烯酸甲酯嵌段共聚物、聚氧化乙烯-b-聚异戊二烯嵌段共聚物、聚氧化乙烯-b-聚丁二烯嵌段共聚物、聚氧化乙烯-b-聚苯乙烯嵌段共聚物、聚氧化乙烯-b-聚甲基丙烯酸甲酯嵌段共聚物、聚苯乙烯-b-聚乙烯基吡啶嵌段共聚物、聚苯乙烯-b-聚异戊二烯嵌段共聚物、聚苯乙烯-b-聚丁二烯嵌段共聚物、聚丁二烯-b-聚乙烯基吡啶嵌段共聚物、聚异戊二烯-b-聚甲基丙烯酸甲酯嵌段共聚物、和其组合。对于本文所述方法的一些实施例来说,聚苯乙烯-b-聚甲基丙烯酸甲酯二嵌段共聚物可用作所述方法中所用的一些或所有嵌段共聚物。
实例三嵌段和/或多嵌段共聚物包括具有三个或更多个选自由下列组成的群组的嵌段的嵌段共聚物:聚苯乙烯、聚(甲基)丙烯酸烷基酯(例如,聚甲基丙烯酸甲酯)、聚氧化烯(例如,聚氧化乙烯)、聚烯烃(例如,聚异戊二烯和聚丁二烯)、聚乙烯基吡啶、和其组合。
嵌段共聚物可通过所属领域的技术人员熟知的方法沉积于表面(例如,衬底表面)上。本文所用“沉积于”表面上意欲在广义上加以解释以包括可将嵌段共聚物施加到表面的任何适宜方法,其包括(例如)沉积方法、涂布方法、转移方法、和/或其它可用施加方法。实例沉积方法包括(但不限于)旋涂、浸涂、喷涂、和其组合。在所述方法中,嵌段共聚物通常可溶解、分散、或悬浮于媒剂(例如,溶剂)中;所述溶液、分散液、或悬浮液可沉积于表面上,且媒剂可任选地在沉积期间或之后去除(例如,在环境温度或高温下且在环境压力或减压下通过蒸发去除)。
一旦嵌段共聚物已沉积于表面上,可使嵌段共聚物退火以破坏任何现有域进而使嵌段共聚物自组装。有用的退火方法包括热退火、溶剂退火、和其组合。退火可包括将嵌段共聚物暴露在0℃到250℃的温度下长达24小时或更长时间且在某些实施例中在真空和/或无氧的气氛下进行。热退火通常包括将嵌段共聚物暴露在高温下(例如,在玻璃化温度下或在其上)并降低温度以使自组装嵌段共聚物变硬。溶剂退火可包括将嵌段共聚物暴露于可使嵌段共聚物的每一嵌段形成溶剂化物的溶剂的蒸气中;使嵌段共聚物溶胀(且在某些实施例中被塑化);且然后(例如)通过蒸发去除至少一部分溶剂(且在某些实施例中,使增塑剂随着嵌段相分离而分离且去除至少一部分分离的增塑剂)。
提供下列实例以进一步阐述本揭示内容的各种特定实施例和技术。然而,应了解,所属领域的技术人员可实施多种改变与修改而同时仍保持在本揭示内容的范围内。因此,本揭示内容的范围并不受限于下列实例。
在本文所阐述某些实施例中,嵌段共聚物可沉积于具有一个或更多个沟槽或开口之表面上以在所述一个或更多个沟槽或开口内形成嵌段共聚物。例示性装置5绘示于图1中。装置包括衬底、10、堰30和沟槽40以形成包含堰和沟槽的外形。
一个或更多个沟槽或开口可用作导槽用于共聚物在所述一个或更多个沟槽或开口内嵌段自组装。每一沟槽或开口可(例如)以光刻方式界定或自组装共聚物界定,二者均在下文中进一步详细阐述。对于其中一个或两个侧壁不垂直于表面的沟槽或开口来说,在本文中提及的沟槽或开口的宽度可视为沟槽或开口底部的宽度(即,底板宽度)与沟槽或开口顶部的宽度的平均值。在一些实施例中,沟槽或开口的宽度可为嵌段共聚物固有周期(Lo)的n倍(或接近n倍),其中n可为1到15,且在某些实施例中为1到15的整数。当沟槽宽度明显偏离Lo的一定倍数时,通常观察到在片层形态中缺陷增加。
在某些实施例中,每一沟槽或开口40的底板50相对于嵌段共聚物的每一嵌段而言可中性润湿(即,在润湿方面无实质差别)。在某些实施例中,每一沟槽或开口40的两个侧壁60可由嵌段共聚物的一个嵌段选择性润湿。选择性润湿使得侧壁表面与嵌段共聚物的每一嵌段之间的界面能有差别。此界面能的差别可能是由于每一材料的化学组成(例如,有利于氢键结的结构)的缘故。
参照图1和2,在某些实施例中,具有固有周期Lo的基本上对称的二嵌段共聚物100可沉积于沟槽或开口40内(例如,共聚物层小于或等于沟槽或开口的深度),其中沟槽或开口40的底板50相对于嵌段共聚物100的每一嵌段为中性润湿,且沟槽或开口40的两个侧壁60由嵌段共聚物100的一个嵌段选择性润湿。
参照图3,然后所沉积共聚物100可进行退火以使二嵌段共聚物自组装并在每一沟槽内形成一组交替薄层110和120,所述薄层基本上垂直于表面且基本上与每一沟槽侧壁对齐。如本文所用,“基本上垂直”薄层是指平均垂直于表面的一组薄层。如本文所用,“基本上与沟槽侧壁对齐”的薄层是指在至少10微米的沟槽长度上平行于侧壁(即,位于距侧壁恒定距离处)的薄层。图1到3绘示其中n=4的实施例(即,每一沟槽40的宽度为4Lo),且因此展示4个薄层周期。然而,如上文所讨论,n可为1到15,且因此在这些实施例中可具有1到15个薄层周期。
在某些实施例中,包括自组装嵌段共聚物的第一嵌段的薄层110可任选地通过(例如)暴露于辐射(例如,紫外线(UV)辐射)来交联。在一些实施例中,所交联嵌段共聚物的嵌段可在不添加交联剂的情况下对交联敏感。例如,若嵌段共聚物为聚苯乙烯-b-聚甲基丙烯酸甲酯二嵌段共聚物,则聚苯乙烯嵌段可通过暴露于UV辐射来交联。对于其它实例来说,嵌段共聚物的一个嵌段可通过纳入少量(例如,0.1到5摩尔%)可热交联的单体(例如,含苯并环丁烷的单体)来进行热交联和/或通过在共聚物嵌段中纳入少量(例如,0.1到5摩尔%)可光化学交联的单体(例如,二乙烯基苯)来进行光化学交联。在某些其它实施例中,嵌段共聚物的一个嵌段可通过纳入少量(例如,0.1到5摩尔%)可热交联和/或可光化学交联的单体(例如,对叠氮甲基苯乙烯)来进行热和/或光化学交联。或者或另外,在一些实施例中,可将交联剂添加至欲交联的薄层中。多种交联剂已为此项技术所知且包括(例如)交联剂(例如,1,3,5-三甲基-2,4,6-(三乙酰氧基甲基)苯)与热酸产生剂(例如,环己基甲基(2-氧代环己基)三氟甲烷磺酸锍)的组合。交联薄层可具有(例如)改进的机械性质和较低去除敏感性。
任选地,在某些实施例中可在交联之后选择性去除残余未交联薄层120(在图3中未绘示)而在表面上留下经交联薄层110,但在某些实施例中在此阶段去除未交联薄层可使得当随后沉积第二嵌段共聚物时导致所述新形成沟槽充满第二嵌段共聚物。用于去除未交联薄层的多种方法已为此技术所知,其包括(例如)辐照(例如,UV或电子束)、臭氧处理、诸如浸入溶剂中等湿化学处理方法、和/或诸如反应性离子蚀刻和离子束蚀刻等蚀刻方法。例如,当嵌段共聚物为聚苯乙烯-b-聚甲基丙烯酸甲酯二嵌段共聚物且包括聚苯乙烯嵌段的薄层交联时,包括未交联聚甲基丙烯酸甲酯嵌段的残余薄层可通过(例如)乙酸显影来选择性去除且在某些实施例中是在全面曝光(blanket exposure)于紫外线(UV)辐射之后。
在本文所述方法的某些实施例中,衬底可包括多个光刻得到的沟槽,其每一个可作为导槽用于嵌段共聚物在每一沟槽内的自组装。包括光刻得到的沟槽的衬底(例如,半导体衬底或衬底组合件)可通过此项技术中熟知的方法获得,其包括(例如)抗蚀剂技术和蚀刻技术。本文所用“半导体衬底”或“衬底组合件”是指诸如基底半导体层等半导体衬底或其上已形成一个或更多个层、结构、或区域的半导体衬底。基底半导体层通常可为晶片上的最底层硅材料或沉积于另一材料上的硅层(例如,蓝宝石上的硅)。当提及衬底组合件时,先前已使用各种工艺步骤来形成或界定区域、结、各种结构或特征、和开口,例如,晶体管、有源区、扩散部、植入区域、通孔、接触开口、高纵横比开口、电容器极板、电容器挡板等。
参照图1,在某些实施例中,衬底表面可通过将聚合物垫层或刷20沉积于衬底表面上并使聚合物垫层交联来修饰。聚合物垫层可使用与用于交联嵌段共聚物的至少一个嵌段所用相同的方法或不同方法来交联。在某些实施例中,可使用相同方法来交联聚合物垫层和嵌段共聚物的至少一个嵌段。在某些实施例中,聚合物垫层可在将厚度为Y的层沉积于衬底表面上之前沉积于衬底表面上,如下文所阐述。交联聚合物垫层可用于(例如)使将变成沟槽或开口的底板的衬底表面相对于将在衬底表面上自组装的嵌段共聚物的每一嵌段而言为中性润湿。例如,若嵌段共聚物为聚苯乙烯-b-聚甲基丙烯酸甲酯二嵌段共聚物,则可使用可交联的聚苯乙烯-r-聚甲基丙烯酸甲酯无规共聚物作为聚合物垫层。
在某些实施例中,聚合物垫层20可通过(例如)暴露于辐射(例如紫外线(UV)辐射)来交联。在一些实施例中,所交联的聚合物垫层可在不添加交联剂的情况下对交联敏感。例如,如果聚合物垫层是聚苯乙烯-r-聚甲基丙烯酸甲酯无规共聚物,则无规共聚物中的聚苯乙烯可为暴露于UV辐射时的交联位点。对于其它实例来说,聚合物垫层可通过在聚合物垫层中纳入少量(例如,0.1到5摩尔%)可热交联的单体(例如,含苯并环丁烷的单体)或可光化学交联的单体(例如,二乙烯基苯和/或对叠氮甲基苯乙烯)来进行交联。在某些其它实施例中,聚合物垫层可通过纳入少量(例如,0.1到5摩尔%)可热交联和/或可光化学交联的单体(例如,对叠氮甲基苯乙烯)来进行热和/或光化学交联。或者或另外,在一些实施例中,可将交联剂添加到聚合物垫层中。多种交联剂已为此项技术所知且包括(例如)交联剂(例如,1,3,5-三甲基-2,4,6-(三乙酰氧基甲基)苯)与热酸产生剂(例如,环己基甲基(2-氧代环己基)三氟甲烷磺酸锍)的组合。在某些实施例中,经交联聚合物垫层可具有足够耐性来经受嵌段共聚物自组装过程期间所遇到的其它处理步骤。
或者,在某些实施例中,衬底表面可通过制备氢封端的硅表面来修饰。例如,其上具有厚度为Y的氧化物层的硅衬底可经蚀刻(例如,利用等离子蚀刻)以形成厚度为Y’(小于Y)的沟槽。可将硅衬底上厚度为Y减去Y′的剩余氧化物去除(例如,利用氟化物离子蚀刻,其也将硅衬底上的天然氧化物去除),留下氢封端的硅表面。氢封端的硅表面可用于(例如)使得将变得沟槽或开口的底板的衬底表面相对于将在衬底表面上自组装的嵌段共聚物的每一嵌段中性润湿。例如,如果嵌段共聚物是聚苯乙烯-b-聚甲基丙烯酸甲酯二嵌段共聚物,则氢封端的硅表面可相对于嵌段共聚物的两个嵌段中性润湿。
在另一实施例中,中性润湿无规共聚物可从氢封端的硅表面(例如,衬底表面)原位生长并接枝。例如,可将含单体(例如,比例分别为58到42到<5的苯乙烯、甲基丙烯酸甲酯和二乙烯基苯)和自由基引发剂(例如,过氧化苯甲酰)的溶液放置于氢封端的硅表面上并加热以引发自由基聚合,此使得中性润湿聚合物接枝到硅表面上。
在某些实施例中,包括多个光刻得到的沟槽的衬底可使用抗蚀剂技术制备。例如,参照图1,具有包括多个堰30和沟槽40的表面的衬底可通过以下方法制备。抗蚀剂(例如,正或负抗蚀剂)可沉积于衬底表面上以形成厚度为Y的层并暴露以形成线图案。可选择性去除抗蚀剂的暴露或未暴露部分以形成堰30和沟槽40的形貌。在某些实施例中,衬底具有相对于嵌段共聚物的每一嵌段中性润湿的表面50。在某些实施例中,留在衬底表面上的抗蚀剂(即,堰30)由所述嵌段共聚物的一个嵌段选择性润湿。抗蚀剂可为(例如)光致抗蚀剂或电子束(e-beam)抗蚀剂。
可使用各种光致抗蚀剂,其包括(例如)基于聚苯乙烯的抗蚀剂、基于聚(甲基)丙烯酸酯的抗蚀剂、和其组合。例如,如果将在沟槽中自组装嵌段共聚物的是聚苯乙烯-b-聚甲基丙烯酸甲酯二嵌段共聚物,则可使用基于聚苯乙烯的抗蚀剂来产生具有侧壁的沟槽,所述侧壁由嵌段共聚物的聚苯乙烯嵌段选择性润湿;或可使用基于聚(甲基)丙烯酸酯的抗蚀剂来产生具有侧壁的沟槽,所述侧壁由嵌段共聚物的聚甲基丙烯酸甲酯嵌段选择性润湿。
此项技术中已知的电子束抗蚀剂包括(例如)基于氢倍半硅氧烷的抗蚀剂、基于聚甲基丙烯酸甲酯的抗蚀剂、基于聚苯乙烯的抗蚀剂(例如,包括衍生的基于聚苯乙烯的抗蚀剂)、和其组合。
在某些实施例中,抗蚀剂可形成含金属层(例如,氮化铝层)。在某些其它实施例中,抗蚀剂可形成含硅层,且沟槽将包括具有含硅表面的侧壁。含硅层或表面可为氧化硅(SiO2)层、氮化硅(Si3N4)层、氧碳化硅(SiO4C)层、或其组合。任选地,嵌段共聚物的一个嵌段的均聚物可接枝到两个侧壁的含硅表面以提供具有由嵌段共聚物的嵌段选择性润湿的侧壁的沟槽,所述嵌段共聚物与侧壁上所接枝的均聚物相同或类似。例如,如果将在沟槽中自组装的嵌段共聚物是聚苯乙烯-b-聚甲基丙烯酸甲酯二嵌段共聚物,则聚苯乙烯均聚物可接枝到两个侧壁的含硅表面以提供具有由嵌段共聚物的聚苯乙烯嵌段选择性润湿的侧壁的沟槽;或者聚甲基丙烯酸甲酯均聚物可接枝到两个侧壁的含硅表面以提供具有由嵌段共聚物的聚甲基丙烯酸甲酯嵌段选择性润湿的侧壁的沟槽。均聚物可通过此项技术中已知的各种方法来接枝,其包括(例如)制备具有端基(例如,羟基)的均聚物和/或在均聚物中包括少量(例如,0.1到5摩尔%)的羟基官能团单体(例如,甲基丙烯酸2-羟乙基酯和/或对-羟基苯乙烯)以便羟基可与含硅表面相互作用(例如,通过形成氢键和/或共价键)。
在某些实施例中,包括多个光刻得到的沟槽的衬底可使用蚀刻技术制备。例如,具有包括多个堰的表面的衬底可通过以下方法制备。可将厚度为Y的层沉积于衬底表面,且然后可使用光刻技术选择性蚀刻所述层以形成包含堰和沟槽的形貌。
参照图1,衬底10具有多个高度为Y的堰30,形成堰30和宽度为nLo的沟槽40的表面形貌,其中n可为1到15。所述多个沟槽40(例如,光刻得到的沟槽)可用作嵌段共聚物自组装的导槽,如本文所述。参照图2,可沉积具有固有周期Lo的嵌段共聚物100以在多个沟槽40内形成厚度小于或等于Y的层。参照图3,然后可使嵌段共聚物100退火以使嵌段共聚物自组装并在每一沟槽内形成一组交替薄层110和120,所述薄层基本上垂直于衬底表面且基本上与每一沟槽侧壁60对齐。包括自组装嵌段共聚物的第一嵌段的薄层110可经交联。参照图3和4,可将形成堰30的至少一部分材料去除(例如,使用湿和/或干蚀刻方法)以形成至少一个具有深度Y′的开口240。所述开口240在本文中称为“自组装共聚物”界定的开口或沟槽。
然后自组装共聚物界定的开口或沟槽240可用作嵌段共聚物自组装的导槽,如本文所述。所述自组装共聚物界定的开口240的侧壁260可由包括用于界定开口的嵌段共聚物100的交联嵌段的薄层110形成。参照图4和5,然后可沉积可与用于界定开口的嵌段共聚物100相同或不同的第二嵌段共聚物300并退火,如本文所述。在某些实施例中,自组装共聚物界定的开口可具有底板250,所述底板相对于第二嵌段共聚物300的每一嵌段中性润湿;自组装共聚物界定的开口具有两个侧壁260,其由第二嵌段共聚物300的一个嵌段选择性润湿(例如,第二共聚物的一个嵌段与形成自组装共聚物界定的开口240的侧壁260的第一共聚物100的交联嵌段相同或类似);且自组装共聚物界定的开口240具有宽度mL′o,其中m可为1到15,且L′o为第二嵌段共聚物300的固有周期。参照图5和6,在所述实施例中,第二嵌段共聚物300可经退火以使第二嵌段共聚物300自组装并在每一自组装共聚物界定的开口240内形成第二组交替薄层310和320,所述薄层基本上垂直于衬底表面且基本上与每一开口侧壁260对齐。图4到6绘示其中m=4的实施例(即,每一沟槽240的宽度为4L′o),且因此,展示4个薄层周期。然而,如本文以上所述,m可为1到15,且因此在这些实施例中将获得1到15个薄层周期。任选地,包括自组装第二嵌段共聚物300的第一嵌段的薄层310可经交联。
参照图6和7,未交联薄层120(即,来自第一组薄层)、未交联薄层320(即,来自第二组薄层)、或在某些实施例中二者(如图7中所示)可通过诸如本文所述的那些方法去除。另外,任何残余聚合物材料可任选地从衬底去除,获得亚光刻沟槽340。
参照图7,包括经交联薄层110和310的所得图案可用作(例如)蚀刻掩模以在衬底中形成与亚光刻沟槽340对齐的亚光刻沟槽。导电材料可任选地沉积于亚光刻沟槽340中以形成亚光刻导线。导电材料可为含金属材料,其可通过(例如)蒸气沉积方法(例如,化学蒸气沉积(CVD)或原子层沉积(ALD)方法)来沉积。或者,导电材料可为导电聚合物和/或含金属聚合物复合材料,其可通过(例如)旋涂、浸涂、喷涂、或其组合来沉积。或者或另外,包括交联薄层的所得图案可用作(例如)沉积掩模用于在衬底表面上沉积材料(例如,导电或非导电材料)。在一些实施例中,包括交联薄层的所得图案可包括形成导线的含金属薄层。
图8是图7中所绘示装置5的俯视图,所述图是向下看其上任选地具有聚合物垫层或刷20的衬底10的图案化表面。交联薄层110和310形成沟槽340的开口。因此,包括交联薄层的所得图案可用作(例如)沉积掩模用于在衬底表面上沉积材料(例如,导电或非导电材料)。或者或另外,交联薄层110和310可用作(例如)蚀刻掩模用于蚀刻与沟槽340的开口对齐的衬底10的表面,如本文所述。例如,在一个实施例中,可使用交联薄层作为蚀刻掩模来蚀刻衬底表面,可任选地去除任何残余聚合物材料,且导电材料(例如,含金属材料、导电聚合物、和/或含金属的聚合物复合材料)可沉积于亚光刻沟槽内以形成亚光刻导线。在另一实施例中,可使用交联薄层作为蚀刻掩模来蚀刻衬底表面,可任选地去除任何残余聚合物材料,且绝缘材料(例如,具有或高或低介电常数且在某些实施例中具有低介电常数以最小化电容耦合的非导电材料)可沉积于亚光刻沟槽内以(例如)将有源区彼此隔离。或者,对于其中交联薄层是含金属薄层的实施例来说,所得结构可包括若干导线。可任选地提供一个或更多个额外层以形成其中导线是(例如)晶体管栅极的装置。
可沉积一个或更多个额外层以形成(例如)其中如本文所述亚光刻导线可为晶体管栅极的装置。
本文所引用专利、专利文件和出版物的全部揭示内容均以整体引用的方式并入本文中,其并入程度如同各自均个别地并入本文中一般。彼等所属领域的技术人员将明了对本文所述实施例所作的各种修饰及改变并不背离本发明的范围和精神。应了解,本揭示内容并不欲不适当地受限于本文所述说明性实施例和实例,且所述实例和实施例仅以实例方式呈现,同时本揭示内容的范围仅欲受上文所述权利要求书的限制。

Claims (75)

1.一种亚光刻图案化衬底的方法,所述方法包括:
提供具有固有周期Lo的嵌段共聚物;
提供包含多个自组装共聚物界定的沟槽的衬底,其中所述多个沟槽中的每一沟槽具有宽度nLo,其中n为1到15;
将所述嵌段共聚物沉积于所述衬底的表面上;和
使所述嵌段共聚物退火以使所述嵌段共聚物自组装。
2.如权利要求1所述的方法,其中所述多个沟槽中的每一沟槽具有两个侧壁,且其中两个侧壁由所述嵌段共聚物的一个嵌段选择性润湿。
3.如权利要求1所述的方法,其中所述多个沟槽中的每一沟槽具有相对于所述嵌段共聚物的每一嵌段而言为中性润湿的底板。
4.如权利要求1所述的方法,其中退火包含热退火、溶剂退火、或其组合。
5.如权利要求4所述的方法,其中退火包含将所述嵌段共聚物暴露于0℃到250℃的温度。
6.如权利要求4所述的方法,其中退火包含:
将所述嵌段共聚物暴露于可使所述嵌段共聚物的每一嵌段形成溶剂化物的溶剂的蒸气中;
使所述嵌段共聚物溶胀;和
去除至少一部分所述溶剂。
7.如权利要求6所述的方法,其中去除至少一部分所述溶剂包含使至少一部分所述溶剂蒸发。
8.如权利要求1所述的方法,其中退火使所述嵌段共聚物自组装并在每一沟槽内形成一组薄层,所述薄层基本上垂直于所述衬底表面且基本上与每一沟槽侧壁对齐。
9.如权利要求8所述的方法,其进一步包含使所述组薄层的第一部分交联,其中所述第一部分包含含所述自组装嵌段共聚物的第一嵌段的薄层。
10.如权利要求9所述的方法,其进一步包含选择性去除所述组薄层的第二部分,其中所述第二部分包含含所述自组装嵌段共聚物的第二嵌段的薄层。
11.如权利要求10所述的方法,其进一步包含使用所述经交联薄层作为蚀刻掩模蚀刻所述衬底。
12.如权利要求10所述的方法,其进一步包含使用所述经交联薄层作为沉积掩模将材料沉积于所述衬底表面上。
13.如权利要求10所述的方法,其中所述经交联薄层是含金属薄层。
14.一种图案化衬底的方法,所述方法包含:
提供具有固有周期Lo的第一嵌段共聚物;
提供具有固有周期L’o的第二嵌段共聚物;
提供具有包含多个高度为Y的堰的表面的衬底以形成包含堰和沟槽的表面形貌,其中:
每一沟槽具有相对于所述第一嵌段共聚物的每一嵌段为中性润湿的底板;
每一沟槽具有两个由所述第一嵌段共聚物的一个嵌段选择性润湿的侧壁;
每一沟槽具有宽度nLo,其中n为1到15;且
所述堰宽度/沟槽宽度比=mL′o/nLo,其中m为1到15;
将所述第一嵌段共聚物沉积于所述衬底表面上以形成厚度小于或等于Y的层;
使所述第一嵌段共聚物层退火以使所述第一嵌段共聚物自组装并在每一沟槽内形成第一组薄层,所述薄层基本上垂直于所述衬底表面且基本上与每一沟槽侧壁对齐;
使包含所述自组装第一嵌段共聚物的第一嵌段的所述第一组薄层的一部分交联;
去除至少一部分形成所述堰的材料以形成至少一个深度为Y′的开口,其中Y小于Y,其中:
所述至少一个开口具有相对于所述第二嵌段共聚物的每一嵌段为中性润湿的底板;
所述至少一个开口具有两个由所述第二嵌段共聚物的一个嵌段选择性润湿的侧壁;且
所述至少一个开口具有宽度mL′o,其中m为1到15;
将所述第二嵌段共聚物沉积于所述衬底表面上以形成厚度小于或等于Y′的层;和
使所述第二嵌段共聚物层退火以使所述第二嵌段共聚物自组装并在所述至少一个开口内形成第二组薄层,所述薄层基本上垂直于所述衬底表面且基本上与每一开口侧壁对齐。
15.如权利要求14所述的方法,其进一步包含:
使所述第二组薄层的第一部分交联,其中所述第二组薄层的所述第一部分包含含所述自组装第二嵌段共聚物的第一嵌段的薄层。
16.如权利要求15所述的方法,其中使所述第二组薄层的所述第一部分交联包含将所述自组装第二嵌段共聚物的至少所述第一嵌段暴露于紫外辐射。
17.如权利要求15所述的方法,其进一步包含选择性去除所述第一组薄层的第二部分,其中所述第一组薄层的所述第二部分包含含所述自组装第一嵌段共聚物的第二嵌段的薄层。
18.如权利要求15所述的方法,其进一步包含选择性去除所述第二组薄层的第二部分,其中所述第二组薄层的所述第二部分包含含所述自组装第二嵌段共聚物的第二嵌段的薄层。
19.如权利要求14所述的方法,其中提供具有含多个堰的表面的衬底包含:
将抗蚀剂沉积于衬底表面上以形成厚度为Y的层,其中所述衬底具有相对于所述第一嵌段共聚物的每一嵌段而言为中性润湿的表面;
暴露所述抗蚀剂以形成线图案;
选择性去除所述抗蚀剂的所述经暴露或未暴露部分,其中留在所述衬底表面上的所述抗蚀剂由所述第一嵌段共聚物的一个嵌段选择性润湿。
20.如权利要求19所述的方法,其中所述中性润湿的表面是氢封端的硅表面。
21.如权利要求19所述的方法,其中所述中性润湿的表面是交联聚合物垫层。
22.如权利要求19所述的方法,其中所述中性润湿的表面是接枝聚合物。
23.如权利要求19所述的方法,其中所述抗蚀剂是选自由基于氢倍半硅氧烷的抗蚀剂、基于聚甲基丙烯酸甲酯的抗蚀剂、基于聚苯乙烯的抗蚀剂、和其组合组成的群组的电子束抗蚀剂。
24.如权利要求19所述的方法,其中所述抗蚀剂是选自由基于聚苯乙烯的抗蚀剂、基于聚(甲基)丙烯酸酯的抗蚀剂、和其组合组成的群组的光致抗蚀剂。
25.如权利要求19所述的方法,其中沉积所述抗蚀剂形成含金属层。
26.如权利要求25所述的方法,其中所述含金属层是氮化铝层。
27.如权利要求19所述的方法,其中沉积所述抗蚀剂形成含硅层。
28.如权利要求27所述的方法,其中所述含硅层选自由氧化硅(SiO2)、氮化硅(Si3N4)、氧碳化硅(SiO4C)、和其组合组成的群组。
29.如权利要求28所述的方法,其中所述抗蚀剂进一步包含接枝到所述含硅层表面上的所述第一嵌段共聚物的一个嵌段的均聚物。
30.如权利要求14所述的方法,其中提供具有含多个堰的表面的衬底包含:
提供具有表面的衬底;
在所述衬底表面上沉积厚度为Y的层;和
选择性蚀刻所述层以形成包含堰和沟槽的形貌;
其中所述层是含金属层。
31.如权利要求30所述的方法,其中所述含金属层是氮化铝层。
32.如权利要求14所述的方法,其中提供具有含多个堰的表面的衬底包含:
提供具有表面的衬底;
在所述衬底表面上沉积厚度为Y的层;和
选择性蚀刻所述层以形成包含堰和沟槽的形貌;
其中所述层是含硅层。
33.如权利要求32所述的方法,其中所述含硅层选自由氧化硅(SiO2)、氮化硅(Si3N4)、氧碳化硅(SiO4C)、和其组合组成的群组。
34.如权利要求14所述的方法,其中提供具有含多个堰的表面的衬底包含:
提供具有表面的衬底;
在所述衬底表面上沉积厚度为Y的层;
选择性蚀刻所述层以形成包含堰和沟槽的形貌;和
在将所述厚度为Y的层沉积于所述衬底表面上之前将相对于所述第一嵌段共聚物的每一嵌段而言为中性润湿的聚合物接枝到所述衬底表面。
35.如权利要求14所述的方法,其中提供具有含多个堰的表面的衬底包含:
提供具有表面的衬底;
在所述衬底表面上沉积厚度为Y的层;
选择性蚀刻所述层以形成包含堰和沟槽的形貌;和
在将厚度为Y的所述层沉积于所述衬底表面上之后将相对于所述第一嵌段共聚物的每一嵌段而言为中性润湿的聚合物选择性接枝到所述衬底表面。
36.如权利要求14所述的方法,其中提供具有含多个堰的表面的衬底包含:
提供具有表面的衬底;
在所述衬底表面上沉积厚度为Y的层;
选择性蚀刻所述层以形成包含堰和沟槽的形貌;和
将聚合物垫层沉积于所述衬底表面上和使所述聚合物垫层交联,且
其中所述聚合物垫层是在将厚度为Y的所述层沉积于所述衬底表面上之前或之后沉积。
37.如权利要求36所述的方法,其中所述衬底是半导体衬底或衬底组合件。
38.如权利要求36所述的方法,其中所述衬底是硅晶片。
39.如权利要求14所述的方法,其中将所述第一嵌段共聚物沉积于所述衬底表面上包含选自由旋涂、浸涂、喷涂、和其组合组成的群组的方法。
40.如权利要求14所述的方法,其中退火包含热退火、溶剂退火、或其组合。
41.如权利要求40所述的方法,其中退火包含将所述嵌段共聚物暴露于0℃到250℃的温度。
42.如权利要求40所述的方法,其中退火包含:
将所述嵌段共聚物暴露于可使所述嵌段共聚物的每一嵌段形成溶剂化物的溶剂的蒸气中;
使所述嵌段共聚物溶胀;和
去除至少一部分所述溶剂。
43.如权利要求14所述的方法,其中使包含所述自组装第一嵌段共聚物的所述第一嵌段的所述第一组薄层的所述部分交联包含将所述自组装第一嵌段共聚物的至少所述第一嵌段暴露于紫外辐射。
44.如权利要求14所述的方法,其中去除至少一部分形成所述堰的材料包含湿或干蚀刻法。
45.一种图案化衬底的方法,所述方法包含:
提供具有固有周期Lo的第一嵌段共聚物;
提供具有固有周期L′o的第二嵌段共聚物;
提供具有表面的衬底;
将厚度为Y的层沉积于所述衬底表面上;和
选择性蚀刻所述层以形成多个高度为Y的堰来形成包含堰和沟槽的表面形貌,其中:
每一沟槽具有相对于所述第一嵌段共聚物的每一嵌段而言为中性润湿的底板;
每一沟槽具有两个由所述第一嵌段共聚物的一个嵌段选择性润湿的侧壁;
每一沟槽具有宽度nLo,其中n为1到15;且
所述堰宽度/沟槽宽度比=mL′o/nLo,其中m为1到15;
将所述第一嵌段共聚物沉积于所述衬底表面上以形成厚度小于或等于Y的层;
使所述第一嵌段共聚物层退火以使所述第一嵌段共聚物自组装并在每一沟槽内形成第一组薄层,所述薄层基本上垂直于所述衬底表面且基本上与每一沟槽侧壁对齐;
使包含所述自组装第一嵌段共聚物的第一嵌段的所述第一组薄层的一部分交联;
去除至少一部分形成所述堰的材料以形成至少一个深度为Y’的开口,其中Y小于Y,其中:
所述至少一个开口具有相对于所述第二嵌段共聚物的每一嵌段而言为中性润湿的底板;
所述至少一个开口具有两个由所述第二嵌段共聚物的一个嵌段选择性润湿的侧壁;且
所述至少一个开口具有宽度mL′o,其中m为1到15;
将所述第二嵌段共聚物沉积于所述衬底表面以形成厚度小于或等于Y’的层;和
使所述第二嵌段共聚物层退火以使所述第二嵌段共聚物自组装并在所述至少一个开口内形成第二组薄层,所述薄层基本上垂直于所述衬底表面且基本上与每一开口侧壁对齐。
46.如权利要求45所述的方法,其中每一所述嵌段共聚物独立地选自由二嵌段共聚物、三嵌段共聚物、多嵌段共聚物、和其组合组成的群组。
47.如权利要求45所述的方法,其中Lo=L′o
48.如权利要求47所述的方法,其中Lo为10纳米到100纳米。
49.如权利要求45所述的方法,其中所述第一嵌段共聚物与所述第二嵌段共聚物相同。
50.如权利要求49所述的方法,其中所述第一和第二嵌段共聚物是基本上对称的二嵌段共聚物。
51.如权利要求45所述的方法,其中所述第一和第二嵌段共聚物中的至少一个是选自由以下组成的群组的二嵌段共聚物:聚苯乙烯-b-聚甲基丙烯酸甲酯嵌段共聚物、聚氧化乙烯-b-聚异戊二烯嵌段共聚物、聚氧化乙烯-b-聚丁二烯嵌段共聚物、聚氧化乙烯-b-聚苯乙烯嵌段共聚物、聚氧化乙烯-b-聚甲基丙烯酸甲酯嵌段共聚物、聚苯乙烯-b-聚乙烯基吡啶嵌段共聚物、聚苯乙烯-b-聚异戊二烯嵌段共聚物、聚苯乙烯-b-聚丁二烯嵌段共聚物、聚丁二烯-b-聚乙烯基吡啶嵌段共聚物、聚异戊二烯-b-聚甲基丙烯酸甲酯嵌段共聚物、和其组合。
52.如权利要求49所述的方法,其中所述第一和第二嵌段共聚物二者均为聚苯乙烯-b-聚甲基丙烯酸甲酯嵌段共聚物。
53.如权利要求45所述的方法,其中所述第一和第二嵌段共聚物中的至少一个是三嵌段或多嵌段共聚物,其具有三个或更多个选自由聚苯乙烯、聚甲基丙烯酸甲酯、聚氧化乙烯、聚异戊二烯、聚丁二烯、聚乙烯基吡啶、和其组合组成的群组的嵌段。
54.如权利要求45所述的方法,其进一步包含将聚合物垫层沉积于所述衬底表面上和使所述聚合物垫层交联,其中所述聚合物垫层是在将厚度为Y的所述层沉积于所述衬底表面上之前或之后沉积。
55.如权利要求55所述的方法,其中所述第一和第二嵌段共聚物中的至少一个是聚苯乙烯-b-聚甲基丙烯酸甲酯嵌段共聚物。
56.如权利要求54所述的方法,其中所述聚合物垫层是可交联的聚苯乙烯-r-聚甲基丙烯酸甲酯无规共聚物。
57.一种形成亚光刻导线的方法,所述方法包含:
提供具有固有周期Lo的嵌段共聚物;
提供包含多个自组装共聚物界定的沟槽的衬底,其中所述多个沟槽中的每一沟槽具有两个侧壁和宽度nLo,其中n为1到15;
将所述嵌段共聚物沉积于所述衬底表面上;
使所述嵌段共聚物退火以使所述嵌段共聚物自组装并在每一沟槽内形成一组薄层,所述薄层基本上垂直于所述衬底表面且基本上与每一沟槽侧壁对齐;
使所述组薄层的第一部分交联,其中所述第一部分包含含所述自组装嵌段共聚物的第一嵌段的薄层;
选择性去除所述组薄层的第二部分,其中所述第二部分包含含所述自组装嵌段共聚物的第二嵌段的薄层;
使用所述经交联薄层作为蚀刻掩模蚀刻所述衬底表面以形成亚光刻沟槽;和
将导电材料沉积到所述亚光刻沟槽中以形成亚光刻导线。
58.如权利要求57所述的方法,其中所述导电材料是含金属材料。
59.如权利要求57所述的方法,其中沉积所述导电材料包含通过蒸气沉积方法沉积含金属材料。
60.如权利要求59所述的方法,其中沉积所述导电材料包含通过化学蒸气沉积(CVD)或原子层沉积(ALD)方法沉积含金属材料。
61.如权利要求58所述的方法,其中所述导电材料是导电聚合物和/或含金属聚合物复合材料。
62.如权利要求61所述的方法,其中沉积所述导电材料包含选自由旋涂、浸涂、喷涂、和其组合组成的群组的方法。
63.如权利要求57所述的方法,进一步包含:在所述蚀刻之后,去除任何残余共聚物。
64.一种形成装置的方法,所述方法包括:
提供具有固有周期Lo的嵌段共聚物;
提供包含多个自组装共聚物界定的沟槽的衬底,其中所述多个沟槽中的每一沟槽具有两个侧壁和宽度nLo,其中n为1到15;
将所述嵌段共聚物沉积于所述衬底表面上;
使所述嵌段共聚物退火以使所述嵌段共聚物自组装并在每一沟槽内形成一组薄层,所述薄层基本上垂直于所述衬底表面且基本上与每一沟槽侧壁对齐;
使所述组薄层的第一部分交联,其中所述第一部分包含含所述自组装嵌段共聚物的第一嵌段的薄层;
选择性去除所述组薄层的第二部分,其中所述第二部分包含含所述自组装嵌段共聚物的第二嵌段的薄层;
使用所述经交联薄层作为蚀刻掩模蚀刻所述衬底表面以形成亚光刻沟槽;
将导电材料沉积到所述亚光刻沟槽中以形成亚光刻导线;和
沉积一个或更多个额外层以形成所述装置。
65.如权利要求64所述的方法,其中所述亚光刻导线是晶体管栅极。
66.如权利要求64所述的方法,进一步包含:在所述蚀刻之后,去除任何残余共聚物。
67.一种隔离有源区的方法,所述方法包含:
提供具有固有周期Lo的嵌段共聚物;
提供包含多个自组装共聚物界定的沟槽的衬底,其中所述多个沟槽中的每一沟槽具有两个侧壁和宽度nLo,其中n为1到15;
将所述嵌段共聚物沉积于所述衬底表面上;
使所述嵌段共聚物退火以使所述嵌段共聚物自组装并在每一沟槽内形成一组薄层,所述薄层基本上垂直于所述衬底表面且基本上与每一沟槽侧壁对齐;
使所述组薄层的第一部分交联,其中所述第一部分包含含所述自组装嵌段共聚物的第一嵌段的薄层;
选择性去除所述组薄层的第二部分,其中所述第二部分包含含所述自组装嵌段共聚物的第二嵌段的薄层;
使用所述经交联薄层作为蚀刻掩模蚀刻所述衬底表面以形成亚光刻沟槽;和
将绝缘材料沉积到所述亚光刻沟槽中以隔离有源区。
68.如权利要求67所述的方法,其中沉积所述绝缘材料包含使用蒸气沉积方法沉积。
69.如权利要求68所述的方法,其中沉积所述绝缘材料包含使用化学蒸气沉积(CVD)或原子层沉积(ALD)方法沉积。
70.如权利要求67所述的方法,其中沉积所述绝缘材料包含选自由旋涂、浸涂、喷涂、和其组合组成的群组的方法。
71.如权利要求67所述的方法,其中所述绝缘材料具有低介电常数。
72.如权利要求67所述的方法,进一步包含:在所述蚀刻之后,去除任何残余共聚物。
73.一种形成装置的方法,所述方法包括:
提供具有固有周期Lo的嵌段共聚物;
提供包含多个自组装共聚物界定的沟槽的衬底,其中所述多个沟槽中的每一沟槽具有两个侧壁和宽度nLo,其中n为1到15;
将所述嵌段共聚物沉积于所述衬底表面上;
使所述嵌段共聚物退火以使所述嵌段共聚物自组装并在每一沟槽内形成一组薄层,所述薄层基本上垂直于所述衬底表面且基本上与每一沟槽侧壁对齐;
使所述组薄层的第一部分交联,其中所述第一部分包含含所述自组装嵌段共聚物的第一嵌段的薄层;
选择性去除所述组薄层的第二部分,其中所述第二部分包含含所述自组装嵌段共聚物的第二嵌段的薄层;
使用所述经交联薄层作为蚀刻掩模蚀刻所述衬底表面以形成亚光刻沟槽;
将绝缘材料沉积到所述亚光刻沟槽中以隔离有源区;和
沉积一个或更多个额外层以形成所述装置。
74.如权利要求73所述的方法,其中所述绝缘材料具有低介电常数。
75.如权利要求73所述的方法,进一步包含:在所述蚀刻之后,去除任何残余共聚物。
CN2008800017448A 2007-02-08 2008-01-25 使用嵌段共聚物自组装进行亚光刻图案化的方法 Active CN101578232B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/703,911 2007-02-08
US11/703,911 US7964107B2 (en) 2007-02-08 2007-02-08 Methods using block copolymer self-assembly for sub-lithographic patterning
PCT/US2008/052022 WO2008097736A2 (en) 2007-02-08 2008-01-25 Methods using block copolymer self-assembly for sub-lithographic patterning

Publications (2)

Publication Number Publication Date
CN101578232A CN101578232A (zh) 2009-11-11
CN101578232B true CN101578232B (zh) 2012-05-30

Family

ID=39682332

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008800017448A Active CN101578232B (zh) 2007-02-08 2008-01-25 使用嵌段共聚物自组装进行亚光刻图案化的方法

Country Status (8)

Country Link
US (3) US7964107B2 (zh)
EP (1) EP2121514B1 (zh)
JP (1) JP5574089B2 (zh)
KR (1) KR101350072B1 (zh)
CN (1) CN101578232B (zh)
SG (1) SG178758A1 (zh)
TW (1) TWI469183B (zh)
WO (1) WO2008097736A2 (zh)

Families Citing this family (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3940546B2 (ja) 1999-06-07 2007-07-04 株式会社東芝 パターン形成方法およびパターン形成材料
US20110256308A1 (en) * 2001-03-30 2011-10-20 Buerger Jr Walter Richard Algorithmic processing to create features
US7579278B2 (en) * 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
JP4421582B2 (ja) * 2006-08-15 2010-02-24 株式会社東芝 パターン形成方法
US7790045B1 (en) * 2006-09-13 2010-09-07 Massachusetts Institute Of Technology Formation of close-packed sphere arrays in V-shaped grooves
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7767099B2 (en) * 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US7999160B2 (en) * 2007-03-23 2011-08-16 International Business Machines Corporation Orienting, positioning, and forming nanoscale structures
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US7923373B2 (en) * 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US7790350B2 (en) * 2007-07-30 2010-09-07 International Business Machines Corporation Method and materials for patterning a neutral surface
US8283258B2 (en) 2007-08-16 2012-10-09 Micron Technology, Inc. Selective wet etching of hafnium aluminum oxide films
JP4445538B2 (ja) * 2007-09-26 2010-04-07 株式会社東芝 パターン形成方法
US8105960B2 (en) * 2007-10-09 2012-01-31 International Business Machines Corporation Self-assembled sidewall spacer
KR101355167B1 (ko) * 2007-12-14 2014-01-28 삼성전자주식회사 적어도 세 개의 고분자 블록을 구비하는 블록 공중합체를이용한 미세 패턴 형성 방법
US8017194B2 (en) * 2008-01-17 2011-09-13 International Business Machines Corporation Method and material for a thermally crosslinkable random copolymer
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8215074B2 (en) * 2008-02-05 2012-07-10 International Business Machines Corporation Pattern formation employing self-assembled material
FR2927467B1 (fr) * 2008-02-08 2011-09-23 Commissariat Energie Atomique Procede de realisation d'une grille flottante ayant une alternance de lignes en premier et second materiaux
US8101261B2 (en) * 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7906031B2 (en) * 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US8114468B2 (en) * 2008-06-18 2012-02-14 Boise Technology, Inc. Methods of forming a non-volatile resistive oxide memory array
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8187480B2 (en) * 2008-11-13 2012-05-29 Seagate Technology, Llc Ultra thin alignment walls for di-block copolymer
US8362179B2 (en) 2008-11-19 2013-01-29 Wisconsin Alumni Research Foundation Photopatternable imaging layers for controlling block copolymer microdomain orientation
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
KR101572109B1 (ko) 2008-12-30 2015-11-27 삼성디스플레이 주식회사 나노 구조체의 제조 방법 및 이를 이용한 패턴의 제조 방법
KR101535227B1 (ko) * 2008-12-31 2015-07-08 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
US8361704B2 (en) 2009-01-12 2013-01-29 International Business Machines Corporation Method for reducing tip-to-tip spacing between lines
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8834956B2 (en) * 2009-06-22 2014-09-16 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
JP5222805B2 (ja) * 2009-07-09 2013-06-26 パナソニック株式会社 自己組織化パターン形成方法
JP5484817B2 (ja) * 2009-08-04 2014-05-07 株式会社東芝 パターン形成方法及び半導体装置の製造方法
US8247904B2 (en) * 2009-08-13 2012-08-21 International Business Machines Corporation Interconnection between sublithographic-pitched structures and lithographic-pitched structures
KR101109104B1 (ko) 2009-08-24 2012-02-16 한국기계연구원 나노선 패턴 형성 방법 및 선 편광자 제조 방법
JP5524228B2 (ja) * 2009-09-25 2014-06-18 株式会社東芝 パターン形成方法
KR101602942B1 (ko) * 2009-10-07 2016-03-15 삼성전자주식회사 패턴 형성 방법
US8623458B2 (en) * 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US8821978B2 (en) * 2009-12-18 2014-09-02 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8828493B2 (en) * 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8071467B2 (en) 2010-04-07 2011-12-06 Micron Technology, Inc. Methods of forming patterns, and methods of forming integrated circuits
JP2011243655A (ja) * 2010-05-14 2011-12-01 Hitachi Ltd 高分子薄膜、パターン媒体、及びこれらの製造方法、並びに表面改質材料
US8486611B2 (en) * 2010-07-14 2013-07-16 Micron Technology, Inc. Semiconductor constructions and methods of forming patterns
FR2963355B1 (fr) * 2010-07-30 2013-07-12 Centre Nat Rech Scient Films minces nanoorganises a base de copolymeres a blocs polysaccharidiques pour des applications en nanotechnologie.
US8304493B2 (en) * 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
JP5171909B2 (ja) * 2010-09-16 2013-03-27 株式会社東芝 微細パターンの形成方法
US9233840B2 (en) 2010-10-28 2016-01-12 International Business Machines Corporation Method for improving self-assembled polymer features
US8673541B2 (en) * 2010-10-29 2014-03-18 Seagate Technology Llc Block copolymer assembly methods and patterns formed thereby
WO2012071330A1 (en) 2010-11-24 2012-05-31 Dow Corning Corporation Controlling morphology of block copolymers
US8734904B2 (en) 2010-11-30 2014-05-27 International Business Machines Corporation Methods of forming topographical features using segregating polymer mixtures
US20120135159A1 (en) * 2010-11-30 2012-05-31 Seagate Technology Llc System and method for imprint-guided block copolymer nano-patterning
WO2012084558A1 (en) * 2010-12-23 2012-06-28 Asml Netherlands B.V. Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9134617B2 (en) * 2011-06-10 2015-09-15 Tokyo Ohka Kogyo Co., Ltd. Solvent developable negative resist composition, resist pattern formation method, and method for forming pattern of layer including block copolymer
US8956804B2 (en) * 2011-06-23 2015-02-17 Asml Netherlands B.V. Self-assemblable polymer and methods for use in lithography
US9285676B2 (en) 2011-06-23 2016-03-15 Asml Netherlands B.V. Self-assemblable polymer and method for use in lithography
CN102915907B (zh) 2011-08-02 2015-05-13 中芯国际集成电路制造(北京)有限公司 一种半导体器件制作方法
US20140178582A1 (en) 2011-08-22 2014-06-26 Dow Global Technologies Llc Composite membrane formed from polymer blend including self-assembling block copolymers
US8691925B2 (en) 2011-09-23 2014-04-08 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions of neutral layer for directed self assembly block copolymers and processes thereof
KR20130034778A (ko) * 2011-09-29 2013-04-08 주식회사 동진쎄미켐 유도된 자가정렬 공정을 이용한 반도체 소자의 미세패턴 형성 방법
WO2013050338A1 (en) * 2011-10-03 2013-04-11 Asml Netherlands B.V. Method to provide a patterned orientation template for a self-assemblable polymer
CN103035510B (zh) * 2011-10-08 2015-08-19 中芯国际集成电路制造(上海)有限公司 接触通孔刻蚀方法
US8703395B2 (en) * 2011-10-28 2014-04-22 Jsr Corporation Pattern-forming method
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
US10253187B2 (en) 2011-11-08 2019-04-09 Samsung Electronics Co., Ltd. Nano-structure of block copolymer and method of manufacturing the same
US8728714B2 (en) 2011-11-17 2014-05-20 Micron Technology, Inc. Methods for adhering materials, for enhancing adhesion between materials, and for patterning materials, and related semiconductor device structures
FR2983773B1 (fr) * 2011-12-09 2014-10-24 Arkema France Procede de preparation de surfaces
CN103187245B (zh) * 2011-12-30 2015-06-17 中芯国际集成电路制造(上海)有限公司 一种通过定向自组装嵌段共聚物的光刻方法
US9177794B2 (en) * 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US20130200498A1 (en) * 2012-02-03 2013-08-08 Applied Materials, Inc. Methods and apparatus for lithography using a resist array
CN104303103B (zh) * 2012-02-10 2019-04-26 得克萨斯大学体系董事会 用于薄膜嵌段共聚物的取向控制的酸酐共聚物的面涂层
US8961918B2 (en) 2012-02-10 2015-02-24 Rohm And Haas Electronic Materials Llc Thermal annealing process
US9440196B2 (en) 2012-02-21 2016-09-13 Dow Global Technologies Llc Composite membrane
US8686109B2 (en) * 2012-03-09 2014-04-01 Az Electronic Materials (Luxembourg) S.A.R.L. Methods and materials for removing metals in block copolymers
JP6306810B2 (ja) * 2012-03-14 2018-04-04 東京応化工業株式会社 下地剤、ブロックコポリマーを含む層のパターン形成方法
WO2013156240A1 (en) * 2012-04-20 2013-10-24 Asml Netherlands B.V. Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers
WO2013160027A1 (en) * 2012-04-27 2013-10-31 Asml Netherlands B.V. Methods and compositions for providing spaced lithography features on a substrate by self-assembly of block copolymers
US9005877B2 (en) * 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
US9298870B1 (en) 2012-05-16 2016-03-29 International Business Machines Corporation Method for designing topographic patterns for directing the formation of self-assembled domains at specified locations on substrates
FR2990885B1 (fr) * 2012-05-23 2014-09-19 Arkema France Procede de preparation de surfaces
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8821738B2 (en) 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc Thermal annealing process
US8821739B2 (en) 2012-07-12 2014-09-02 Rohm And Haas Electronic Materials Llc High temperature thermal annealing process
JP6239813B2 (ja) 2012-07-18 2017-11-29 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法
CN103633029B (zh) * 2012-08-28 2016-11-23 中国科学院微电子研究所 半导体结构及其制造方法
JP5818760B2 (ja) 2012-09-07 2015-11-18 株式会社東芝 パターン形成方法
JP5758363B2 (ja) * 2012-09-07 2015-08-05 株式会社東芝 パターン形成方法
US9034197B2 (en) 2012-09-13 2015-05-19 HGST Netherlands B.V. Method for separately processing regions on a patterned medium
US9153477B2 (en) * 2012-09-28 2015-10-06 Intel Corporation Directed self assembly of block copolymers to form vias aligned with interconnects
JP6141144B2 (ja) * 2012-10-02 2017-06-07 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US8822130B2 (en) * 2012-11-19 2014-09-02 The Texas A&M University System Self-assembled structures, method of manufacture thereof and articles comprising the same
US9223214B2 (en) * 2012-11-19 2015-12-29 The Texas A&M University System Self-assembled structures, method of manufacture thereof and articles comprising the same
US8956808B2 (en) * 2012-12-04 2015-02-17 Globalfoundries Inc. Asymmetric templates for forming non-periodic patterns using directed self-assembly materials
WO2014098025A1 (ja) * 2012-12-18 2014-06-26 日産化学工業株式会社 スチレン構造を含む自己組織化膜の下層膜形成組成物
KR101993255B1 (ko) * 2013-01-07 2019-06-26 삼성전자주식회사 콘택 홀 형성 방법
US8790522B1 (en) * 2013-02-11 2014-07-29 Globalfoundries Inc. Chemical and physical templates for forming patterns using directed self-assembly materials
JP2014170802A (ja) * 2013-03-01 2014-09-18 Toshiba Corp パターン形成方法
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US8980538B2 (en) * 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US20140273534A1 (en) * 2013-03-14 2014-09-18 Tokyo Electron Limited Integration of absorption based heating bake methods into a photolithography track system
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140273290A1 (en) * 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP5802233B2 (ja) * 2013-03-27 2015-10-28 株式会社東芝 パターン形成方法
KR102245179B1 (ko) 2013-04-03 2021-04-28 브레우어 사이언스, 인코포레이션 지향성 자가 조립용 블록 공중합체에 사용하기 위한 고도로 내에칭성인 중합체 블록
KR101961387B1 (ko) * 2013-04-10 2019-03-25 에스케이하이닉스 주식회사 반도체 장치의 제조 방법
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US10457088B2 (en) * 2013-05-13 2019-10-29 Ridgefield Acquisition Template for self assembly and method of making a self assembled pattern
JP5981392B2 (ja) 2013-06-19 2016-08-31 株式会社東芝 パターン形成方法
FR3008986B1 (fr) 2013-07-25 2016-12-30 Arkema France Procede de controle de la periode caracterisant la morphologie obtenue a partir d'un melange de copolymere a blocs et de (co) polymeres de l'un des blocs
WO2015034690A1 (en) 2013-09-04 2015-03-12 Tokyo Electron Limited Uv-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
WO2015035088A1 (en) 2013-09-05 2015-03-12 Applied Materials, Inc Methods and apparatus for forming a resist array using chemical mechanical planarization
US9405189B2 (en) * 2013-09-06 2016-08-02 Rohm And Haas Electronic Materials Llc Self-assembled structures, method of manufacture thereof and articles comprising the same
US10078261B2 (en) 2013-09-06 2018-09-18 Rohm And Haas Electronic Materials Llc Self-assembled structures, method of manufacture thereof and articles comprising the same
FR3010413B1 (fr) * 2013-09-09 2015-09-25 Arkema France Procede de controle de la periode d'un assemblage nano-structure comprenant un melange de copolymeres a blocs
JP5904981B2 (ja) * 2013-09-09 2016-04-20 株式会社東芝 パターン形成方法、磁気記録媒体の製造方法、及び磁気記録媒体
FR3010412B1 (fr) * 2013-09-09 2016-10-21 Arkema France Procede d'obtention de films epais nano-structures obtenus a partir de copolymeres a blocs
FR3010414B1 (fr) * 2013-09-09 2015-09-25 Arkema France Procede d'obtention de films epais nano-structures obtenus a partir d'une composition de copolymeres a blocs
TWI615885B (zh) * 2013-09-12 2018-02-21 聯華電子股份有限公司 圖案化的方法
US9625815B2 (en) * 2013-09-27 2017-04-18 Intel Corporation Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
US9177795B2 (en) * 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US9093263B2 (en) 2013-09-27 2015-07-28 Az Electronic Materials (Luxembourg) S.A.R.L. Underlayer composition for promoting self assembly and method of making and using
JP2015076108A (ja) * 2013-10-07 2015-04-20 株式会社東芝 パターン形成方法、及び磁気記録媒体の製造方法
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
WO2015067433A1 (en) * 2013-11-08 2015-05-14 Asml Netherlands B.V. Methodology to generate a guiding template for directed self-assembly
JP6419820B2 (ja) 2013-12-06 2018-11-07 エルジー・ケム・リミテッド ブロック共重合体
EP3078691B1 (en) 2013-12-06 2018-04-18 LG Chem, Ltd. Block copolymer
JP6521975B2 (ja) 2013-12-06 2019-05-29 エルジー・ケム・リミテッド ブロック共重合体
CN105899557B (zh) * 2013-12-06 2018-10-26 株式会社Lg化学 嵌段共聚物
JP6402867B2 (ja) 2013-12-06 2018-10-10 エルジー・ケム・リミテッド ブロック共重合体
JP6410327B2 (ja) 2013-12-06 2018-10-24 エルジー・ケム・リミテッド ブロック共重合体
EP3078693B1 (en) 2013-12-06 2021-01-27 LG Chem, Ltd. Block copolymer
EP3078686B1 (en) 2013-12-06 2018-10-31 LG Chem, Ltd. Block copolymer
US10202480B2 (en) 2013-12-06 2019-02-12 Lg Chem, Ltd. Block copolymer
CN105899558B (zh) 2013-12-06 2018-09-18 株式会社Lg化学 嵌段共聚物
JP6496318B2 (ja) 2013-12-06 2019-04-03 エルジー・ケム・リミテッド ブロック共重合体
JP6483694B2 (ja) 2013-12-06 2019-03-13 エルジー・ケム・リミテッド 単量体およびブロック共重合体
WO2015084122A1 (ko) 2013-12-06 2015-06-11 주식회사 엘지화학 블록 공중합체
CN105934456B (zh) * 2013-12-06 2018-09-28 株式会社Lg化学 嵌段共聚物
US9181449B2 (en) 2013-12-16 2015-11-10 Az Electronic Materials (Luxembourg) S.A.R.L. Underlayer composition for promoting self assembly and method of making and using
FR3014877B1 (fr) * 2013-12-17 2017-03-31 Arkema France Procede de nanostructuration d'un film de copolymere a blocs a partir d'un copolymere a blocs non structure a base de styrene et de methacrylate de methyle, et film de copolymere a blocs nanostructure
KR101674972B1 (ko) 2013-12-26 2016-11-10 한국과학기술원 나노 스케일 패터닝 방법 및 이로부터 제조된 전자기기용 집적소자
JP6558894B2 (ja) 2013-12-31 2019-08-14 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC コポリマーの設計、その製造方法およびそれを含む物品
JP2015129261A (ja) * 2013-12-31 2015-07-16 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC ブロックコポリマーのアニール方法およびブロックコポリマーから製造する物品
JP6702649B2 (ja) 2013-12-31 2020-06-03 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC ブロックコポリマーの性質を制御する方法及びブロックコポリマーから製造された物品
KR102364329B1 (ko) * 2014-01-16 2022-02-17 브레우어 사이언스, 인코포레이션 유도 자가-조립용 하이-카이 블록 공중합체
TWI648320B (zh) * 2014-01-23 2019-01-21 東京應化工業股份有限公司 含相分離結構之結構體之製造方法、圖型形成方法、微細圖型形成方法
US9195132B2 (en) * 2014-01-30 2015-11-24 Globalfoundries Inc. Mask structures and methods of manufacturing
KR102160791B1 (ko) 2014-02-03 2020-09-29 삼성디스플레이 주식회사 블록 공중합체 및 이를 사용한 패턴 형성 방법
KR102176758B1 (ko) * 2014-02-10 2020-11-10 에스케이하이닉스 주식회사 블록 코폴리머를 이용한 패턴 형성을 위한 구조 및 패턴 형성 방법
US9489974B2 (en) 2014-04-11 2016-11-08 Seagate Technology Llc Method of fabricating a BPM template using hierarchical BCP density patterns
JP6177723B2 (ja) * 2014-04-25 2017-08-09 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US10410914B2 (en) 2014-05-28 2019-09-10 Asml Netherlands B.V. Methods for providing lithography features on a substrate by self-assembly of block copolymers
JP6122906B2 (ja) 2014-06-27 2017-04-26 ダウ グローバル テクノロジーズ エルエルシー ブロックコポリマーを製造するための方法およびそれから製造される物品
JP6356096B2 (ja) * 2014-06-27 2018-07-11 ダウ グローバル テクノロジーズ エルエルシー ブロックコポリマーを製造するための方法およびそれから製造される物品
US9275896B2 (en) * 2014-07-28 2016-03-01 GlobalFoundries, Inc. Methods for fabricating integrated circuits using directed self-assembly
JP2016058620A (ja) * 2014-09-11 2016-04-21 株式会社東芝 半導体装置の製造方法
FR3025937B1 (fr) * 2014-09-16 2017-11-24 Commissariat Energie Atomique Procede de grapho-epitaxie pour realiser des motifs a la surface d'un substrat
JP2016066644A (ja) 2014-09-22 2016-04-28 株式会社東芝 記憶装置の製造方法
JP6394798B2 (ja) 2014-09-30 2018-09-26 エルジー・ケム・リミテッド ブロック共重合体
EP3214102B1 (en) 2014-09-30 2022-01-05 LG Chem, Ltd. Block copolymer
CN107075054B (zh) 2014-09-30 2020-05-05 株式会社Lg化学 嵌段共聚物
US10287429B2 (en) 2014-09-30 2019-05-14 Lg Chem, Ltd. Block copolymer
CN107075052B (zh) 2014-09-30 2020-05-29 株式会社Lg化学 嵌段共聚物
JP6532941B2 (ja) 2014-09-30 2019-06-19 エルジー・ケム・リミテッド ブロック共重合体
JP6451966B2 (ja) 2014-09-30 2019-01-16 エルジー・ケム・リミテッド ブロック共重合体
US10633533B2 (en) 2014-09-30 2020-04-28 Lg Chem, Ltd. Block copolymer
JP6633062B2 (ja) 2014-09-30 2020-01-22 エルジー・ケム・リミテッド パターン化基板の製造方法
CN107078026B (zh) 2014-09-30 2020-03-27 株式会社Lg化学 图案化基底的制备方法
KR20160056457A (ko) 2014-11-11 2016-05-20 삼성디스플레이 주식회사 와이어 그리드 편광자 및 이의 제조방법
US9385129B2 (en) * 2014-11-13 2016-07-05 Tokyo Electron Limited Method of forming a memory capacitor structure using a self-assembly pattern
EP3238234A4 (en) * 2014-12-24 2018-08-22 Intel Corporation Photodefinable alignment layer for chemical assisted patterning
KR101969337B1 (ko) * 2015-02-17 2019-04-17 주식회사 엘지화학 블록 공중합체 박막의 용매 어닐링 방법 및 장치
KR101985802B1 (ko) 2015-06-11 2019-06-04 주식회사 엘지화학 적층체
KR102508525B1 (ko) 2015-10-19 2023-03-09 삼성전자주식회사 블록 코폴리머 및 이를 이용한 집적회로 소자의 제조 방법
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
KR102637883B1 (ko) * 2015-12-11 2024-02-19 아이엠이씨 브이제트더블유 기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용
WO2017111926A1 (en) * 2015-12-21 2017-06-29 Intel Corporation Triblock copolymers for self-aligning vias or contacts
US9818623B2 (en) 2016-03-22 2017-11-14 Globalfoundries Inc. Method of forming a pattern for interconnection lines and associated continuity blocks in an integrated circuit
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
JP6997764B2 (ja) 2016-08-18 2022-01-18 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 自己組織化用途用のポリマー組成物
US9818641B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in mandrel and a non-mandrel lines of an array of metal lines
US9818640B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in a non-mandrel line of an array of metal lines
US9852986B1 (en) * 2016-11-28 2017-12-26 Globalfoundries Inc. Method of patterning pillars to form variable continuity cuts in interconnection lines of an integrated circuit
US11078337B2 (en) 2016-12-14 2021-08-03 Brewer Science, Inc. High-χ block copolymers for directed self-assembly
US10002786B1 (en) 2016-12-15 2018-06-19 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned variable length continuity cuts
US10043703B2 (en) 2016-12-15 2018-08-07 Globalfoundries Inc. Apparatus and method for forming interconnection lines having variable pitch and variable widths
US9812351B1 (en) 2016-12-15 2017-11-07 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned continuity cuts
US9887127B1 (en) 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
FR3060422B1 (fr) * 2016-12-16 2019-05-10 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de fonctionnalisation d'un substrat
SG10202108825RA (en) 2016-12-21 2021-09-29 Ridgefield Acquisition Novel compositions and processes for self-assembly of block copolymers
JP6811638B2 (ja) 2017-02-14 2021-01-13 株式会社Screenホールディングス 基板処理方法及びその装置
US20180323061A1 (en) * 2017-05-03 2018-11-08 Tokyo Electron Limited Self-Aligned Triple Patterning Process Utilizing Organic Spacers
KR102359267B1 (ko) 2017-10-20 2022-02-07 삼성전자주식회사 집적회로 소자 및 그 제조 방법
CN109712871B (zh) * 2018-12-27 2021-09-21 中国科学院微电子研究所 半导体结构与其制作方法
KR20200082076A (ko) 2018-12-28 2020-07-08 삼성전자주식회사 캐패시터를 갖는 반도체 소자 및 그 형성 방법
CN113299684A (zh) * 2021-04-27 2021-08-24 长江先进存储产业创新中心有限责任公司 存储器地址线的制作方法
KR20220149828A (ko) 2021-04-30 2022-11-09 삼성전자주식회사 반도체 소자

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1527960A (zh) * 2001-01-08 2004-09-08 �Ҵ���˾ 微结构的制造方法
US7045851B2 (en) * 2003-06-20 2006-05-16 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1374310A4 (en) 2001-03-14 2008-02-20 Univ Massachusetts NANOFABRICATION
US6746825B2 (en) 2001-10-05 2004-06-08 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
JP3967114B2 (ja) * 2001-11-22 2007-08-29 株式会社東芝 加工方法
US20040142578A1 (en) 2002-03-28 2004-07-22 Ulrich Wiesner Thin film nanostructures
US20040124092A1 (en) 2002-12-30 2004-07-01 Black Charles T. Inorganic nanoporous membranes and methods to form same
JP3926360B2 (ja) * 2004-10-13 2007-06-06 株式会社東芝 パターン形成方法およびそれを用いた構造体の加工方法
WO2006112887A2 (en) 2004-11-22 2006-10-26 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films
US20080032238A1 (en) * 2004-11-23 2008-02-07 Lu Jennifer Q System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth
JP2006215052A (ja) * 2005-02-01 2006-08-17 Hitachi Maxell Ltd 細溝形成方法及びそれによって得られた細溝基板
US20060249784A1 (en) 2005-05-06 2006-11-09 International Business Machines Corporation Field effect transistor device including an array of channel elements and methods for forming
JP2006324501A (ja) 2005-05-19 2006-11-30 Toshiba Corp 相変化メモリおよびその製造方法
US7723009B2 (en) * 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US7605081B2 (en) * 2006-06-19 2009-10-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
JP4673266B2 (ja) * 2006-08-03 2011-04-20 日本電信電話株式会社 パターン形成方法及びモールド
US8394483B2 (en) * 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7999160B2 (en) * 2007-03-23 2011-08-16 International Business Machines Corporation Orienting, positioning, and forming nanoscale structures
US7959975B2 (en) * 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US7521094B1 (en) * 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
US8215074B2 (en) * 2008-02-05 2012-07-10 International Business Machines Corporation Pattern formation employing self-assembled material
KR102017205B1 (ko) * 2012-12-07 2019-09-03 삼성디스플레이 주식회사 나노 구조체의 제조 방법 및 이를 이용한 패턴 형성 방법
KR101993255B1 (ko) * 2013-01-07 2019-06-26 삼성전자주식회사 콘택 홀 형성 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1527960A (zh) * 2001-01-08 2004-09-08 �Ҵ���˾ 微结构的制造方法
US7045851B2 (en) * 2003-06-20 2006-05-16 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
S.M.Park et al.Directed Assembly of Lamellae- Forming Block Copolymers by Using Chemically and Topographically Patterned Substrates.《Advanced Materials》.2007,第19卷(第4期),第607-611页. *

Also Published As

Publication number Publication date
US20110240596A1 (en) 2011-10-06
US20130270226A1 (en) 2013-10-17
JP5574089B2 (ja) 2014-08-20
SG178758A1 (en) 2012-03-29
WO2008097736A2 (en) 2008-08-14
KR20100014768A (ko) 2010-02-11
TW200845124A (en) 2008-11-16
TWI469183B (zh) 2015-01-11
US20080193658A1 (en) 2008-08-14
EP2121514A2 (en) 2009-11-25
CN101578232A (zh) 2009-11-11
US8562844B2 (en) 2013-10-22
US8974678B2 (en) 2015-03-10
JP2010522643A (ja) 2010-07-08
EP2121514B1 (en) 2016-03-09
KR101350072B1 (ko) 2014-01-14
WO2008097736A3 (en) 2008-11-20
US7964107B2 (en) 2011-06-21

Similar Documents

Publication Publication Date Title
CN101578232B (zh) 使用嵌段共聚物自组装进行亚光刻图案化的方法
US11538684B2 (en) UV-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
KR101097557B1 (ko) 블록 공중합체 자기 조립에 의하여 형성되는 서브 리소그라피 지름을 갖는 2차원 홀 어레이
US7790350B2 (en) Method and materials for patterning a neutral surface
US8088551B2 (en) Methods of utilizing block copolymer to form patterns
US8409449B2 (en) Registered structure formation via the application of directed thermal energy to diblock copolymer films
US9087699B2 (en) Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
JP5596133B2 (ja) ブロック共重合体を用いてホール又はビアを有するデバイスを形成する方法
KR101166619B1 (ko) 부트스트랩 자기 템플레이팅 방법을 통한 자기조립되는 구조의 증가된 차원으로의 연장
TW200921786A (en) Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
CN101978469A (zh) 具有受限于以均等优先湿润两嵌段的上界面的嵌段共聚物膜的热退火
KR102127784B1 (ko) 패턴 형성 방법
TW201935521A (zh) 在基材上形成化學引導結構的方法及化學磊晶方法
JP2012521661A (ja) 基板上にパターンを形成する方法
KR20090009788A (ko) 토포그래피 지향 패터닝
US9892918B2 (en) Method of forming pattern of semiconductor device
JP2018160537A (ja) パターン形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant