TWI335247B - Method and apparatus for cleaning a semiconductor substrate - Google Patents

Method and apparatus for cleaning a semiconductor substrate Download PDF

Info

Publication number
TWI335247B
TWI335247B TW096100024A TW96100024A TWI335247B TW I335247 B TWI335247 B TW I335247B TW 096100024 A TW096100024 A TW 096100024A TW 96100024 A TW96100024 A TW 96100024A TW I335247 B TWI335247 B TW I335247B
Authority
TW
Taiwan
Prior art keywords
substrate
cleaning
solid
active solution
cleaning element
Prior art date
Application number
TW096100024A
Other languages
English (en)
Other versions
TW200740536A (en
Inventor
Erik M Freer
Larios John M De
Katrina Mikhaylichenko
Michael Ravkin
Mikhail Korolik
Fred C Redeker
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/612,352 external-priority patent/US8316866B2/en
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200740536A publication Critical patent/TW200740536A/zh
Application granted granted Critical
Publication of TWI335247B publication Critical patent/TWI335247B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/12Water-insoluble compounds
    • C11D3/14Fillers; Abrasives ; Abrasive compositions; Suspending or absorbing agents not provided for in one single group of C11D3/12; Specific features concerning abrasives, e.g. granulometry or mixtures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/0004Non aqueous liquid compositions comprising insoluble particles
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/0008Detergent materials or soaps characterised by their shape or physical properties aqueous liquid non soap compositions
    • C11D17/0013Liquid compositions with insoluble particles in suspension
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02096Cleaning only mechanical cleaning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/10Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by other chemical means
    • C11D2111/22
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles

Description

1335247 九、發明說明: 【發明所屬之技術領域】 本發明係關於半導體基板之清理方法與設備。 【先前技術】 在製造半導體裝置如積體電路、記 間’施行一系列的製造操作以將特徵“^導、=者日之期 S ί些3 ii 了以多層結構之形式形成_基板 = 來與其他導電層絕緣。 之導電層係糟由介電材料 物中喿作期間晶圓表面會暴露至各種類型的,、亏仇 例如,污染源尤其可包含處理素^n才枓白為潛在的污染源。 ,自晶圓表*清洗污的的方 產生不良影響可能會是極困難的任務。 污染。當ίίΐϋϊίί多倚賴機械力來自晶圓表面移除微粒 至晶圓表面而且變得更脆弱時’因施加機械力 除了更進㈣的機械力衝擊時,容易倒塌或斷裂。 微教污染可ϊ二亏染的尺寸縮小。尺寸充分小的 特徵部包_溝;面上之區域’例如被高深寬比之 筹槽°p或導線的橋接部等。因此在現代半導體製造 5 ⑶5247 操作與上述之積體電路製迕丄.平面顯示器用之製造 移除-物的技術:=¾清:術任何需要 【發明内容】 來滿足上麵求ίίίί '洗f概清洗溶液 系統在設備=。例包含如 活性溶液施加至基板表面心方法開始時,將 J面之表面接觸。此活性溶液被吸收係:體清理 表面彼此相 具有-外表_ _材=、= f理設備包含: 該基板表面接^的力。枝禮該固體材料並施加使該外表面 含:板ί:系統。此清理系統包 件,具右-^^至基板表面。該清理系統包含.:_清理元 該清 與 用 體輸送系統係 _SSS!== 使該裸露與=表獅用以在清 在仍更另一實施例中,提供一美生理: 、 使基板表面與固體、、音踩 /、 一土 m方法。該方法包含: 件與該基板表面對,=之一表面接觸,及迫使該固體清理元 中之-者來使該固體清理元件或基板 此來進仃移動,其中該移動使得該固體清理元 1335247 件之該表面產生塑性形變,藉此將一層固體清理元件沈積至基板 表面上。此方法更包含:自基板表面沖去該層固體清理元件。 在另一實施例中,提供一種基板清理設備。此清理設備包含 具f外表面的固體材料。當基板或固體材料中之一者相對於彼此 進行移動時,該外表面會回應被施加至固體材料上的正向及/或切 ,力而產生塑性形變’以避錄基板造成損害。該清理設備包含: 支樓結構,用以支樓固體材料並傳遞向下力。 結合了本發明之關並關示性之方式來說明本發明 之坪、、,田敘述,本發明之其他態樣與優點將愈形清晰。 【實施方式】 睁解在種具體細㈣提供對於本發明的全面 體,的⑥兄下施行本發曰月。在其他情況下便:二 理操作,以免不必要地模糊本發明冬焦點。 α地 此文中所述之實施例提供了一種清理 觸且有效地自可能包含高深寬比特徵部的半導體、2、1研磨接 =,但此些清理技術可延伸至需要自¥表^移理^:2定實 =文:⑽相清理媒介相對 :細圓表面二内:料,, 右赛固體清理元件來作為單相清理媒介,其中該施例 =。^在特抒施例中將該成分稱^體^理^板表面 々理70件可意指圓盤、條狀物等。在—實施理7°件,但固體 Ϊ由所構成’然而,亦可使用其他的材料來^眚理元件 ί二材料可包含聚合物、烷基磺酸同的效 基膦酸酉曰、生物聚合物、蛋白質等。 α基磷酸酯、烷 1335247 〜f 1A係根據本發明之一實施例之高位準單相清理操作的簡 =示思圖。固體清理元件1〇〇係位於基板1〇2之上方。固體清理 ,件100係由蛱鏈所構成,可選自公知之脂肪酸如肉豆蔻酸 myristic)、棕櫚酸(palmitic)、硬脂酸(stearic)等。在一實施 L用於固體清理元件1GQ的材料為鏈結中具有人或更多碳原 鏈。應注意:在具有約十或更多碳原子的情況下,該鏈結 ^溫下可為S]體。然而’在另—實施射,固體清理元件1〇〇 1 =具有少於人個碳原子之碳鏈所構成,而可在低於室溫之環境 inn =4?清理凡件’以使該成分為固體。可選擇固體清理元件 ^0 =械特性,俾使固體清理元件具有之硬度小於基板⑽上的 實施例中,在固體清理元件⑽與基板⑽間相 接觸且進订相對移動時,固體清理元件1〇〇經歷塑性形變。 十藉中所示,某些材料自固體清理元件100被擦掉並 Z上作為包覆層⑽。在另一實施例中,自基板102 至ΐίί覆ΐ ί使得包覆層及受困於基板102上的污染物一起受 文中所使用之塑性形變意指固體清理元件無法再保 Ϊ性^性變形(細价办也)或塑性流動之點。 吊在剪應力下發生,與在正向應力下發生的脆斷 kmrrrres)相反。因此’自固體清觀件之橫向遷移或 102之移動之施加至固體清理元件1〇〇的向下力與 沖⑽的下表面產生塑性形變。接著,將膜層103 表面上··在—實施例中,固體清理元件將與基板102 $ 作物產生交互作用,而污染物會隨著薄膜103 -起被 化干本發明之—實補之冑辦單相清理操作的簡 =不思圖。固體清理元件⑽係佈置於基板⑽之上方 =2之上表面上有活性層1〇4。在一 立 薄水性層。在-實叫驗性添力== 下歹J各者所構成之族群:氫氧倾、氫氧化納、氫氧化鉀、三乙 8 1335247 可化四甲録。應注意··驗性添加物並不限於上列化合物, 鏈所ϊί以與水性層結合的任何鹼。固體清理元件係由碳 Tmi . + · ’、可選自公知之脂肪酸如肉豆蔻酸(myristic)、棕櫊酸 ' ^Si(stearic)^^^^^(alkylsulphonates) 酯(&1^1油〇印11〇11的6幻等。在一實施例中,固體清理 之材料為鍵結中具有八個或更多碳原子的碳鏈。應注意: 有射或更多碳原子的情況下,該鏈結在室溫下可為固體。 ill巧—實施财’固體清理元件可由具有少於十個碳之碳 =構成’可在低於室溫之環境巾使用此_清理元件俾使此成 为為固體狀態。熟知此項技藝者應注意:可經由任何習知之可行 方式來將活性層104佈置於基板102之上表面上。例如,可藉由 噴塗或其他習知之可行方式來將活性層傾注至基板1〇2上。 在該成分為脂肪酸的一實施例中,可使用下列之例示性化合 物來構成該成分。應注意,驗_基本上代表碳原子形成開^ 之有機化合物所定義的任何酸。脂肪酸為可被用作為如上所討論 之固體清理材料的脂肪族酸的一實例。可用作為固體清理元件的 脂肪酸尤其包含:月桂酸(lauric)、棕橺酸(palmitic)、硬脂酸 (stearic)、油酸(oleic)、亞麻油酸(lin〇leic)、次亞麻油酸 (linolemc)、花生四浠酸(arachid〇nic)、鳕烯酸(gad〇ieic)、 十八稀酸(eurcic)、丁酸(butyric)、己酸(caproic)、辛酸 (caprylic)、肉豆寇酸(myxistic)、十七酸(margaric)、山杳酸 (behenic)、(lignoseric)、肉豆蔻烯酸(myristoleic)、棕櫚烯 酸(palmitoleic)、(nervanic)、杷荏酸(parinaric)、二十碳五 烯酸(timnodonic)、順廿二碳43 -烯酸(brassic)、鲦魚酸 (clupanodonicacid)、木臘酸(lignocericacid)、蠟酸(cen^ic acid)及其混合物。在一實施例中,固體清理元件可代表由各種碳 鏈長度自C-1至約C-26所定義之脂肪酸。羧酸基本上係由包含了 一或多個羧酸基團(C00H)之有基酸所定義。當使用羧酸作為固體 清理元件時,羧酸可包含各種碳鏈長度自C-1至約C-100的混合 1335247 物。又’羧酸可包含下列功能基團例如卻不限於:甲基(methyl)、 乙綿基(vinyl)、块基(alkyne)、氣基(amide)、一級胺(primary amine)、二級胺(secondary amine)、三級胺(tertiary amine)、 偶氮(azo)、氰基(nitrile)、硝基(nitro)、亞硝基(nitroso)、 D比啶基(pyridyl)、過氧基(peroxy)、醛(aldehyde)、酮(ketone)、 • 一級亞胺(primary imine)、二級亞胺(secondary imine)、醚 (ether) ' 酯(ester)、鹵素(halogen)、異氰基(iS0Cyanate)、異 ·-硫氰基(isothiocyanate)、苯基(phenyl)、苄基(benzyl)、磷酸 •雙酯基(phosphodiester)、硫氫基(sulfhydryl)。 圖2B係根據本發明之一實施例顯示下降固體成分以與水性 膜及基板相接觸的簡單示意圖。固體清理元件1〇〇被降下以與活 性層104與基板1〇2之上表面接觸。由於固體清理元件與活 性層104間之接觸,若活性層之PH值係接近固體清理元件的pKa, 則與活性層接觸之固體清理元件的部分變得離子化。在一實施例 中,活性層104之pH值高於脂肪酸之pKa。當使用硬脂酸時,pKa 、·勺為10. 2。然而,熟知此項技藝者應注意:離子化係取決於脂肪 酸的本質。即,pKa會隨著碳鏈長度而作改變。因此,對於石黃酸及 膦酸而言,可依據對應的pKa來將不同的pH值應用於活性層1〇4。 在另一實施例中,活性層被吸收且擴散進入固體清理元件,使固 % 體清理元件在相對於固體清理元件100之其餘部分的區域106中 膨脹且機械性質受到改變。區域1〇6的尺寸取決於固體清理圓盤 及活性層材料。即使在靠近表面處固體清理元件之材料性質改 ,變’但區域仍被視為是固體且成分1〇〇可被理解為一個單相 C即’固相)’其中區域106較成分10〇之其餘部分更軟。在—實 -施例中’區域106部分會被擦掉並沈積於基板1〇2上成為包覆層、, 如圖1B中所討論之層1〇3。在另一實施例中,自基板1〇2沖掉曰包 覆層,並移除基板上之包覆層與任何污染物。在另一實施例中, 活性層104可為介面活性劑/分散劑。此處,介面活性劑/分散劑 將包含離子分子。在一實施例中,使用介面活性劑如十二烷基& 10 1335247 §^(ammonium dodecyl sulphate)。在使用了介面活性劑之實施 例中,可使用任何已知之非離子、離子或兩性離子介面活性劑。 固體清理元件100之部分的活化導致清理表面有效地清理基板表 面,如同在審理中之申請案件中所述。 . 严#3Α係,據本發明之一實施例顯示使用單相成分之清理設 *備的簡單側面示意圖。在此實施例中,顯示固體清理元件U8a至 118c為長條。具有基板之傳送機構功能的傳送器11 〇藉由滾輪i i 2 所驅動,並支撐將受到固體清理元件118a至118c清理的基板。 •,上的活性層104係經由儲槽114並藉由噴嘴116輸送而提供至 φ母—基板,但有可能不施加活性層。應注意:噴嘴與儲槽可對應 至每一固體清理元件1183至118c,但為了便於表示而未圖示出。 在此方式下,可將導向不同應用的清理施加至清理過的基板。固 體清理το件118a至118c可在相對於基板表面之垂直方向上進行 移動。應注意:可使用平衡環技術來支撐每—固體清理元件n8a 至 118c。 圖3B為根據本發明之一實施例之圖3A中之清理設備的俯視 圖。如所示,傳送機構丨1〇支撐基板102a至102c。在一實施例中, ,,機構110能夠夾持基板以穩固地支撐基板,亦能夠旋轉基板。 =母一基板位於對應清理元件之清理區域中時(即,長條之下表面 鲁月b夠與基板之上表面接觸時),清理元件被降下以與基板接觸。活 性層在接觸固體清理元件之前已被施加至基板,以清理對應的基 =。因此,當基板l〇2a至l〇2c橫跨路徑時,其會受到對應固體 .件118a至i18c之清理。傳送器11〇移動之相對速率足以 =許,一帶著對應基板l〇2a至l〇2c之固體清理元件具有必要的 •停留時間。在一實施例中,每一固體清理元件的成分可不同。即, I使用不同的脂肪酸或其他非脂肪酸材料及/或不同的活性劑以 k供連續的目標清理。例如,第一元件可以針對 物’但其他元件可針難鋪韻污雜。 圖4係根據本發明之一實施例之單相清理固體的之另一實施 11 1335247 3簡4匕:ΐ圖。此處’除了圓盤或長條外,該單相清理固體為 滾靖。該滾缚可沿著軸線130旋轉。應注意:可將月=為 何實施例。在一實施例中,可使用支#結構⑶來ΐ 4面倚靠晶圓表面。例如,轴可經由滾筒1上 …者釉線130 %轉。熟知此項技藝者應注意:可以 表面的不同角度來維持支撐結構131。此外,支; 連接至滾筒以允獅 固體根據本發明之一實施例之另一替代性實施例,其中 ϊ: Γ了基Λ1:2之表面。應注意:確118e相對於== ’而相對直徑係作為例示性說明用,而 a二二乂 3、、知此項技藝者應注意:基板102與碟⑽可以類 轉’或碟me與基板1〇2可以相反方向旋轉。如上所 述,可使平衡環支撐結構與元件118e結合使用。 —f、雜本發明之—實關齡單相清理元件之元件的 間早側面示㈣。元件施翻定至支獅構131。元件版 Ιϊϋ著式錢械式方絲蚊至支躲構131,財該支撐結 經由已知方法來與元件整合。在一例示性實施例 者支禮件140來形成元件ll8e以提供結構支撑。在此實施 例中:可將元件118e想像為沿著支擇件14〇鑄造。支撐結構131 件U8e之旋轉支撐。當然,支標結構131可為上述之平衡 裱/。儲槽114經由輸送線jig來提供活性流體,以在晶圓1〇2 之上表面上形成活性層1〇4。如上所述,在元件腺與待清理表 面接觸之前施加活性層。基板及清理元件與活性層之接觸導致離 •^匕’因此防止固體清理元件為基板吸收。元件118e及/或晶圓 旋轉,以施行清理操作。應注意:元件118e仍為固體 ,但相 ’於下部118e-2而言’上部之物理性質(即,硬度)被元 件118e之下表面處的活性層綱所改變。熟知此項技藝者應注 .12 1335247 忍:不若具有孔洞/腔室之多孔刷,此文中所述之實施例提供了一 種固體表面’其不具有被應用來清理晶圓1〇2表面之習知刷具的 孔洞/腔室。因此,此文中的實施例提供了一種連續且非中斷之清 理層,其可順應晶圓102的表面。又,下部Hge-2的活化提供了 更軟的介面’其中作用於上部Ukd上的壓力被傳遞以提供清理 作用。 圖6係根據本發明之一實施例更詳細地顯示單相清理設備用 =活性層的簡單示意圖。基板丨〇2具有沈積於上的活性層ι〇4,而 虽固體清理元件100被來與該活性層1〇4接觸時,水被吸收進入 固體清理元件100。水滲透進入區域1〇6中所示之固體清理元件 =〇的晶粒結構。如上所述’在一實施例中活性層1〇4為水性層, :中活性層之pH值為鹼性以將區域丨06中的脂肪酸離子化並加以 基本上,活性層渗透進人區域106改變了該區域中之固體 π理70件100的性質。例如,在區域1〇6中之固體 I變戒,膠狀的本質但仍為固態。參考圖7,顯示區域1G6的離子 二。ϋ在ί驗脂酸時,賊_錢子分_導致在區域 中匕;I面處的功能基團帶負電荷。應注意:藉由 解 果舌性劑/分散劑的情況下,可達‘述圖= 添加劑*其包含了氫氟酸、硫酸、二氫 圖8係根據本發明之-實施例顯示用以 之基板的方法操作的流程圖。本方法開始於G、有 ,,溶液施加至基.板表作 活性溶液可為pH值大於清理設備之P H ^所t 溶液可為介㈣_。獻帛··谓 j 目前可行之倾躲财絲妨。接絲方 用 13 1335247 將固體清理表面帶至與活性溶液及基板表面接觸。將固體清理表. 面帶至與活性溶液接觸導致固體清理表面之一部分被活化或離子 化/中和’如參照圖6與7所具體說明之操作2〇4。此離子化/中和 ,活化避免吸收並確保固體清理元件不會留下任何殘留物。在一 實施例中,其中使用介面活性劑來作為活性層,接著介面活性劑 避免吸枚以確保固體清理元件不會留下任何殘留物。對於清理元 件為,形的實施例如圖5A及5B而言,元件之一例示性結構為具 有直徑尺寸為5英吋而高或深度為1英吋之碟。此處,丨英吋深度 之一=分約為1/8英吋。當然,此為係例示性結構,而真實尺寸 之組成或所用之固體清理元件為碟、滾輪形狀及其他 ΪΪ受f來作改變。在—實關巾,活性溶液被吸收進入固體 /月理兀件的一部分,如參照圖5及6所述。 输方法進行至操作露’基板及/或111體清理表面相對於 表面可二面=圖示中所述,固體清理 ΐΐί' 條、盤、滾輪或用以對基板表面施行上述功 適當設備。基本上,可經由塑性形變來 以允=體構:包f平衡, 3知,平衡環通常由兩或三對以直㈣ 解:敘述細,但應瞭 重 ί:ί:::任=,於清理半導體製』=二 晶圓共用“ 指與«進行環·釘例如,與 要因“:術 14 U35247 S用=上S染t,或其他高科技領域如表面科學、能源、 性ί而Jt: 了::所列之可使用本發明的例示性領域僅為: 部件Si _基本上可泛指任何其他結構,如基板、 雖,僅讀個實_之方式 =;在閱讀前述之說明書及研讀=,=出= 化,加、變更及等效物。因此,本 物ί申乂③疇内之此類變化、添加、變更及等Ϊ 專圍中’除非中請專利範圍之項次中有明H 否則其中的元件及/或㈣並未暗摊何特定的載, 【圖式簡單說明】 -f 單示意圖^係根據本發明之—實施例之高辦單相清理操作的簡 形^在固體清理元件產生塑性 備的艮ίί發明之一實施例顯示使用單相成分之清理設 膜及i板相實施例顯示下降固體成分以與水性 備的ίϋίίιί發明之一實施例顯示使用單相成分之清理設 視圖°Θ丨根據本發明之—實施纖示圖3Α中之清理設備的俯 θ 'τ根據本發明之一貫施例顯示單相清理固體之替代性實 15 1335247 施例的簡單示意圖。.. 圖5A為係根據本發明之一資 固體清理元件敍碟形。 f侧之替代財施例,其令 圖5B係根據本發明一-时 簡單侧面示意圖。 Λ施例顯不早相清理元件之元件的 圖6係根據本發明之一實施例更詳細地顯示單相清理設備用 之活性層的簡單示意圖。 圖7係根據本發明之一實施例顯示圖5之離子化區域的更詳 細示意圖*> 、 圖8係根據本發明之一實施例顯示用以清理具有表面污染物 之基板的方法操作的流程圖。 【主要元件符號說明】 100 :清理元件 102 :基板 102a-102c :基板 103 :包覆層 104 :活性層 106 :區域 110 :傳送器 112 :滚輪 114 :儲槽 116 :喷嘴 110 :傳送機構 114 :儲槽 116 :輸送線 118a-118c :固體清理元件 118d :滾筒 118e :碟 16 1335247 118e-l :上部 ,118e-2 :下部 130 :軸線 131 :支撐結構 140 :支撐件 200 :施加活性溶液至基板表面 202 :使活性溶液及基板表面與固體清理表面之表面接觸 204 :離子化固體清理表面之一深度的部分 206:使基板或固體清理表面中之一者相對於彼此作移動,以 清理基板表面
17

Claims (1)

1335247 =¾替換頁 τ、申請專利範圍·· --— L 一種基板清理方法,包含下列步驟·· 活性溶液施加步驟,將活性溶液施加至該基板之表面. 的表驟,使該活性溶液及該基板之該表面與固體清理元件 活性絲魏倾,使麟性溶液被魏進人綱體 I膠二用,於該基板之表面㈣^ 彼此—者相對於 請專利範圍第1項之基板清理方法,其中該活性溶液為驗 理方法,其中該活性溶液為驗 化叙、風氧化納、氫氧化鉀、三乙醇胺及氯氧化=錐。.虱氧 4.如申請專利範圍第!項之基板清理方法, 為由脂肪酸所構成的平面表面。 八〇 口體4理7G件 專利範圍第i項之基板清理方法,其 為平面表面’此平面表面之構成成分係$ 兀件 群:羧酸、磺酸及膦酸。 、㈢於包含下列各者之族 6.如申請專利範圍第!項之基板清理方 為平面表面,其中使該活性溶液及該基板之固體清理元件 件的表面接觸龍接觸步驟,導致該固 、,、固體清理兀 基板之該表面離子化。 理兀件的該表面及該 1335247 99年6月>3日修正替換頁 %10〇〇24(無劃線). ‘ 7. 如申請專利範圍第i項之基板清理方法 之構成成分係選自於包含下列者之族群:燒基】酸=體; 酯及烷基膦酸酯。 八文揽沉基磷酸 8. 如申請專利範圍第丨項之基板清理方法,苴 進入該固體清理元件之該部分,導致該固體擴散 該固體清理元件之其餘部分更軟。 兀件的遠部分較 為介 清理方法,其中該活性溶液 性劑 ==圍第9項之基板清理方法,其中該介面-11. 一種基板清理設備,包含: 之矣’具有—外表面’#料表面暴露至佈置於兮其知 舌性溶液時,其用以形成—凝膠介面部;及Μ基板 該基板之Ϊ表面續義體材料並施力使得該凝科面部與 If·如申明專利範圍第1 1項之基板清理設備,其中該 =自於由下列各者所構成之族群:脂肪酸、烷“、係 酸酯及烷基膦酸酯。 〃 燒基碟 如申明專利範圍第11項之基板清理設豆 含通過該固體材料之一軸飧 2 支棕結構包 旋轉。 軸線的,,其巾體㈣繞著該轴線 19 1335247 99年6月日修正替換頁 ,,,^ 961_24(無劃線).· 上it請專概㈣11項之基板清赌備 千衡衣式,並對該固體材料提供相對於該基板之旋轉。 冓為 15· $申明專利乾圍第η項之基板清理設備,更包含: 流體輪送系統,提供該活性溶液至該基板之該表面。 =如申請專利範圍第Η項之基板清理設備,其中該活性溶液為 U狀__備,其_性溶液為 18. 如申明專利範圍第1丨項之基板清理,^ 部分被該活性溶液所離子化,其中該外表面為平面I 19. 一種基板清理系統,包含: 支撐件,用以支撐該基板; 系ί,用以將活性溶液輸送至該基板之表面,· 液接觸時Γ該二表面’ #該裸露表面與該活性溶 分變得更之为相對於該固相清理元件的其餘部 於該基支^建該膠 抵靠 項5基板清理系統,其中該固相清理元 21. 如申請專概_ 19項之基板统⑽,其巾該_清理元 20 件的材料係選自於由下列忐 _ 鱗酸酯及烧基膦酸I旨。 麵群:炫基續酸鹽、烧基 =機圍第19項之基板清理系統, 二專利範圍第19項之基板清理系統, 為十如第23項之基板清理系統,其中該介面活性劑 為十-絲&酸叙(a_ium d〇decyl sui_e)。 2用5以項之基板清理系統,其™ 26·如申請專利範圍第i9項之基板清理 用以使該難清理树平行及鼓於職板之絲錢彳係
99年6月>3日修正替換頁 96100024(無劃線). · 統,其中該活性溶液的 統,其中該活性溶液為 24. 27.如申請專利範圍第19項之基板清理系統,其中該支撐結 該固相清理元件保持靜止。 28.如申請專利範圍第19項之基板清理系統,其中該凝膠介面部 捕捉位於該基板之該表面上的粒子。 29·如申請專利範圍第19項之基板清理系統,更包含: 複數固相清理元件,該複數固相清理元件的每一者係由不同 材料所構成’其中該基板支撐件移動該複數固相清理元件之每一 者下方的該基板’且其中在該基板於該複數固相清理元件之每一 者下方移動之前,該流體輸送系統將該活性溶液提供至該基板。 21 1335247 99年6月>3曰修正替換頁 . 96100024(無劃線), · -------- 30· —種基板清理方法,包含下列步驟: 接觸步驟,使基板表面與固體清理元件之表面接觸; 驅迫步驟,迫使該固體清理元件倚靠於該基板表面: 移動步驟,使該固體清理元件或該基板中 掛 =移動,此移動導致該_清理元件之該表面產此 猎此該固體清理元件之一膜層沈積至該基板表面上;及 沖洗步驟’自該基板表面沖去該固體清理元件之該膜層。
31.如申請專利範圍第3〇項之基板清理方法 件的硬度係低於該基板之硬度。 ,其中該固體清理元 3々2· ^請專利範圍第3〇項之基板清理方法,其中使用驗性水性 洛液來自該基板表面沖去該固體清理元件的該膜層。 5 ί申,專利範圍第30項之基板清理方法’其中使用水、硫酸 過軋化氫之混合物來自該基板表面沖去該固體清理元件的該膜 J% ° ° 馨34·如申咕專利範圍第30項之基板清理方法,其中使該固體清理 凡件或該基板中之一者相對於彼此進行移動之該移動步驟包含: 籲使翻體清理元件與位於雜板表面上之污染物進行交互作 用0 35. —種基板清理設備,包含: 固體材料’具有-外絲,當絲板或制體材射之一者 相對於彼此進行移動時’該外表面發生塑性形變而在該基板上沈 積一膜層以回應施加至該固體材料上之下向力;及 支撐結構’用以支撐該固體材料與傳遞該向下力。 22 1335247 99年6月Θ曰修正替換 96100024(無劃線)_ 36. 如中請專利範圍第35項之基板清理設備,其中制體材料之 f度係低於該基板之硬度’且其中該固體材料實質上由硬脂 構成。 37. 如申請專利範圍第35項之基板清理設備,更包含: 流體輸送糸統,用以沖去該基板上之該膜層。 39.如申請專利範圍第35項之基板清理設備,其中該固體材料之 材料係選自於由下列各麵構成之_ :錄魏鹽 酯及烷基膦酸酯。 十一、圖式: 23
TW096100024A 2005-12-30 2007-01-02 Method and apparatus for cleaning a semiconductor substrate TWI335247B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US75537705P 2005-12-30 2005-12-30
US11/612,352 US8316866B2 (en) 2003-06-27 2006-12-18 Method and apparatus for cleaning a semiconductor substrate

Publications (2)

Publication Number Publication Date
TW200740536A TW200740536A (en) 2007-11-01
TWI335247B true TWI335247B (en) 2011-01-01

Family

ID=38228775

Family Applications (3)

Application Number Title Priority Date Filing Date
TW095149590A TWI330551B (en) 2005-12-30 2006-12-28 Method and apparatus for removing contamination from substrate
TW095149918A TWI410522B (zh) 2005-12-30 2006-12-29 使用穩定流體溶液的基材製備、和製造穩定流體溶液的方法
TW096100024A TWI335247B (en) 2005-12-30 2007-01-02 Method and apparatus for cleaning a semiconductor substrate

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW095149590A TWI330551B (en) 2005-12-30 2006-12-28 Method and apparatus for removing contamination from substrate
TW095149918A TWI410522B (zh) 2005-12-30 2006-12-29 使用穩定流體溶液的基材製備、和製造穩定流體溶液的方法

Country Status (9)

Country Link
US (2) US8475599B2 (zh)
EP (2) EP2428557A1 (zh)
JP (4) JP4892565B2 (zh)
KR (4) KR101426777B1 (zh)
CN (10) CN101351282B (zh)
MY (2) MY149848A (zh)
SG (2) SG154438A1 (zh)
TW (3) TWI330551B (zh)
WO (1) WO2007078955A2 (zh)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040031167A1 (en) * 2002-06-13 2004-02-19 Stein Nathan D. Single wafer method and apparatus for drying semiconductor substrates using an inert gas air-knife
US8388762B2 (en) * 2007-05-02 2013-03-05 Lam Research Corporation Substrate cleaning technique employing multi-phase solution
WO2009076201A1 (en) * 2007-12-07 2009-06-18 Fontana Technology Method and composition for cleaning wafers
US8226775B2 (en) * 2007-12-14 2012-07-24 Lam Research Corporation Methods for particle removal by single-phase and two-phase media
US8828145B2 (en) * 2009-03-10 2014-09-09 Lam Research Corporation Method of particle contaminant removal
US9159593B2 (en) * 2008-06-02 2015-10-13 Lam Research Corporation Method of particle contaminant removal
US8105997B2 (en) * 2008-11-07 2012-01-31 Lam Research Corporation Composition and application of a two-phase contaminant removal medium
US8739805B2 (en) * 2008-11-26 2014-06-03 Lam Research Corporation Confinement of foam delivered by a proximity head
US8317934B2 (en) * 2009-05-13 2012-11-27 Lam Research Corporation Multi-stage substrate cleaning method and apparatus
US8251223B2 (en) * 2010-02-08 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning system and a package carrier for a semiconductor package
US8595929B2 (en) * 2010-10-21 2013-12-03 Siemens Energy, Inc. Repair of a turbine engine surface containing crevices
CN102569013A (zh) * 2010-12-17 2012-07-11 朗姆研究公司 用于检测晶片应力的系统和方法
CN102315098B (zh) * 2011-09-28 2016-03-30 上海华虹宏力半导体制造有限公司 清洗半导体基底和形成栅介质层的方法
WO2013086217A1 (en) 2011-12-06 2013-06-13 Masco Corporation Of Indiana Ozone distribution in a faucet
KR20130072664A (ko) * 2011-12-22 2013-07-02 에스케이하이닉스 주식회사 반도체 메모리 소자의 제조방법
CN102744227A (zh) * 2012-07-16 2012-10-24 安徽未来表面技术有限公司 一种用于太阳能发电装置上的硅片清洗方法
CA2917079A1 (en) 2013-07-05 2015-01-15 King Abdullah University Of Science And Technology System and method for conveying an assembly
CN103406322A (zh) * 2013-07-22 2013-11-27 彩虹显示器件股份有限公司 一种用于清洗基板玻璃的装置及方法
US10767143B2 (en) 2014-03-06 2020-09-08 Sage Electrochromics, Inc. Particle removal from electrochromic films using non-aqueous fluids
DE102014206875A1 (de) 2014-04-09 2015-10-15 Wacker Chemie Ag Verfahren zur Reinigung von technischen Anlagenteilen von Metallhalogeniden
WO2016039116A1 (ja) * 2014-09-11 2016-03-17 株式会社トクヤマ 窒化アルミニウム単結晶基板の洗浄方法および積層体
WO2017112795A1 (en) 2015-12-21 2017-06-29 Delta Faucet Company Fluid delivery system including a disinfectant device
CN106111610B (zh) * 2016-06-26 2018-07-17 河南盛达光伏科技有限公司 单晶硅线切割碎片表面附着性脏污预清洗处理方法
KR101955597B1 (ko) * 2017-05-17 2019-05-31 세메스 주식회사 세정액 제조 장치 및 방법
KR102341398B1 (ko) * 2018-01-18 2021-12-17 가부시키가이샤 아이에이치아이 라이닝재 박리 방법
GB2574179B (en) * 2018-03-12 2021-06-30 Illinois Tool Works Contact cleaning surface assembly
KR102072581B1 (ko) 2018-05-04 2020-02-03 세메스 주식회사 기판 처리방법 및 처리장치
JP7227757B2 (ja) 2018-05-31 2023-02-22 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP7227758B2 (ja) * 2018-05-31 2023-02-22 株式会社Screenホールディングス 基板処理方法および基板処理装置
CN110883017B (zh) * 2018-09-10 2020-12-29 北京石墨烯研究院 静态清洁石墨烯表面的方法和装置
CN110591832A (zh) * 2019-09-26 2019-12-20 嘉兴瑞智光能科技有限公司 一种高效环保无污染硅片清洗剂及其制备方法
KR102281885B1 (ko) 2019-11-06 2021-07-27 세메스 주식회사 기판 세정 방법 및 기판 처리 장치

Family Cites Families (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL251243A (zh) * 1959-05-04
US3212762A (en) * 1960-05-23 1965-10-19 Dow Chemical Co Foam generator
US3360476A (en) * 1964-03-19 1967-12-26 Fmc Corp Liquid heavy duty cleaner and disinfectant
US3436262A (en) * 1964-09-25 1969-04-01 Dow Chemical Co Cleaning by foam contact,and foam regeneration method
US3617095A (en) 1967-10-18 1971-11-02 Petrolite Corp Method of transporting bulk solids
GB1427341A (en) * 1972-05-22 1976-03-10 Unilever Ltd Liquid soap product
US3978176A (en) * 1972-09-05 1976-08-31 Minnesota Mining And Manufacturing Company Sparger
GB1507472A (en) * 1974-05-02 1978-04-12 Bunker Ramo Foamable coating remover composition
GB1447435A (en) * 1974-06-03 1976-08-25 Ferrara P J Barnes C A Gordon Soap composition and process of producing such
US4156619A (en) * 1975-06-11 1979-05-29 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for cleaning semi-conductor discs
US4133773A (en) * 1977-07-28 1979-01-09 The Dow Chemical Company Apparatus for making foamed cleaning solutions and method of operation
DE2823002B2 (de) * 1978-05-26 1981-06-04 Chemische Werke München Otto Bärlocher GmbH, 8000 München Verfahren zur Herstellung von Metallseifengranulat
US4238244A (en) 1978-10-10 1980-12-09 Halliburton Company Method of removing deposits from surfaces with a gas agitated cleaning liquid
US4387040A (en) * 1981-09-30 1983-06-07 Colgate-Palmolive Company Liquid toilet soap
US4838289A (en) * 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
US4911761A (en) * 1984-05-21 1990-03-27 Cfm Technologies Research Associates Process and apparatus for drying surfaces
ES2011470B3 (es) * 1986-07-08 1990-01-16 Kohlensaurewerk Deutschland Gmbh Procedimiento para la disgregacion de sustancias volatiles
NL8601939A (nl) 1986-07-28 1988-02-16 Philips Nv Werkwijze voor het verwijderen van ongewenste deeltjes van een oppervlak van een substraat.
US4962776A (en) * 1987-03-26 1990-10-16 Regents Of The University Of Minnesota Process for surface and fluid cleaning
US4817652A (en) * 1987-03-26 1989-04-04 Regents Of The University Of Minnesota System for surface and fluid cleaning
US4849027A (en) * 1987-04-16 1989-07-18 Simmons Bobby G Method for recycling foamed solvents
US4753747A (en) * 1987-05-12 1988-06-28 Colgate-Palmolive Co. Process of neutralizing mono-carboxylic acid
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4867896A (en) * 1988-02-17 1989-09-19 Lever Brothers Company Cleaning compositions containing cross-linked polymeric thickeners and hypochlorite bleach
US5048549A (en) * 1988-03-02 1991-09-17 General Dynamics Corp., Air Defense Systems Div. Apparatus for cleaning and/or fluxing circuit card assemblies
US5181985A (en) * 1988-06-01 1993-01-26 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for the wet-chemical surface treatment of semiconductor wafers
US5000795A (en) * 1989-06-16 1991-03-19 At&T Bell Laboratories Semiconductor wafer cleaning method and apparatus
US5102777A (en) * 1990-02-01 1992-04-07 Ardrox Inc. Resist stripping
US5271774A (en) 1990-03-01 1993-12-21 U.S. Philips Corporation Method for removing in a centrifuge a liquid from a surface of a substrate
EP0445728B1 (en) * 1990-03-07 1994-06-08 Hitachi, Ltd. Apparatus and method for cleaning solid surface
DE4038587A1 (de) 1990-12-04 1992-06-11 Hamatech Halbleiter Maschinenb Transportvorrichtung fuer substrate
US5306350A (en) * 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5261966A (en) * 1991-01-28 1993-11-16 Kabushiki Kaisha Toshiba Method of cleaning semiconductor wafers using mixer containing a bundle of gas permeable hollow yarns
US5147574A (en) * 1991-03-05 1992-09-15 The Procter & Gamble Company Stable liquid soap personal cleanser
US5175124A (en) 1991-03-25 1992-12-29 Motorola, Inc. Process for fabricating a semiconductor device using re-ionized rinse water
US5242669A (en) * 1992-07-09 1993-09-07 The S. A. Day Mfg. Co., Inc. High purity potassium tetrafluoroaluminate and method of making same
US5288332A (en) * 1993-02-05 1994-02-22 Honeywell Inc. A process for removing corrosive by-products from a circuit assembly
US5336371A (en) * 1993-03-18 1994-08-09 At&T Bell Laboratories Semiconductor wafer cleaning and rinsing techniques using re-ionized water and tank overflow
US5464480A (en) 1993-07-16 1995-11-07 Legacy Systems, Inc. Process and apparatus for the treatment of semiconductor wafers in a fluid
US5911837A (en) * 1993-07-16 1999-06-15 Legacy Systems, Inc. Process for treatment of semiconductor wafers in a fluid
US5472502A (en) 1993-08-30 1995-12-05 Semiconductor Systems, Inc. Apparatus and method for spin coating wafers and the like
US5656097A (en) * 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5950645A (en) 1993-10-20 1999-09-14 Verteq, Inc. Semiconductor wafer cleaning system
US5518542A (en) * 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
US5938504A (en) * 1993-11-16 1999-08-17 Applied Materials, Inc. Substrate polishing apparatus
US5417768A (en) * 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
JP3380021B2 (ja) * 1993-12-28 2003-02-24 株式会社エフティーエル 洗浄方法
ES2133728T3 (es) * 1993-12-30 1999-09-16 Ecolab Inc Metodo para hacer compuestos de limpieza solidos a partir de urea.
EP0681317B1 (en) * 1994-04-08 2001-10-17 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquefied gases
US5498293A (en) * 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
US6081650A (en) * 1994-06-30 2000-06-27 Thomson Licensing S.A. Transport processor interface and video recorder/playback apparatus in a field structured datastream suitable for conveying television information
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
US5772784A (en) * 1994-11-14 1998-06-30 Yieldup International Ultra-low particle semiconductor cleaner
JP3504023B2 (ja) * 1995-05-26 2004-03-08 株式会社ルネサステクノロジ 洗浄装置および洗浄方法
US5660642A (en) * 1995-05-26 1997-08-26 The Regents Of The University Of California Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor
US5964958A (en) 1995-06-07 1999-10-12 Gary W. Ferrell Methods for drying and cleaning objects using aerosols
US5968285A (en) 1995-06-07 1999-10-19 Gary W. Ferrell Methods for drying and cleaning of objects using aerosols and inert gases
US6035483A (en) * 1995-06-07 2000-03-14 Baldwin Graphic Systems, Inc. Cleaning system and process for making and using same employing a highly viscous solvent
US6532976B1 (en) * 1995-07-10 2003-03-18 Lg Semicon Co., Ltd. Semiconductor wafer cleaning apparatus
JP3590470B2 (ja) * 1996-03-27 2004-11-17 アルプス電気株式会社 洗浄水生成方法および洗浄方法ならびに洗浄水生成装置および洗浄装置
DE19622015A1 (de) * 1996-05-31 1997-12-04 Siemens Ag Verfahren zum Ätzen von Zerstörungszonen an einem Halbleitersubstratrand sowie Ätzanlage
TW416987B (en) * 1996-06-05 2001-01-01 Wako Pure Chem Ind Ltd A composition for cleaning the semiconductor substrate surface
JP3350627B2 (ja) * 1996-07-03 2002-11-25 宮崎沖電気株式会社 半導体素子の異物除去方法及びその装置
DE19631363C1 (de) * 1996-08-02 1998-02-12 Siemens Ag Wässrige Reinigungslösung für ein Halbleitersubstrat
JPH1055993A (ja) 1996-08-09 1998-02-24 Hitachi Ltd 半導体素子製造用洗浄液及びそれを用いた半導体素子の製造方法
US6092538A (en) * 1996-09-25 2000-07-25 Shuzurifuresher Kaihatsukyodokumiai Method for using high density compressed liquefied gases in cleaning applications
TW357406B (en) 1996-10-07 1999-05-01 Tokyo Electron Ltd Method and apparatus for cleaning and drying a substrate
JP3286539B2 (ja) * 1996-10-30 2002-05-27 信越半導体株式会社 洗浄装置および洗浄方法
US5858283A (en) * 1996-11-18 1999-01-12 Burris; William Alan Sparger
US5906021A (en) * 1996-12-06 1999-05-25 Coffey; Daniel Fluid-wetted or submerged surface cleaning apparatus
US6896826B2 (en) * 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US5900191A (en) * 1997-01-14 1999-05-04 Stable Air, Inc. Foam producing apparatus and method
US5800626A (en) * 1997-02-18 1998-09-01 International Business Machines Corporation Control of gas content in process liquids for improved megasonic cleaning of semiconductor wafers and microelectronics substrates
US6701941B1 (en) * 1997-05-09 2004-03-09 Semitool, Inc. Method for treating the surface of a workpiece
US20020157686A1 (en) * 1997-05-09 2002-10-31 Semitool, Inc. Process and apparatus for treating a workpiece such as a semiconductor wafer
JPH10321572A (ja) * 1997-05-15 1998-12-04 Toshiba Corp 半導体ウェーハの両面洗浄装置及び半導体ウェーハのポリッシング方法
JPH1126423A (ja) * 1997-07-09 1999-01-29 Sugai:Kk 半導体ウエハ等の処理方法並びにその処理装置
US6152805A (en) 1997-07-17 2000-11-28 Canon Kabushiki Kaisha Polishing machine
US5932493A (en) * 1997-09-15 1999-08-03 International Business Machines Corporaiton Method to minimize watermarks on silicon substrates
US6491764B2 (en) 1997-09-24 2002-12-10 Interuniversitair Microelektronics Centrum (Imec) Method and apparatus for removing a liquid from a surface of a rotating substrate
EP0905746A1 (en) 1997-09-24 1999-03-31 Interuniversitair Micro-Elektronica Centrum Vzw Method of removing a liquid from a surface of a rotating substrate
US6398975B1 (en) * 1997-09-24 2002-06-04 Interuniversitair Microelektronica Centrum (Imec) Method and apparatus for localized liquid treatment of the surface of a substrate
US5904156A (en) * 1997-09-24 1999-05-18 International Business Machines Corporation Dry film resist removal in the presence of electroplated C4's
US5807439A (en) * 1997-09-29 1998-09-15 Siemens Aktiengesellschaft Apparatus and method for improved washing and drying of semiconductor wafers
JP3039493B2 (ja) * 1997-11-28 2000-05-08 日本電気株式会社 基板の洗浄方法及び洗浄溶液
US6270584B1 (en) * 1997-12-03 2001-08-07 Gary W. Ferrell Apparatus for drying and cleaning objects using controlled aerosols and gases
US5865901A (en) * 1997-12-29 1999-02-02 Siemens Aktiengesellschaft Wafer surface cleaning apparatus and method
US6042885A (en) * 1998-04-17 2000-03-28 Abitec Corporation System and method for dispensing a gel
US6049996A (en) * 1998-07-10 2000-04-18 Ball Semiconductor, Inc. Device and fluid separator for processing spherical shaped devices
US5944581A (en) * 1998-07-13 1999-08-31 Ford Motor Company CO2 cleaning system and method
JP3003684B1 (ja) * 1998-09-07 2000-01-31 日本電気株式会社 基板洗浄方法および基板洗浄液
JP2000100801A (ja) 1998-09-25 2000-04-07 Sumitomo Electric Ind Ltd エピタキシャルウェハおよびその製造方法ならびにそれに用いられる化合物半導体基板の表面清浄化方法
JP2000141215A (ja) * 1998-11-05 2000-05-23 Sony Corp 平坦化研磨装置及び平坦化研磨方法
JP2000265945A (ja) * 1998-11-10 2000-09-26 Uct Kk 薬液供給ポンプ、薬液供給装置、薬液供給システム、基板洗浄装置、薬液供給方法、及び基板洗浄方法
US6090217A (en) * 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates
JP2000260739A (ja) * 1999-03-11 2000-09-22 Kokusai Electric Co Ltd 基板処理装置および基板処理方法
US6290780B1 (en) * 1999-03-19 2001-09-18 Lam Research Corporation Method and apparatus for processing a wafer
US6849581B1 (en) * 1999-03-30 2005-02-01 Bj Services Company Gelled hydrocarbon compositions and methods for use thereof
US6272712B1 (en) * 1999-04-02 2001-08-14 Lam Research Corporation Brush box containment apparatus
JP3624116B2 (ja) * 1999-04-15 2005-03-02 東京エレクトロン株式会社 処理装置及び処理方法
JP4247587B2 (ja) 1999-06-23 2009-04-02 Jsr株式会社 半導体部品用洗浄剤、半導体部品の洗浄方法、研磨用組成物、および研磨方法
FR2795960B1 (fr) * 1999-07-05 2001-10-19 Sanofi Elf Microemulsions stables pour l'administration d'acides gras a l'homme ou a l'animal, et utilisation de ces microemulsions
US20020121290A1 (en) * 1999-08-25 2002-09-05 Applied Materials, Inc. Method and apparatus for cleaning/drying hydrophobic wafers
US6734121B2 (en) * 1999-09-02 2004-05-11 Micron Technology, Inc. Methods of treating surfaces of substrates
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US7122126B1 (en) 2000-09-28 2006-10-17 Materials And Technologies Corporation Wet processing using a fluid meniscus, apparatus and method
US6881687B1 (en) * 1999-10-29 2005-04-19 Paul P. Castrucci Method for laser cleaning of a substrate surface using a solid sacrificial film
US6858089B2 (en) * 1999-10-29 2005-02-22 Paul P. Castrucci Apparatus and method for semiconductor wafer cleaning
US6576066B1 (en) * 1999-12-06 2003-06-10 Nippon Telegraph And Telephone Corporation Supercritical drying method and supercritical drying apparatus
US20020006767A1 (en) 1999-12-22 2002-01-17 Applied Materials, Inc. Ion exchange pad or brush and method of regenerating the same
US6286231B1 (en) * 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6705930B2 (en) * 2000-01-28 2004-03-16 Lam Research Corporation System and method for polishing and planarizing semiconductor wafers using reduced surface area polishing pads and variable partial pad-wafer overlapping techniques
US6276459B1 (en) * 2000-02-01 2001-08-21 Bradford James Herrick Compressed air foam generator
US6594847B1 (en) * 2000-03-28 2003-07-22 Lam Research Corporation Single wafer residue, thin film removal and clean
US6457199B1 (en) 2000-10-12 2002-10-01 Lam Research Corporation Substrate processing in an immersion, scrub and dry system
AU9521601A (en) * 2000-05-17 2001-11-26 Henkel Kommanditgesellschaft Auf Aktien Washing or cleaning agent shaped bodies
US6927176B2 (en) * 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6488040B1 (en) 2000-06-30 2002-12-03 Lam Research Corporation Capillary proximity heads for single wafer cleaning and drying
KR100366623B1 (ko) 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
WO2002015255A1 (en) * 2000-08-11 2002-02-21 Chem Trace Corporation System and method for cleaning semiconductor fabrication equipment parts
US6328042B1 (en) * 2000-10-05 2001-12-11 Lam Research Corporation Wafer cleaning module and method for cleaning the surface of a substrate
CA2424455C (en) * 2000-11-03 2009-10-06 Unilever Plc Composition for cleaning hard surfaces
US20020094684A1 (en) * 2000-11-27 2002-07-18 Hirasaki George J. Foam cleaning process in semiconductor manufacturing
US6525009B2 (en) * 2000-12-07 2003-02-25 International Business Machines Corporation Polycarboxylates-based aqueous compositions for cleaning of screening apparatus
US20020081945A1 (en) * 2000-12-21 2002-06-27 Rod Kistler Piezoelectric platen design for improving performance in CMP applications
US6596093B2 (en) * 2001-02-15 2003-07-22 Micell Technologies, Inc. Methods for cleaning microelectronic structures with cyclical phase modulation
US6641678B2 (en) * 2001-02-15 2003-11-04 Micell Technologies, Inc. Methods for cleaning microelectronic structures with aqueous carbon dioxide systems
US6493902B2 (en) 2001-02-22 2002-12-17 Chung-Yi Lin Automatic wall cleansing apparatus
JP2002280343A (ja) 2001-03-15 2002-09-27 Nec Corp 洗浄処理装置、切削加工装置
JP2002280330A (ja) 2001-03-21 2002-09-27 Lintec Corp チップ状部品のピックアップ方法
US6627550B2 (en) * 2001-03-27 2003-09-30 Micron Technology, Inc. Post-planarization clean-up
JP2002309638A (ja) 2001-04-17 2002-10-23 Takiron Co Ltd 建物の排水管路における通気性掃除口
JP3511514B2 (ja) * 2001-05-31 2004-03-29 エム・エフエスアイ株式会社 基板浄化処理装置、ディスペンサー、基板保持機構、基板の浄化処理用チャンバー、及びこれらを用いた基板の浄化処理方法
US6802911B2 (en) 2001-09-19 2004-10-12 Samsung Electronics Co., Ltd. Method for cleaning damaged layers and polymer residue from semiconductor device
WO2003044147A1 (en) * 2001-11-19 2003-05-30 Unilever N.V. Improved washing system
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
GB2385597B (en) * 2002-02-21 2004-05-12 Reckitt Benckiser Inc Hard surface cleaning compositions
JP2003282513A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 有機物剥離方法及び有機物剥離装置
JP4570008B2 (ja) 2002-04-16 2010-10-27 東京エレクトロン株式会社 液処理装置および液処理方法
US20040159335A1 (en) * 2002-05-17 2004-08-19 P.C.T. Systems, Inc. Method and apparatus for removing organic layers
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20040002430A1 (en) * 2002-07-01 2004-01-01 Applied Materials, Inc. Using a time critical wafer cleaning solution by combining a chelating agent with an oxidizer at point-of-use
JP4017463B2 (ja) * 2002-07-11 2007-12-05 株式会社荏原製作所 洗浄方法
US7240679B2 (en) * 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US6998327B2 (en) 2002-11-19 2006-02-14 International Business Machines Corporation Thin film transfer join process and multilevel thin film module
US6875286B2 (en) 2002-12-16 2005-04-05 International Business Machines Corporation Solid CO2 cleaning
US6733596B1 (en) * 2002-12-23 2004-05-11 Lam Research Corporation Substrate cleaning brush preparation sequence, method, and system
US20040163681A1 (en) * 2003-02-25 2004-08-26 Applied Materials, Inc. Dilute sulfuric peroxide at point-of-use
US6951042B1 (en) 2003-02-28 2005-10-04 Lam Research Corporation Brush scrubbing-high frequency resonating wafer processing system and methods for making and implementing the same
JP2004323840A (ja) * 2003-04-10 2004-11-18 Sumitomo Chem Co Ltd 研磨洗浄液組成物及び研磨洗浄方法
US7169192B2 (en) * 2003-05-02 2007-01-30 Ecolab Inc. Methods of using heterogeneous cleaning compositions
US20040261823A1 (en) 2003-06-27 2004-12-30 Lam Research Corporation Method and apparatus for removing a target layer from a substrate using reactive gases
KR100477810B1 (ko) 2003-06-30 2005-03-21 주식회사 하이닉스반도체 Nf3 hdp 산화막을 적용한 반도체 소자 제조방법
US6946396B2 (en) * 2003-10-30 2005-09-20 Nissan Chemical Indusries, Ltd. Maleic acid and ethylene urea containing formulation for removing residue from semiconductor substrate and method for cleaning wafer
KR20050044085A (ko) * 2003-11-07 2005-05-12 삼성전자주식회사 집적회로 소자의 세정액 및 그 세정액을 이용한 세정방법
US7353560B2 (en) * 2003-12-18 2008-04-08 Lam Research Corporation Proximity brush unit apparatus and method
US7416370B2 (en) 2005-06-15 2008-08-26 Lam Research Corporation Method and apparatus for transporting a substrate using non-Newtonian fluid
US8323420B2 (en) * 2005-06-30 2012-12-04 Lam Research Corporation Method for removing material from semiconductor wafer and apparatus for performing the same
US7568490B2 (en) * 2003-12-23 2009-08-04 Lam Research Corporation Method and apparatus for cleaning semiconductor wafers using compressed and/or pressurized foams, bubbles, and/or liquids
US8043441B2 (en) 2005-06-15 2011-10-25 Lam Research Corporation Method and apparatus for cleaning a substrate using non-Newtonian fluids
JP2005194294A (ja) 2003-12-26 2005-07-21 Nec Electronics Corp 洗浄液及び半導体装置の製造方法
CN1654617A (zh) * 2004-02-10 2005-08-17 捷时雅株式会社 清洗用组合物和半导体基板的清洗方法及半导体装置的制造方法
JP4821122B2 (ja) * 2004-02-10 2011-11-24 Jsr株式会社 洗浄用組成物、半導体基板の洗浄方法および半導体装置の製造方法
US20050183740A1 (en) * 2004-02-19 2005-08-25 Fulton John L. Process and apparatus for removing residues from semiconductor substrates
FI116889B (fi) * 2004-03-03 2006-03-31 Outokumpu Oy Laite kiintoainetta sisältävän materiaalin hierto-ohentamiseksi
US20050202995A1 (en) * 2004-03-15 2005-09-15 The Procter & Gamble Company Methods of treating surfaces using surface-treating compositions containing sulfonated/carboxylated polymers
JP2005311320A (ja) * 2004-03-26 2005-11-04 Sony Corp 異物除去方法及びその装置
JPWO2005104202A1 (ja) * 2004-04-21 2008-03-13 株式会社エフティーエル 基板の洗浄方法
US8136423B2 (en) * 2005-01-25 2012-03-20 Schukra of North America Co. Multiple turn mechanism for manual lumbar support adjustment

Also Published As

Publication number Publication date
JP5237825B2 (ja) 2013-07-17
KR20080081364A (ko) 2008-09-09
US20130284217A1 (en) 2013-10-31
TW200740536A (en) 2007-11-01
WO2007078955A2 (en) 2007-07-12
TWI410522B (zh) 2013-10-01
JP4892565B2 (ja) 2012-03-07
TW200738361A (en) 2007-10-16
SG154438A1 (en) 2009-08-28
US20070155640A1 (en) 2007-07-05
CN101114569A (zh) 2008-01-30
CN101389414B (zh) 2012-07-04
CN101370885A (zh) 2009-02-18
JP2009522777A (ja) 2009-06-11
JP5148508B2 (ja) 2013-02-20
MY149848A (en) 2013-10-31
CN101351282A (zh) 2009-01-21
KR101376911B1 (ko) 2014-03-20
US8475599B2 (en) 2013-07-02
KR101426777B1 (ko) 2014-08-07
SG169975A1 (en) 2011-04-29
KR101312973B1 (ko) 2013-10-01
EP1969114A2 (en) 2008-09-17
CN101370885B (zh) 2013-04-17
TWI330551B (en) 2010-09-21
JP5154441B2 (ja) 2013-02-27
EP2428557A1 (en) 2012-03-14
TW200801244A (en) 2008-01-01
CN101351282B (zh) 2013-04-10
CN101009204A (zh) 2007-08-01
CN101389414A (zh) 2009-03-18
JP2009522789A (ja) 2009-06-11
CN101351540A (zh) 2009-01-21
KR20080091356A (ko) 2008-10-10
MY143763A (en) 2011-07-15
KR20080085072A (ko) 2008-09-22
KR20080083196A (ko) 2008-09-16
CN101351281A (zh) 2009-01-21
CN101512049B (zh) 2014-04-16
WO2007078955A3 (en) 2008-02-07
CN101029289B (zh) 2014-06-25
JP2009522783A (ja) 2009-06-11
CN101351281B (zh) 2013-07-17
CN101009204B (zh) 2012-05-30
CN101034670B (zh) 2010-11-17
CN101512049A (zh) 2009-08-19
CN101034670A (zh) 2007-09-12
CN101029289A (zh) 2007-09-05
JP2009522780A (ja) 2009-06-11
KR101401753B1 (ko) 2014-05-30

Similar Documents

Publication Publication Date Title
TWI335247B (en) Method and apparatus for cleaning a semiconductor substrate
JP5518163B2 (ja) 半導体基板を洗浄する方法および装置
TWI359864B (en) Cleaning compound, apparatus and method for removi
BR9917199A (pt) Lìquido de trabalho, método de modificação de uma superfìcie de uma pastilha adequada para fabricação de um dispositivo semicondutor, e, suspensão de polimento
TWI445848B (zh) 利用多相溶液之基板清理技術
Singh et al. Smart zwitterionic sulfobetaine silane surfaces with switchable wettability for aqueous/nonaqueous drops
TWI332420B (en) Method and apparatus for cleaning a semiconductor substrate
KR20150088179A (ko) 기판으로부터 물질을 제거하는 방법
TWI327341B (en) Substrate processing apparatus and substrate processing method
KR20090106499A (ko) 용매 및 시스템의 사용을 통한 기재 세정 방법
JP2012531748A5 (zh)
JP2017539094A (ja) 基板を洗い流し乾燥させるためのシステム及び方法
JP5302781B2 (ja) 基板液処理装置及び基板液処理方法並びに基板液処理プログラムを格納した記憶媒体
TW201241912A (en) Process for treating a semiconductor wafer
JP2012510181A (ja) 近接ヘッドにより供給される泡の閉じ込め
JP2008118042A (ja) 基板洗浄方法
TW200929343A (en) Apparatus and methods for optimizing cleaning of patterned substrates
KR20100046897A (ko) 기판 이송 로봇을 세정하는 기판 처리 장치 및 기판 이송 로봇의 세정 방법
TWI239562B (en) Cleaner disc
CN104934311B (zh) 刻蚀方法、在半导体基材上形成凹槽的方法、pmos晶体管及其制作方法
Park et al. Simultaneous removal of particles from front and back sides by a single wafer backside megasonic system
JPH04179228A (ja) 精密洗浄の乾燥方法
US20160181087A1 (en) Particle removal with minimal etching of silicon-germanium
JP2007123782A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees