KR20080091356A - 2-상 기판 세정 화합물을 이용하기 위한 방법 및 시스템 - Google Patents

2-상 기판 세정 화합물을 이용하기 위한 방법 및 시스템 Download PDF

Info

Publication number
KR20080091356A
KR20080091356A KR1020087018741A KR20087018741A KR20080091356A KR 20080091356 A KR20080091356 A KR 20080091356A KR 1020087018741 A KR1020087018741 A KR 1020087018741A KR 20087018741 A KR20087018741 A KR 20087018741A KR 20080091356 A KR20080091356 A KR 20080091356A
Authority
KR
South Korea
Prior art keywords
acid
substrate surface
viscous liquid
cleaning
solid component
Prior art date
Application number
KR1020087018741A
Other languages
English (en)
Other versions
KR101376911B1 (ko
Inventor
미하일 코로리크
에릭 엠 프리어
라리오스 존 엠 드
카트리나 미하일리첸코
마이크 래브킨
프레드 리데커
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/519,354 external-priority patent/US7799141B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20080091356A publication Critical patent/KR20080091356A/ko
Application granted granted Critical
Publication of KR101376911B1 publication Critical patent/KR101376911B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/12Water-insoluble compounds
    • C11D3/14Fillers; Abrasives ; Abrasive compositions; Suspending or absorbing agents not provided for in one single group of C11D3/12; Specific features concerning abrasives, e.g. granulometry or mixtures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/0004Non aqueous liquid compositions comprising insoluble particles
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/0008Detergent materials or soaps characterised by their shape or physical properties aqueous liquid non soap compositions
    • C11D17/0013Liquid compositions with insoluble particles in suspension
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02096Cleaning only mechanical cleaning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/10Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by other chemical means
    • C11D2111/22
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles

Abstract

기판 표면으로부터 오염물질을 제거하기 위한 세정 화합물, 장치, 및 방법이 제공된다. 반도체 기판 표면으로부터 미립자 오염물질을 제거하기 위한 예시적인 세정 화합물이 제공된다. 세정 화합물은 약 1cP 내지 약 10,000cP 사이의 점도를 가지는 점성액을 포함한다. 또한, 세정 화합물은, 기판 표면상의 미립자 오염물질과 상호작용하여 기판 표면으로부터 미립자 오염물질을 제거하는, 점성액 내에 분산된 복수의 고체 성분들을 포함한다.
미립자 오염물질, 점성액, 고체 성분, 순수, 세정 화합물

Description

2-상 기판 세정 화합물을 이용하기 위한 방법 및 시스템{METHOD AND SYSTEM FOR USING A TWO-PHASES SUBSTRATE CLEANING COMPOUND}
배경
집적회로, 메모리 셀 등과 같은 반도체 디바이스의 제조시에, 반도체 기판 ("기판") 상에 피쳐를 형성하기 위해 일련의 제조 동작이 수행된다. 일련의 제조 동작 도중에, 기판 표면은 다양한 유형의 오염물질에 노출된다. 제조 동작에 존재하는 임의의 재료는 본질적으로 오염물질의 잠재적인 원천이다. 예를 들어, 오염물질의 원천은 특히 프로세스 가스, 화학물질, 증착 물질, 에치 부산물, 및 액체를 포함할 수도 있다. 다양한 오염물질은 미립자 형태 (파티클) 로 웨이퍼 표면상에 증착될 수도 있다.
반도체 기판의 표면은 기판 오염물질이 세정되어야만 한다. 제거되지 않은 경우에는, 오염물질 주변에 있는 디바이스들은 동작할 수 없게 될 가능성이 있다. 또한, 기판 오염물질은 디바이스 성능 특성에 영향을 줄 수도 있고 통상적인 경우보다 더 빠른 속도로 디바이스 고장의 유발을 야기할 수도 있다. 따라서, 기판 표면 및 기판상에 형성된 피쳐를 손상시키지 않고 실질적으로 완전한 방법으로 기판 표면으로부터 오염물질을 세정하는 것이 필요하다. 미립자 오염물질의 크기는 웨이퍼 상에서 제조된 피쳐의 임계 치수의 크기와 대략 유사하다. 기판상의 표면 및 피쳐에 부정적인 영향을 미치지 않고 이러한 작은 미립자 오염물질을 제거하는 것은 상당히 어려울 수 있다.
전술한 관점에서, 디바이스 수율을 개선시키기 위해 기판 표면으로부터 오염물질을 제거하는 개선된 기판 세정 기술에 대한 요구가 있다.
개요
대체적으로, 실시형태들은 디바이스 수율을 개선시키기 위해 기판 표면으로부터의 오염물질을 제거하기 위한 개선된 기판 세정 기술을 제공함으로써 이 요구를 충족시킨다. 본 발명은 해결책으로서 방법, 프로세스, 장치 또는 시스템을 포함하는 수많은 방법으로 구현될 수 있다는 것이 파악된다. 본 발명의 몇몇 신규한 실시형태들이 이하 설명된다.
일 실시형태에서, 반도체 기판 표면으로부터 미립자 오염물질을 제거하기 위한 세정 화합물이 제공된다. 세정 화합물은 약 1cP 내지 약 10,000cP 사이의 점도를 가지는 점성액을 포함한다. 또한, 세정 화합물은 점성액에 분산된 복수의 고체 성분을 포함하고, 그 복수의 고체 성분은 기판 표면상의 미립자 오염물질과 상호작용하여 기판 표면으로부터 미립자 오염물질을 제거한다.
다른 실시형태에서, 기판의 기판 표면으로부터 미립자 오염물질을 세정하기 위한 장치가 제공된다. 이 장치는 기판을 유지하기 위한 기판 지지 어셈블리를 포함한다. 또한, 이 장치는 기판 표면으로부터 미립자 오염물질을 세정하기 위해 세정 화합물을 공급하는 애플리케이터를 포함하고, 여기서, 세정 화합물은 초당 1 의 전단 속도에서 약 1cP 내지 약 10,000cP 사이의 점도를 가지는 점성액이고, 복수의 고체 성분은 이 점성액 내부에서 분산된다.
다른 실시형태에서, 기판 표면으로부터 미립자 오염물질을 제거하기 위한 방법이 제공된다. 이 방법은 그 내부에 분산된 고체 성분을 가지는 점성액을 기판 표면에 도포하는 단계를 포함한다. 또한, 이 방법은 하향 (down-ward) 성분 및 전단 성분을 가지는 힘을 점성액에 가하여 기판 표면상의 미립자 오염물질의 주변에 적어도 하나의 고체 성분을 놓이게 하는 단계를 포함한다. 이 방법은 기판 표면으로부터 적어도 하나의 고체 성분 및 미립자 오염물질을 분리시켜 제거하는 단계를 더 포함한다.
본 발명의 다른 양태 및 이점은, 본 발명의 원리를 예로써 설명하는 첨부된 도면과 관련하여 취해진 이하의 상세한 설명으로부터 명백해진다.
도면의 간단한 설명
본 발명은 첨부된 도면과 관련하여 이하의 상세한 설명에 의해 쉽게 파악되고, 동일한 참조 수치는 동일한 구조적인 엘리먼트를 지칭한다.
도 1a 는 본 발명의 일 실시형태에 따라서 기판 표면으로부터 미립자 오염물질을 제거하기 위한 세정 용액의 물리적 도면을 나타낸다.
도 1b 는 비-뉴턴성 유체에 대한 전단 속도의 함수로서 응력 및 점도의 도면을 나타낸다.
도 1c 는 고체 화합물의 네트워크와 겔을 가지는 세정 용액의 물리적 도면이다.
도 1d 는 기판 표면상의 오염물질의 주변에서의 도 1a 의 세정 용액의 고체 성분의 물리적 도면을 나타낸다.
도 1e 는 기판 표면상의 오염물질과 접촉하는 도 1a 의 세정 용액의 고체 성분의 물리적 도면을 나타낸다.
도 1f 는 기판 표면으로부터 분리시켜 오염물질을 이동시키는 도 1a 의 세정 용액의 고체 성분의 물리적 도면을 나타낸다.
도 2 는 기판의 표면으로부터 미립자 오염물질을 제거하기 위한 프로세스 플로우의 일 실시형태를 나타낸다.
도 3 은 기판 표면 세정 시스템의 일 실시형태의 개략적인 도면을 나타낸다.
예시적인 실시형태의 상세한 설명
프로세스 수율을 개선시키기 위해 기판으로부터 미립자 오염물질을 제거하기 위한 개선된 기판 세정 기술을 위한 몇몇 예시적인 실시형태가 제공된다. 본 발명은 해결책으로서 프로세스, 방법, 장치, 또는 시스템을 포함하는 수많은 방법으로 구현될 수 있다는 것이 이해된다. 본 발명의 몇몇 신규의 실시형태들이 이하 설명된다. 본 발명은 본 명세서에 설명된 몇몇 또는 모든 구체적인 세부사항 없이도 실행될 수도 있다는 것이 당업자에게는 명백하다.
본 명세서에 설명된 실시형태는 연마제 콘택트에 대한 필요성을 제거하고 반도체 기판들 (이 반도체 기판들 중 몇몇은 높은 애스펙트 비 특성을 함유할 수도 있음) 로부터 오염물질을 세정하는데 효율적인 세정 기술을 제공한다. 실시형태들이 반도체 세정 애플리케이션에 관련된 구체적인 예시를 제공하지만, 이들 세정 애플리케이션은 기판으로부터 오염물질의 제거를 요구하는 임의의 기술로 확대 될 수도 있다. 후술하는 바와 같이, 연속 액체상과 분산 고체상을 가지는 세정 용액이 제공된다. 고체 파티클이 액체상 전체에 분산된다.
도 1a 는 본 발명의 일 실시형태에 따라서 반도체 기판 (105) 의 표면 (106) 으로부터 오염물질 (103) 을 제거하기 위한 세정 용액 (또는 화합물) (101) 의 물리적 도면을 나타낸다. 세정 용액 (101) 은 점성액 (107), 및 고체 성분 (109) 을 포함한다. 고체 성분 (109) 은 점성액 (107) 내에서 분산된다. 점성액 (107) 은, 고체 성분 (109) 과 오염물질 (103) 을 상호작용시켜 기판 표면 (106) 으로부터 오염물질 (103) 을 최종적으로 제거하기 위해 오염물질 (103) 에 인접하게 고체 성분 (109) 을 가져다 놓기 위한 매체 (vehicle) 를 제공한다. 일 실시형태에서, 고체 성분 (109) 은 화학제에 의해, 또는 첨가된 계면활성제에 의해 가수분해된다. 일 실시형태에서, 세정 용액 (101) 은 순수 (DIW; de-ionized water) 에 카르복실산 고체를 2% 초과의 중량/중량 퍼센트로 용해시킴으로써 제조될 수 있다. 고체 성분 (109) 은 DIW 에 용해된 카르복실산으로부터 침전된 카르복실산 고체이다. 일 실시형태에서, 카르복실산의 탄소수는 4 이상이다. 용해된 카르복실산은 초당 1 의 전단 속도로 1cP (센티푸아즈) 내지 약 10,000cP 사이의 점도를 가지는 점성액 (107) 을 형성한다. 세정 화합물 (용액) 은 물 이외의 용매에 카르복실산(들) (또는 염) 를 혼합함으로써 제조될 수 있다는 것을 이해해야 한다. 또한, 알코올과 같은, 다른 극성 또는 비-극성 용매가 이용될 수 있다.
고체 성분 (109) 은 점성액 (107) 내에서 부유상태로 분산된다. 일 실시 형태에서, 점성액 (107) 은 세정 화합물 (101) 을 형성하기 위해 고체 성분 (109) 의 네트워크와 조합되는 겔이고, 이는, 도 1b 에 도시된 바와 같이, 기판 표면 (106) 상에 도포될 수 있다. 고체 성분 (109) 은 서로 상호작용하여 반 데르 발스의 힘 (van der Waals forces) 를 통해서 고체 화합물의 네트워크를 형성한다. 고체 성분 (109) 은 겔의 형태인 점성액 (107) 내에서 부유된다. 겔의 상대적으로 높은 점도는 겔에 가해진 힘으로 하여금 겔 내의 고체 화합물에 그 힘을 전달하게 한다. 도 1b 에 도시된 바와 같이, 세정 화합물 (101) 은 더욱 높은 농도, 예를 들어, 약 3% 내지 약 5% 사이의 농도, 바람직하게는 약 4% 내지 약 5% 사이의 농도의 카르복실산 고체를 DIW 와 혼합함으로써 형성될 수 있다. 일 실시형태에서, 카르복실산 고체와 DIW 의 혼합물은, DIW 에 용해될 고체에 대한 지속기간을 짧게 하기 위해 약 75℃ 내지 약 85℃ 로 가열될 수 있다. 고체가 용해되면, 세정 용액이 냉각될 수 있다. 냉각 프로세스 도중에, 니들 또는 플레이트 형상의 고체 성분이 침전할 것이다.
일 실시형태에서, 점성액 (107) 은, 그 점도가 전단 속도의 증가에 따라서 감소되는 비-뉴턴성 유체이다. 그러나, 점성 유체 (107) 는 뉴턴성 유체일 수 있다. 도 1c 는 설명된 실시형태의 비-뉴턴성 유체의 도면을 나타낸다. 전단 속도가 매우 높을 때, 점도는 0 에 접근한다. 비-뉴턴성 유체의 점도는 전단 속도가 증가함에 따라 감소한다. 세정 동작 도중에, 전단 속도의 특정 범위가 선택된다. 예로서, DIW 에 3-4 중량/중량% 카르복실산을 가지는 액체 겔은 초당 0.1 의 전단 속도에서 약 1000cP 의 점도를 가지고, 전단 속도가 초당 1000 까지 증가할 때 점도는 약 10cP 로 감소한다.
전술한 바와 같이, 점성액 (107) 은 약 10cP 내지 약 10,000cP 사이의 점도를 갖는다. 전단력이 용액 (101) 의 표면상에 공급될 때, 점성액 (107) 은 전단력의 일부를 고체 화합물 (109) 에 전달시킬 수 있다. 고체 화합물 (109) 은 오염물질 (103) 에 접촉할 수 있고, 기판 표면으로부터 오염물질을 분리하여 이동시킬 수 있다.
특정 실시형태에 기초하여, 세정 물질 (101) 내의 고체 성분 (109) 은 고체 상태내의 필수적인 임의의 하위-상태를 나타내는 물리적 특성을 소유할 수도 있고, 고체 상태는 액체 또는 기체 이외의 상태로 정의된다. 예를 들어, 탄성 및 가소성과 같은 물리적 특성은 세정 물질 (101) 내의 상이한 유형의 고체 성분 (109) 중에서 변화할 수 있다. 추가적으로, 다양한 실시형태에서, 고체 성분 (109) 은 액정성 고체 또는 비-액정성 고체로서 정의될 수 있다. 그 특별한 물리적 특성에 관계 없이, 세정 물질 (101) 내의 고체 성분 (109) 은, 기판 표면 (106) 과 인접하거나 또는 접촉하여 위치될 때 기판 표면 (106) 의 표면으로의 부착을 회피할 수 있어야만 한다. 추가적으로, 고체 성분 (109) 의 기계적 특성은 세정 프로세스 도중에 기판 표면 (106) 에 손상을 유발하지 않아야만 한다. 일 실시형태에서, 고체 성분 (109) 의 경도는 기판 표면 (106) 의 경도보다 낮다.
또한, 고체 성분 (109) 은, 오염물질 (103) 에 인접하여 또는 접촉하여 위치될 때 기판 표면 (106) 에 존재하는 오염물질 (103) 과의 상호작용을 확립할 수 있어야만 한다. 예를 들어, 고체 성분 (109) 의 크기 및 형상은 고체 성분 (109) 과 오염물질 (103) 사이의 상호작용을 확립하는 것에 대해 우호적이어야만 한다. 일 실시형태에서, 고체 화합물 (109) 은 오염물질의 단면 면적보다 큰 단면 면적을 갖는다. 도 1d 에 도시된 바와 같이, 고체 성분 (109') 이 미립자 오염물질 (103') 의 표면적 (A103') 에 비해 큰 표면적 (A109') 을 가질 경우, 고체 성분 (109') 에 가해진 전단력 (FS') 은 대략 면적비가 곱해진 전단력 (FS'×A109'/A103') 으로 미립자 오염물질 (103') 에 전달된다. 예를 들어, 미립자 오염물질 (103') 의 유효 직경 D 는 약 0.1 미크론 미만이다. 고체 성분 (109') 의 폭 W 과 길이 L 는 모두 약 5 미크론 내지 약 50 미크론 사이에 있고, 고체 성분 (109') 의 두께는 약 1 미크론 내지 약 5 미크론 사이에 있다. 면적비 (또는 힘 배율) 는 약 2,500 내지 약 250,000 이상일 수 있다. 미립자 오염물질 (103') 에 가해진 전단력은 매우 클 수 있고, 기판 표면 (106) 으로부터 미립자 오염물질 (103') 을 제거할 수 있다.
고체 성분 (109') 에서 오염물질 (103') 에 전달된 에너지는 직접적인 또는 간접적인 콘택트를 통해서 발생할 수 있고, 오염물질 (103') 로 하여금 기판 표면 (106) 으로부터 제거되도록 할 수도 있다. 이 실시형태에서, 고체 성분 (109') 은 오염물질 (103') 보다 부드러울 수도 있고 또는 단단할 수도 있다. 고체 성분 (109') 이 오염물질 (103') 보다 부드러운 경우, 고체 성분 (109') 의 더욱 많은 변형 (deformation) 이 충돌 도중에 발생할 가능성이 있고, 이는, 결과적으로 기판 표면 (106) 으로부터 오염물질 (103') 을 제거하기 위한 운동 에너지의 전달 을 적게한다. 고체 성분 (109') 이 오염물질 (103') 보다 부드러운 경우, 고체 성분 (109') 과 오염물질 (103') 사이의 부착 커넥션은 더욱 강해야만 할 수도 있다. 반대로, 고체 성분 (109') 이 적어도 오염물질 (103') 만큼 단단한 경우, 에너지의 실질적으로 완전한 전달이 고체 성분 (109') 과 오염물질 (103') 사이에서 발생할 수 있고, 따라서, 기판 표면 (106) 으로부터 오염물질 (103') 을 제거하도록 작용하는 힘을 증가시킨다. 그러나, 고체 성분 (109') 이 적어도 오염물질 (103') 만큼 단단한 경우, 고체 성분 (109') 의 변형에 의존하는 상호작용력은 감소될 수도 있다. 고체 성분 (109') 및 오염물질 (103') 과 관련된 물리적 특성 및 상대 속도가 그들간의 충돌 상호작용에 영향을 줄 수 있다는 것을 파악해야만 한다.
도 1e 및 도 1f 는 기판 표면 (106) 으로부터 오염물질 (103) 을 제거하기 위해 세정 물질 (101) 이 어떻게 기능하는지에 대한 일 실시형태를 나타낸다. 세정 프로세스 도중에, 힘 F 의 하향 성분인 하향력 FD 이 점성액 (107) 내의 고체 성분 (109) 에 작용하여, 고체 성분 (109) 은 기판 표면 (106) 상의 오염물질 (103) 과 인접하게 또는 접촉하여 놓여진다. 점성액 (107) 의 상대적으로 높은 점도는 점성액 (107) 에 가해진 하향력의 상당한 부분이 고체 성분 (109) 으로 가해지게 한다. 고체 성분 (109) 이 오염물질 (103) 과 충분히 인접하여 또는 접촉하여 힘이 가해질 때, 고체 성분 (109) 과 오염물질 (103) 사이의 상호작용이 확립된다. 고체 성분 (109) 과 오염물질 (103) 사이의 상호작용은 오염물질 (103) 과 기판 표면 (106) 사이의 부착력뿐만 아니라 고체 성분 (109) 과 오염물질 사이의 임의의 척력을 극복하기에 충분하다. 따라서, 고체 성분 (109) 이 힘 (F) 에 대한 전단 성분인 전단력 (FS) 에 의해 기판 표면 (106) 으로부터 분리하도록 이동될 때, 고체 성분 (109) 과 상호작용한 오염물질 (103) 은 또한 기판 표면 (106) 으로부터 분리되도록 이동하고, 즉, 오염물질 (103) 은 기판 표면 (106) 으로부터 세정된다. 일 실시형태에서, 고체 성분 (109) 과 오염물질 (103) 사이의 상호작용은 고체 성분 (109) 이 오염물질 (103) 에 충분히 가까이 가도록 힘이 가해질 때 발생한다. 일 실시형태에서, 이 거리는 약 10 나노미터 이내에 있을 수도 있다. 다른 실시형태에서, 고체 성분 (109) 과 오염물질 (103) 사이의 상호작용은 고체 성분 (109) 이 오염물질 (103) 과 실질적으로 접촉할 때 발생한다. 이 상호작용은 또한 오염물질 (103) 과 결합되는 고체 성분 (109) 으로 지칭될 수도 있다.
고체 성분 (109) 과 오염물질 (103) 사이의 상호작용력은 오염물질 (103) 을 기판 표면 (106) 으로 결합시키는 힘보다 크다. 도 1f 은, 고체 성분 (109) 이 기판 표면 (106) 으로부터 분리되게 이동되는 때를 나타내고, 고체 성분 (109) 에 결속된 오염물질 (103) 은 또한 기판 표면 (106) 으로부터 분리되도록 이동된다. 다수의 오염물질 제거 메커니즘이 세정 프로세스 도중에 발생할 수 있다는 것이 이해해야 한다.
고체 성분 (109) 이 오염물질 (103) 과 상호작용하여 세정 프로세스에 영향 을 주기 때문에, 기판 표면 (106) 에 걸친 오염물질 (103) 의 제거는 고체 성분 (109) 이 기판 표면 (106) 에 걸쳐서 얼마나 잘 분포되어있는 지에 의존할 것이라는 것이 파악된다. 바람직한 실시형태에서, 기판 표면 (106) 상의 본질적인 모든 오염물질 (103) 이 적어도 하나의 고체 성분 (109) 과 인접하게 있게 되도록 고체 성분 (109) 이 잘 분포될 것이다. 또한, 동시 방식 또는 순차 방식 둘 중 하나의 방식으로, 하나의 고체 성분 (109) 이 하나 보다 많은 오염물질 (103) 과 접촉되거나 또는 상호작용할 수도 있다는 것이 파악된다. 또한, 고체 성분 (109) 은 모든 동일한 성분에 대향하는 상이한 성분의 혼합물일 수도 있다. 따라서, 세정 용액은 특정 목적을 위해 디자인된 것, 즉, 특정 오염물질을 타겟으로 하는 것일 수 있고, 또는, 세정 용액은 다수의 고체 성분이 제공된 오염물질 타겟의 폭 넓은 스펙트럼을 가질 수 있다.
고체 성분 (109) 과 오염물질 (103) 사이의 상호작용은, 특히, 부착, 충돌, 및 인력을 포함하는 하나 이상의 메커니즘을 통해서 확립될 수 있다. 고체 성분 (109) 과 오염물질 (103) 사이의 부착은 화학적 상호작용 및/또는 물리적 상호작용을 통해서 확립될 수 있다. 예를 들어, 일 실시형태에서, 화학적 상호작용은 고체 성분 (109) 과 오염물질 (103) 사이에 부착 효과 (glue-like effect) 를 야기한다. 다른 실시형태에서, 고체 성분 (109) 과 오염물질 (103) 사이의 물리적 상호작용은 고체 성분 (109) 의 기계적 특성에 의해 용이하게 된다. 예를 들어, 오염물질 (103) 에 대해 가압될 때, 오염물질 (103) 이 가단성 (malleable) 고체 성분 (109) 에 각인되도록, 고체 성분 (109) 은 가단성일 수 있다. 다른 실시형태에서, 오염물질 (103) 은 고체 성분 (109) 의 네트워크에 얽히게 될 수 있다. 이 실시형태에서, 고체 성분 (109) 의 네트워크를 통해서 오염물질 (103) 에 기계적 응력이 전달될 수 있고, 이에 따라, 기판 표면 (106) 으로부터 오염물질 (103) 의 제거에 필요한 기계적 힘이 제공된다.
오염물질 (103) 에 의한 각인으로 것으로 인한 고체 성분 (109) 의 변형은 고체 성분 (109) 과 오염물질 (103) 사이의 기계적 링크를 생성한다. 예를 들어, 오염물질 (103) 이 고체 성분 (109)으로 가압됨에 따라서, 고체 성분 (109) 재료가 쉽게 벗어날 수 없는 오염물질 (103) 의 표면 윤곽 내의 범위로 고체 성분 (109) 재료의 일부가 들어가도록 오염물질 (103) 의 표면 윤곽이 될 수도 있어서, 이에 따라, 로킹 (locking) 메커니즘이 생성될 수 있다.
전술한 사항에 더해, 일 실시형태에서, 고체 성분 (109) 과 오염물질 (103) 사이의 상호작용은 정전기적 인력으로부터 초래될 수 있다. 예를 들어, 고체 성분 (109) 과 오염물질 (103) 이 반대의 표면 전하를 가지는 경우, 이들은 서로 전기적으로 끌리게 될 것이다. 고체 성분 (109) 과 오염물질 (103) 사이의 정전기적 인력은 오염물질 (103) 을 기판 표면 (106) 에 결합하는 힘을 극복하는데 충분할 수 있다.
다른 실시형태에서, 고체 성분 (109) 과 오염물질 (103) 사이에 정전기적 척력이 존재할 수도 있다. 예를 들어, 고체 성분 (109) 과 오염물질 (103) 모두는 음의 표면 전하 또는 양의 표면 전하 둘 중 하나를 가질 수 있다. 고체 성분 (109) 과 오염물질 (103) 이 충분히 가깝게 근접하여 놓여질 경우, 그들 사이의 정전기적 척력은 반 데르 발스의 인력을 통해서 극복될 수 있다. 반 데르 발스의 인력 힘이 고체 성분 (109) 과 오염물질 (103) 사이에서 확립되도록, 점성액 (107) 에 의해 고체 성분 (109) 에 가해진 힘은 정전기적 척력을 극복하기에 충분할 수도 있다.
추가적으로, 다른 실시형태에서, 그들간의 정전기적 척력이 상호작용을 용이하게 하기 위해 감소되도록, 또는, 고체 성분 또는 오염물질 둘 중 하나가 다른 하나의 표면 전하에 반대되는 표면 전하를 나타내어 정전기적 인력을 초래하도록, 점성액 (107) 의 pH 는 고체 성분 (109) 과 오염물질 (103) 중 하나 또는 모두에 존재하는 표면 전하를 보상하도록 조절될 수 있다. 예를 들어, 수산화 암모늄 (NH4OH) 과 같은 염기 (base) 를, DIW 에 카르복실산의 3-4% 를 용해시킴으로써 제조된 카르복실산 겔에 겔 (점성액) 의 pH 값을 증가시키기 위한 지방산 고체 성분과 함께 첨가될 수 있다. 첨가된 NH4OH 의 양은 약 0.05% 내지 약 5% 사이이고, 바람직하게는 약 0.25% 내지 약 2% 사이이다. 수산화 암모늄은, 지방산 고체가 겔 내에서 가수분해되어 그리고 분산되도록 돕는다. 또한, 수산화 암모늄은 오염물질 (103) 을 가수분해할 수 있다. 금속 오염물질을 세정하기 위해, 더욱 낮은 pH 용액이 이용될 수도 있다. 완충 불산 용액 (buffered HF solution) 이 이용되어 그 pH 값을 약 6 내지 약 8 사이로 조절할 수 있다.
또한, 세정 효율을 강화하기 위해 수산화 암모늄과 같은 염기를 이용하는 것뿐만 아니라, 암모늄 도데실 설페이트 (CH3(CH2)11OSO3NH4) 과 같은 계면활성제가 카 르복실산 겔에 첨가될 수 있다. 일 실시형태에서, 약 0.1% 내지 약 5% 의 계면활성제가 세정 용액 (101) 에 첨가된다. 바람직한 실시형태에서, 약 0.5% 내지 약 2% 의 계면활성제가 세정 용액 (101) 에 첨가된다.
또한, 고체 성분 (109) 은 점성액 (107) 내에서 용해를 회피해야만 하고 또는 제한된 용해도를 가지도록 해야만 하며, 점성액 (107) 전체적으로 분산을 가능하게 하는 표면 작용을 가져야만 한다. 액체 매질 (107) 전체적으로 분산을 가능하게 하는 표면 작용을 가지지 않는 고체 성분 (109) 에 대해, 화학적 분산제가 액체 매질 (107) 에 첨가되어 고체 성분 (109) 의 분산을 가능하게 할 수도 있다. 그 구체적 화학적 특징과 주변 점성액 (107) 과의 상호작용에 기초하여, 고체 성분 (109) 은 하나 이상의 몇몇 상이한 형태를 취할 수도 있다. 예를 들어, 다양한 실시형태에서, 고체 성분 (109) 은 집합체, 콜로이드, 겔, 합체형 구면, 또는 본질적으로 임의의 다른 유형의 부착물, 응고물, 응집물, 집괴물, 또는 유착물을 형성할 수도 있다. 다른 실시형태에서, 고체 성분 (109) 은 여기에 구체적으로 나타내지 않은 형태를 취할 수도 있다. 따라서, 기판 표면 (106) 과 오염물질 (103) 의 상호작용에 대해 전술된 방식으로 작용할 수 있는 본질적으로 임의의 고체 물질로서 고체 성분 (109) 이 정의될 수 있다는 것이 파악해야할 요지이다.
몇몇 예시적인 고체 성분 (109) 은 지방족 산, 카르복실산, 파라핀, 셀룰로오스, 왁스, 폴리머, 폴리스티렌, 폴리펩티드, 및 다른 점탄성 재료를 포함한다. 고체 성분 (109) 재료는 점성액 (107) 내에 그 용해도 한계를 초과하는 농도로 존재해야만 한다. 또한, 특정 고체 성분 (109) 재료와 관련된 세정 효율성은 온도, pH, 및 다른 환경적 조건의 함수로서 변화할 수도 있다는 것을 이해해야만 한다.
지방족 산은 탄소 원자가 개방형 사슬을 형성하는 유기 화합물에 의해 정의된 본질적인 임의의 산을 나타낸다. 지방산은 지방족 산의 예이고 세정 물질 (101) 내의 고체 성분 (109) 으로서 이용될 수 있는 카르복실산의 예이다. 고체 성분 (109) 으로서 이용될 수도 있는 지방산의 예는, 특히 라우르산, 팔미트산, 스테아르산, 올레산, 리놀레산, 리놀렌산, 아라키돈산, 가돌레산, 에루신산, 부티르산, 카프로산, 카프릴산, 미리스트산, 마르가르산, 베헨산, 리그노세르산, 미리스톨레산, 팔미톨레산, 너반산, 파리나르산, 팀노돈산, 브라스산, 클루파노돈산, 리그노세릭산, 세로트산, 및 그 혼합물을 포함할 수도 있다. 일 실시형태에서, 고체 성분 (109) 은 C4 내지 약 C-26 으로 연장하는 다양한 탄소 사슬 길이로 정의된 지방산의 혼합물을 나타낼 수 있다. 카르복실산은 하나 이상의 카르복시기 (COOH) 를 포함하는 필수적인 임의의 유기산에 의해 정의된다. 또한, 카르복실산은 메틸, 비닐, 알킨, 아미드, 1 차 아민, 2 차 아민, 3 차 아민, 아조, 니트릴, 니트로, 니트로소, 피리필, 카르복실, 퍼록시, 알데히드, 케톤, 1 차 이민, 2 차 이민, 에테르, 에스테르, 할로겐, 이소시아네이트, 이소티오시아네이트, 페닐, 벤질, 포스포디에스테르, 설피드릴 (sulfhydryl) 과 같은 다른 작용기를 포함할 수 있지만 이에 한정되지 않으며 점성액 (107) 에서 불용해성으로 여전히 유지된다.
추가적으로, 고체 성분 (109) 재료의 표면 기능은, 카르복실레이트, 포스페 이트, 설페이트기, 폴리올기, 에틸렌 옥사이드 등과 같은, 점성액 (107) 과 혼합될 수 있는 부위 (moiety) 를 포함함으로써 영향을 받을 수 있다. 고체 성분 (109) 이 기판 (105) 상에 존재하는 오염물질 (103) 과 상호작용하도록 힘을 가할 수 없는 형상으로 함께 응집되는 것을 회피하도록, 고체 성분 (109) 이 점성액 (107) 의 전체를 통해서 실질적으로 균일하게 분산이 가능해야 한다는 것이 이해될 수 있다.
점성액 (107) 은 이온성 또는 비-이온성 용매 그리고 다른 화학적 첨가제를 포함하도록 변형될 수 있다. 예를 들어, 점성액 (107) 에 첨가하는 화학물질은 조용매 (co-solvent), pH 개질제, 킬레이트 시약 (chelating agent), 극성 용매, 계면활성제, 수산화 암모늄, 과산화수소, 플루오르화수소산, 테트라메틸암모늄 히드록시드, 및 폴리머, 미립자 및 폴리펩티드와 같은 레올로지 개질제 (rheology modifier) 의 임의의 조합을 포함할 수 있다.
도 2 는, 본 발명의 일 실시형태에 따라서, 기판 표면으로부터 오염물질을 제거하기 위한 방법의 플로우차트를 나타내는 도면이다. 도 2 의 방법에 원용된 기판은, 제조 프로세서와 관련된 오염물질이 제거될 필요가 있는 임의의 다른 유형의 기판 또는 반도체 웨이퍼를 나타낼 수 있다는 것을 파악해야만 한다. 또한, 도 2 의 방법에 원용된 오염물질은 미립자 오염물질, 미량 금속 (trace metal) 오염물질, 유기 오염물질, 포토레지스트 잔해, 웨이퍼 처리 장비로부터의 오염물질, 및 웨이퍼 배면 미립자 오염물질을 포함하지만 한정하지 않는, 반도체 웨이퍼 제조 프로세스와 관련된 표면 오염물질의 필수적인 임의의 유형을 나타낼 수 있다.
도 2 의 방법은 기판상에 세정 물질 (또는 용액) 을 배치하기 위한 동작 (201) 을 포함하고, 여기서, 세정 물질은 점성액, 또는 겔 내에서 분산된 고체 성분을 포함한다. 도 2 의 방법에 원용된 세정 물질은 도 1a 내지 도 1f 에 관련하여 사전에 설명된 물질과 동일하다. 따라서, 세정 물질 내의 고체 성분은 점성액 내에서 부유상태로 분산된다. 또한, 고체 성분은 기판의 손상을 회피하고 기판 표면으로의 부착을 회피하도록 정의된다.
또한, 이 방법은, 고체 성분과 오염물질 사이의 상호작용이 확립되도록, 기판상에 존재하는 오염물질에 인접하여 고체 성분이 놓이도록 고체 성분에 힘을 가하기 위한 동작 (203) 을 포함한다.
추가적으로, 일 실시형태에서, 이 방법은 고체 성분과 오염물질 사이의 상호작용을 강화하기 위해 세정 물질의 온도를 조절하기 위한 동작을 포함할 수 있다. 더욱 상세하게는, 세정 물질의 온도는 고체 성분의 특성을 제어하도록 조절될 수 있다. 예를 들어, 더 높은 온도에서, 고체 성분이 오염물질을 향해서 가압될 때 더 순응하도록 더 가단성을 가질 수도 있다. 그 후, 고체 성분이 오염물질에 가압되고 순응되면, 오염물질에 대한 순응성 형상을 더욱 잘 유지하기 위해 고체 성분이 가단성을 덜 가지도록 온도가 저하되고, 이에 따라서, 고체 성분과 오염물질이 함께 효과적으로 로킹된다. 온도는 점성액의 점도를 제어하도록 이용된다. 또한, 이 온도는 용해성을 제어하도록, 따라서, 고체 성분의 농도를 제어하도록 이용될 수도 있다. 예를 들어, 더욱 높은 온도에서, 고체 성분은 점 성액에서 용해될 가능성이 더 높을 수도 있다. 또한, 온도는 액체-액체 부유물로부터의 고체 성분의 형성을 기판상에 인시츄 (in-situ) 로 제어하고 및/또는 가능하게 하도록 이용될 수도 있다. 다른 실시형태에서, 이 방법은 점성액 내에 용해된 고체를 침전시키기 위한 동작을 포함할 수 있다. 이 침전 동작은, 그 고체를 용매에 용해시킨 후, 용매와 섞일 수 있지만 그 고체를 용해시키지 않는 성분을 첨가함으로써 달성될 수 있다.
또한, 이 방법은, 고체 성분과 상호작용된 오염물질을 기판 표면으로부터 제거하기 위해, 그 고체 성분을 기판 표면으로부터 분리하도록 이동시키기 위한 동작 (205) 을 포함한다. 일 실시형태에서, 이 방법은, 기판으로부터 고체 성분 및/또는 오염물질을 분리시키는 움직임을 제어하고 또는 강화하기 위해 기판상에서 세정 물질의 유속을 제어하기 위한 동작을 포함한다. 기판으로부터 오염물질을 제거하기 위한 본 발명의 방법은, 고체 성분이 제거될 오염물질과의 상호작용을 확립하도록 세정 물질의 고체 성분에 힘을 가하기 위한 수단이 있는 한, 수많은 다양한 방법으로 구현될 수 있다.
일 실시형태에서, 본 발명은 최종적인 세정의 동작을 포함할 수 있다. 최종 세정의 동작에서, 제거된 오염물질을 포함하는 세정 물질을 가지는 기판은, 기판 표면으로부터 세정 물질 모두의 제거를 용이하게 하는 적절한 화학물질(들)에 의해 통해서 세정된다. 예를 들어, 세정 물질의 점성액이 카르복실산 겔인 경우, DIW 에 희석된 NH4OH 는 기판 표면에서 카르복실산을 제거하기 위해 이용될 수 있다. NH4OH 는 카르복실산을 가수분해 (또는 탈양자화 (deprotonate) 에 의한 이온화) 하고, 가수분해된 카르복실산을 기판 표면으로부터 제거할 수 있게 한다. 이와 다르게, 암모늄 도데실 설페이트 (CH3(CH2)11OSO3NH4) 와 같은 계면활성제가 기판 표면으로부터 카르복실산 겔을 제거하기 위해 DIW 에 첨가될 수 있다.
다른 실시형태에서, 린스 동작이 전술한 최종 세정 동작에 뒤따른다. 최종 세정 이후에, 기판 표면은 DIW 와 같은 액체로 린스되어 기판 표면으로부터 최종 세정에 이용된 화학물질(들)을 제거할 수 있다. 최종 린스에 이용된 액체는 이것이 증발한 후에 기판 표면상에 어떠한 화학적 잔여물(들)도 남아있지 않아야만 한다.
도 3 은 기판 표면 세정 시스템 (300) 의 일 실시형태의 개략적인 도면을 나타낸다. 시스템 (300) 은 기판 지지 어셈블리 (304) 를 수용하는 용기 (307) 를 갖는다. 기판 지지 어셈블리 (304) 는 기판 (301) 을 지지하는 기판 홀더 (305) 를 가진다. 기판 지지 어셈블리 (304) 는 회전 메커니즘 (310) 에 의해 회전된다. 시스템 (300) 이 세정 물질 애플리케이터 (306) 를 포함하는 세정 물질 공급 어셈블리 (303) 를 갖는다. 애플리케이터 (306) 에, 세정 물질이 기판 (301) 의 표면상에 공급되게 하는 다수의 공급 홀 (308) 이 있다. 회전 메커니즘 (310) 의 도움을 통해서, 세정 물질 (307) 은 전체적인 기판 표면을 커버한다. 일 실시형태에서, 세정 물질의 분배 동작을 통해서 애플리케이터 (306) 는 세정 물질과 기판 표면에 하향력을 제공한다. 공기 압력에 의해 또는 기계적 펌프에 의해 애플리케이터 (306) 의 외부로 세정 물질이 가압될 수 있다. 다른 실시형태에서, 애플리케이터 (306) 는 하향 기계적 힘에 의해 기판 표면상의 세정 물질에 하향력을 제공한다. 회전 메커니즘 (310) 은 세정 물질 및 기판 표면에 전단력을 제공한다. 일 실시형태에서, 회전 메커니즘 (310) 은 약 1 RPM (round per minute) 내지 약 100 RPM 사이의 속도로, 바람직하게는 약 5 RPM 내지 약 30 RPM 사이의 속도로 회전된다. 애플리케이터 (306) 로부터 세정 물질을 푸싱 (push) 하기 위해 세정 물질 (또는 화합물) 상에 가해진 압력은 약 5PSI 내지 약 20PSI 사이에 있다. 이와 다르게, 애플리케이터 (306) 는 기판 (301) 의 중앙 주변에서 회전하여 전단력을 제공할 수 있다.
일 실시형태에서, 시스템 (300) 은 애플리케이터 (320) 를 포함하고, 이 애플리케이터는 세정 물질에 의한 오염물질-제거의 프로세스가 완성된 후에 세정 물질의 기판 표면을 세정하기 위해 기판 표면상에 DIW (321) 를 공급할 수 있다. 다른 실시형태에서, 애플리케이터 (320) 는 점성액이 기판 표면에서 제거될 수 있도록 점성액을 가수분해하기 위해 기판 표면상에서, 전술한 DIW 속의 NH4OH 와 같은 세정 용액을 공급할 수 있다. 이 후, 동일한 분배기 (320) 또는 상이한 분배기 (미도시) 가 기판 표면으로부터 세정 용액을 제거하기 위해 DIW 를 공급할 수 있다.
본 발명이 수개의 실시형태에 관련하여 설명되었지만, 당업자는 전술한 상세설명을 읽고 도면을 연구함으로써 다양한 변화물, 추가물, 치환물 및 그 등가물을 실현할 것이다. 따라서, 본 발명은 본 발명의 진정한 취지 및 범위에 포함되는 이러한 모든 변화물, 추가물, 치환물, 및 등가물을 포함하는 것이 의도된다. 청구범위에서, 엘리먼트들 및/또는 단계들은, 청구항에서 명백하게 명시되지 않는 한, 동작의 임의의 특정 순서를 함축하지 않는다.

Claims (24)

  1. 반도체 기판 표면으로부터 미립자 오염물질들을 제거하기 위한 세정 화합물로서,
    약 1cP 내지 약 10,000cP 사이의 점도를 가지는 점성액; 및
    상기 점성액 내에 분산된 복수의 고체 성분들을 포함하고,
    상기 복수의 고체 성분들은 상기 반도체 기판 표면상의 상기 미립자 오염물질들과 상호작용하여 상기 반도체 기판 표면으로부터 상기 미립자 오염물질들을 제거하는, 세정 화합물.
  2. 제 1 항에 있어서,
    상기 점성액은 약 2% 내지 약 5% 사이의 카르복실산을 순수 (de-ionized water) 에 용해시킴으로써 제조된 카르복실산 겔인, 세정 화합물.
  3. 제 1 항에 있어서,
    상기 복수의 고체 성분들은 4 보다 큰 탄소수를 가지는 지방산인, 세정 화합물.
  4. 제 3 항에 있어서,
    상기 지방산은 라우르산, 팔미트산, 스테아르산, 올레산, 리놀레산, 리놀렌 산, 아라키돈산, 가돌레산, 에루신산, 부티르산, 카프로산, 카프릴산, 미리스트산, 마르가르산, 베헨산, 리그노세르산, 미리스톨레산, 팔미톨레산, 너반산, 파리나르산, 팀노돈산, 브라스산, 클루파노돈산, 및 그 혼합물로 이루어지는 그룹으로부터 선택된, 세정 화합물.
  5. 제 1 항에 있어서,
    상기 복수의 고체 성분들은 약 5 미크론 내지 약 50 미크론 사이의 폭 및 길이를 가지는, 세정 화합물.
  6. 제 1 항에 있어서,
    상기 복수의 고체 성분들의 각각은 0.1 미크론 미만인 직경을 가지는, 세정 화합물.
  7. 제 1 항에 있어서,
    상기 점성액의 pH 값은 약 10 내지 약 11 사이인, 세정 화합물.
  8. 제 7 항에 있어서,
    상기 pH 는 수산화암모늄을 상기 점성액에 첨가함으로써 조절되는, 세정 화합물.
  9. 제 1 항에 있어서,
    상기 복수의 고체 성분들을 분산시키도록 도와주는 계면활성제를 더 포함하는, 세정 화합물.
  10. 제 9 항에 있어서,
    상기 계면활성제는 암모늄 도데실 설페이트 (ammonium dodecyl sulfate) 인, 세정 화합물.
  11. 제 1 항에 있어서,
    상기 점성액은 겔인, 세정 화합물.
  12. 기판의 기판 표면으로부터 미립자 오염물질들을 세정하기 위한 장치로서,
    상기 기판을 유지하기 위한 기판 지지 어셈블리; 및
    상기 기판 표면으로부터 상기 미립자 오염물질들을 세정하기 위해 세정 화합물을 공급하는 (dispense) 애플리케이터 (applicator) 를 포함하고,
    상기 세정 화합물은 약 1 cP 내지 약 10,000 cP 사이의 점도를 가지는 점성액이고, 상기 점성액 내에는 복수의 고체 성분들이 분산되어 있는, 세정 장치.
  13. 제 12 항에 있어서,
    상기 기판 지지 어셈블리는 회전 메커니즘에 의해 회전되는, 세정 장치.
  14. 제 12 항에 있어서,
    상기 애플리케이터는 상기 기판의 중앙 주위를 회전하는, 세정 장치.
  15. 제 12 항에 있어서,
    상기 애플리케이터는 공기 압력 또는 기계적 펌프 중 어느 하나를 이용하여 상기 애플리케이터 아래에 있는 상기 세정 화합물에 하향력을 가하여 상기 세정 화합물을 공급하는, 세정 장치.
  16. 제 12 항에 있어서,
    세정액 공급 (dispensing) 헤드를 더 포함하고,
    상기 세정액 공급 헤드에 의해 공급된 세정액은 상기 기판 표면으로부터 세정 물질을 제거하기 위한 것인, 세정 장치.
  17. 제 12 항에 있어서,
    상기 점성액은 겔인, 세정 장치.
  18. 기판 표면으로부터 미립자 오염물질들을 세정하기 위한 방법으로서,
    내부에 분산된 고체 성분들을 가지는 점성액을 상기 기판 표면에 도포하는 단계;
    상기 점성액에 하향 성분 및 전단 성분을 가지는 힘을 가하여 상기 기판 표면상의 미립자 오염물질의 주변내에 적어도 하나의 고체 성분을 놓이게 하는 단계; 및
    상기 적어도 하나의 고체 성분 및 상기 미립자 오염물질을 상기 기판 표면으로부터 분리시켜 제거하는 단계를 포함하는, 미립자 오염물질 세정 방법.
  19. 제 18 항에 있어서,
    상기 점성액의 점도는 약 1cP 내지 약 10,000cP 사이인, 미립자 오염물질 세정 방법.
  20. 제 18 항에 있어서,
    상기 적어도 하나의 고체 성분과 상기 미립자 오염물질 사이에서 상호작용이 확립되는, 미립자 오염물질 세정 방법.
  21. 제 18 항에 있어서,
    상기 점성액은, 그 점도가 전단 응력에 따라서 감소하는 비-뉴턴성 액체인, 미립자 오염물질 세정 방법.
  22. 제 18 항에 있어서,
    상기 점성액은 겔인, 미립자 오염물질 세정 방법.
  23. 제 18 항에 있어서,
    상기 점성액을 가수분해하는 세정 용액을 공급함으로써 상기 기판 표면으로부터 상기 점성액을 제거하는 단계를 더 포함하고,
    상기 세정 용액은 상기 점성액의 용해도를 증대시키는 화학물질을 가지는, 미립자 오염물질 세정 방법.
  24. 제 23 항에 있어서,
    상기 기판 표면으로부터 상기 세정 용액을 제거하기 위해 순수를 공급함으로써 상기 기판 표면으로부터 상기 세정 용액을 제거하는 단계를 더 포함하는, 미립자 오염물질 세정 방법.
KR1020087018741A 2005-12-30 2008-07-29 2-상 기판 세정 물질을 이용하기 위한 방법 및 시스템 KR101376911B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US75537705P 2005-12-30 2005-12-30
US60/755,377 2005-12-30
US11/519,354 US7799141B2 (en) 2003-06-27 2006-09-11 Method and system for using a two-phases substrate cleaning compound
US11/519,354 2006-09-11
PCT/US2006/048706 WO2007078975A2 (en) 2005-12-30 2006-12-19 Method and system for using a two-phases substrate cleaning compound

Publications (2)

Publication Number Publication Date
KR20080091356A true KR20080091356A (ko) 2008-10-10
KR101376911B1 KR101376911B1 (ko) 2014-03-20

Family

ID=38228775

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020087018881A KR101426777B1 (ko) 2005-12-30 2006-12-08 기판으로부터 오염물질을 제거하기 위한 방법 및 장치
KR1020087018873A KR101312973B1 (ko) 2005-12-30 2006-12-21 반도체 기판을 세정하는 방법 및 장치
KR1020087018880A KR101401753B1 (ko) 2005-12-30 2006-12-26 반도체 기판을 세정하는 방법 및 장치
KR1020087018741A KR101376911B1 (ko) 2005-12-30 2008-07-29 2-상 기판 세정 물질을 이용하기 위한 방법 및 시스템

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020087018881A KR101426777B1 (ko) 2005-12-30 2006-12-08 기판으로부터 오염물질을 제거하기 위한 방법 및 장치
KR1020087018873A KR101312973B1 (ko) 2005-12-30 2006-12-21 반도체 기판을 세정하는 방법 및 장치
KR1020087018880A KR101401753B1 (ko) 2005-12-30 2006-12-26 반도체 기판을 세정하는 방법 및 장치

Country Status (9)

Country Link
US (2) US8475599B2 (ko)
EP (2) EP2428557A1 (ko)
JP (4) JP4892565B2 (ko)
KR (4) KR101426777B1 (ko)
CN (10) CN101351282B (ko)
MY (2) MY149848A (ko)
SG (2) SG154438A1 (ko)
TW (3) TWI330551B (ko)
WO (1) WO2007078955A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190136979A (ko) * 2018-05-31 2019-12-10 가부시키가이샤 스크린 홀딩스 기판 처리 방법 및 기판 처리 장치
KR20200096465A (ko) * 2018-05-31 2020-08-12 가부시키가이샤 스크린 홀딩스 기판 처리 방법 및 기판 처리 장치

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040031167A1 (en) 2002-06-13 2004-02-19 Stein Nathan D. Single wafer method and apparatus for drying semiconductor substrates using an inert gas air-knife
US8388762B2 (en) * 2007-05-02 2013-03-05 Lam Research Corporation Substrate cleaning technique employing multi-phase solution
EP2229243A4 (en) 2007-12-07 2012-11-21 Fontana Technology METHOD AND COMPOSITION FOR CLEANING DISCS
US8226775B2 (en) * 2007-12-14 2012-07-24 Lam Research Corporation Methods for particle removal by single-phase and two-phase media
US8828145B2 (en) * 2009-03-10 2014-09-09 Lam Research Corporation Method of particle contaminant removal
US9159593B2 (en) * 2008-06-02 2015-10-13 Lam Research Corporation Method of particle contaminant removal
US8105997B2 (en) * 2008-11-07 2012-01-31 Lam Research Corporation Composition and application of a two-phase contaminant removal medium
US8739805B2 (en) * 2008-11-26 2014-06-03 Lam Research Corporation Confinement of foam delivered by a proximity head
US8317934B2 (en) * 2009-05-13 2012-11-27 Lam Research Corporation Multi-stage substrate cleaning method and apparatus
US8251223B2 (en) * 2010-02-08 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning system and a package carrier for a semiconductor package
US8595929B2 (en) * 2010-10-21 2013-12-03 Siemens Energy, Inc. Repair of a turbine engine surface containing crevices
CN102569013A (zh) * 2010-12-17 2012-07-11 朗姆研究公司 用于检测晶片应力的系统和方法
CN102315098B (zh) * 2011-09-28 2016-03-30 上海华虹宏力半导体制造有限公司 清洗半导体基底和形成栅介质层的方法
CA2856196C (en) 2011-12-06 2020-09-01 Masco Corporation Of Indiana Ozone distribution in a faucet
KR20130072664A (ko) * 2011-12-22 2013-07-02 에스케이하이닉스 주식회사 반도체 메모리 소자의 제조방법
CN102744227A (zh) * 2012-07-16 2012-10-24 安徽未来表面技术有限公司 一种用于太阳能发电装置上的硅片清洗方法
ES2829625T3 (es) 2013-07-05 2021-06-01 Univ King Abdullah Sci & Tech Sistema y método para transportar un conjunto
CN103406322A (zh) * 2013-07-22 2013-11-27 彩虹显示器件股份有限公司 一种用于清洗基板玻璃的装置及方法
US10767143B2 (en) 2014-03-06 2020-09-08 Sage Electrochromics, Inc. Particle removal from electrochromic films using non-aqueous fluids
DE102014206875A1 (de) 2014-04-09 2015-10-15 Wacker Chemie Ag Verfahren zur Reinigung von technischen Anlagenteilen von Metallhalogeniden
EP3193356B1 (en) 2014-09-11 2023-12-20 Tokuyama Corporation Cleaning method and laminate of aluminum nitride single-crystal substrate
WO2017112795A1 (en) 2015-12-21 2017-06-29 Delta Faucet Company Fluid delivery system including a disinfectant device
CN106111610B (zh) * 2016-06-26 2018-07-17 河南盛达光伏科技有限公司 单晶硅线切割碎片表面附着性脏污预清洗处理方法
KR101955597B1 (ko) * 2017-05-17 2019-05-31 세메스 주식회사 세정액 제조 장치 및 방법
KR102341398B1 (ko) 2018-01-18 2021-12-17 가부시키가이샤 아이에이치아이 라이닝재 박리 방법
GB2574179B (en) * 2018-03-12 2021-06-30 Illinois Tool Works Contact cleaning surface assembly
KR102072581B1 (ko) 2018-05-04 2020-02-03 세메스 주식회사 기판 처리방법 및 처리장치
CN110883017B (zh) * 2018-09-10 2020-12-29 北京石墨烯研究院 静态清洁石墨烯表面的方法和装置
CN110591832A (zh) * 2019-09-26 2019-12-20 嘉兴瑞智光能科技有限公司 一种高效环保无污染硅片清洗剂及其制备方法
KR102281885B1 (ko) 2019-11-06 2021-07-27 세메스 주식회사 기판 세정 방법 및 기판 처리 장치

Family Cites Families (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL251243A (ko) 1959-05-04
US3212762A (en) 1960-05-23 1965-10-19 Dow Chemical Co Foam generator
US3360476A (en) * 1964-03-19 1967-12-26 Fmc Corp Liquid heavy duty cleaner and disinfectant
US3436262A (en) 1964-09-25 1969-04-01 Dow Chemical Co Cleaning by foam contact,and foam regeneration method
US3617095A (en) 1967-10-18 1971-11-02 Petrolite Corp Method of transporting bulk solids
GB1427341A (en) * 1972-05-22 1976-03-10 Unilever Ltd Liquid soap product
US3978176A (en) 1972-09-05 1976-08-31 Minnesota Mining And Manufacturing Company Sparger
GB1507472A (en) 1974-05-02 1978-04-12 Bunker Ramo Foamable coating remover composition
GB1447435A (en) * 1974-06-03 1976-08-25 Ferrara P J Barnes C A Gordon Soap composition and process of producing such
US4156619A (en) 1975-06-11 1979-05-29 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for cleaning semi-conductor discs
US4133773A (en) 1977-07-28 1979-01-09 The Dow Chemical Company Apparatus for making foamed cleaning solutions and method of operation
DE2823002B2 (de) * 1978-05-26 1981-06-04 Chemische Werke München Otto Bärlocher GmbH, 8000 München Verfahren zur Herstellung von Metallseifengranulat
US4238244A (en) 1978-10-10 1980-12-09 Halliburton Company Method of removing deposits from surfaces with a gas agitated cleaning liquid
US4387040A (en) * 1981-09-30 1983-06-07 Colgate-Palmolive Company Liquid toilet soap
US4838289A (en) 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
US4911761A (en) 1984-05-21 1990-03-27 Cfm Technologies Research Associates Process and apparatus for drying surfaces
EP0252394B1 (de) 1986-07-08 1989-09-20 Kohlensäurewerk Deutschland Gmbh Verfahren zum Trocknen von pflanzlichen oder tierischen Materialien
NL8601939A (nl) 1986-07-28 1988-02-16 Philips Nv Werkwijze voor het verwijderen van ongewenste deeltjes van een oppervlak van een substraat.
US4962776A (en) 1987-03-26 1990-10-16 Regents Of The University Of Minnesota Process for surface and fluid cleaning
US4817652A (en) 1987-03-26 1989-04-04 Regents Of The University Of Minnesota System for surface and fluid cleaning
US4849027A (en) 1987-04-16 1989-07-18 Simmons Bobby G Method for recycling foamed solvents
US4753747A (en) * 1987-05-12 1988-06-28 Colgate-Palmolive Co. Process of neutralizing mono-carboxylic acid
US5105556A (en) 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4867896A (en) * 1988-02-17 1989-09-19 Lever Brothers Company Cleaning compositions containing cross-linked polymeric thickeners and hypochlorite bleach
US5048549A (en) 1988-03-02 1991-09-17 General Dynamics Corp., Air Defense Systems Div. Apparatus for cleaning and/or fluxing circuit card assemblies
US5181985A (en) 1988-06-01 1993-01-26 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for the wet-chemical surface treatment of semiconductor wafers
US5000795A (en) 1989-06-16 1991-03-19 At&T Bell Laboratories Semiconductor wafer cleaning method and apparatus
US5102777A (en) 1990-02-01 1992-04-07 Ardrox Inc. Resist stripping
US5271774A (en) 1990-03-01 1993-12-21 U.S. Philips Corporation Method for removing in a centrifuge a liquid from a surface of a substrate
DE69102311T2 (de) * 1990-03-07 1994-09-29 Hitachi Ltd Vorrichtung und Verfahren zur Oberflächenreinigung.
DE4038587A1 (de) 1990-12-04 1992-06-11 Hamatech Halbleiter Maschinenb Transportvorrichtung fuer substrate
US5306350A (en) 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5261966A (en) 1991-01-28 1993-11-16 Kabushiki Kaisha Toshiba Method of cleaning semiconductor wafers using mixer containing a bundle of gas permeable hollow yarns
US5147574A (en) * 1991-03-05 1992-09-15 The Procter & Gamble Company Stable liquid soap personal cleanser
US5175124A (en) 1991-03-25 1992-12-29 Motorola, Inc. Process for fabricating a semiconductor device using re-ionized rinse water
US5242669A (en) * 1992-07-09 1993-09-07 The S. A. Day Mfg. Co., Inc. High purity potassium tetrafluoroaluminate and method of making same
US5288332A (en) 1993-02-05 1994-02-22 Honeywell Inc. A process for removing corrosive by-products from a circuit assembly
US5336371A (en) 1993-03-18 1994-08-09 At&T Bell Laboratories Semiconductor wafer cleaning and rinsing techniques using re-ionized water and tank overflow
US5464480A (en) 1993-07-16 1995-11-07 Legacy Systems, Inc. Process and apparatus for the treatment of semiconductor wafers in a fluid
US5911837A (en) 1993-07-16 1999-06-15 Legacy Systems, Inc. Process for treatment of semiconductor wafers in a fluid
US5472502A (en) 1993-08-30 1995-12-05 Semiconductor Systems, Inc. Apparatus and method for spin coating wafers and the like
US5656097A (en) 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5950645A (en) 1993-10-20 1999-09-14 Verteq, Inc. Semiconductor wafer cleaning system
US5518542A (en) 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
US5938504A (en) 1993-11-16 1999-08-17 Applied Materials, Inc. Substrate polishing apparatus
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
JP3380021B2 (ja) * 1993-12-28 2003-02-24 株式会社エフティーエル 洗浄方法
CN1102956C (zh) * 1993-12-30 2003-03-12 生态实验室股份有限公司 脲基固体清洁组合物的制法
EP0681317B1 (en) 1994-04-08 2001-10-17 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquefied gases
US5498293A (en) 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
US6081650A (en) 1994-06-30 2000-06-27 Thomson Licensing S.A. Transport processor interface and video recorder/playback apparatus in a field structured datastream suitable for conveying television information
US5705223A (en) 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
US5772784A (en) 1994-11-14 1998-06-30 Yieldup International Ultra-low particle semiconductor cleaner
US5660642A (en) 1995-05-26 1997-08-26 The Regents Of The University Of California Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor
JP3504023B2 (ja) 1995-05-26 2004-03-08 株式会社ルネサステクノロジ 洗浄装置および洗浄方法
US5968285A (en) 1995-06-07 1999-10-19 Gary W. Ferrell Methods for drying and cleaning of objects using aerosols and inert gases
US6035483A (en) * 1995-06-07 2000-03-14 Baldwin Graphic Systems, Inc. Cleaning system and process for making and using same employing a highly viscous solvent
US5964958A (en) 1995-06-07 1999-10-12 Gary W. Ferrell Methods for drying and cleaning objects using aerosols
US6532976B1 (en) 1995-07-10 2003-03-18 Lg Semicon Co., Ltd. Semiconductor wafer cleaning apparatus
JP3590470B2 (ja) * 1996-03-27 2004-11-17 アルプス電気株式会社 洗浄水生成方法および洗浄方法ならびに洗浄水生成装置および洗浄装置
DE19622015A1 (de) 1996-05-31 1997-12-04 Siemens Ag Verfahren zum Ätzen von Zerstörungszonen an einem Halbleitersubstratrand sowie Ätzanlage
TW416987B (en) 1996-06-05 2001-01-01 Wako Pure Chem Ind Ltd A composition for cleaning the semiconductor substrate surface
JP3350627B2 (ja) * 1996-07-03 2002-11-25 宮崎沖電気株式会社 半導体素子の異物除去方法及びその装置
DE19631363C1 (de) * 1996-08-02 1998-02-12 Siemens Ag Wässrige Reinigungslösung für ein Halbleitersubstrat
JPH1055993A (ja) 1996-08-09 1998-02-24 Hitachi Ltd 半導体素子製造用洗浄液及びそれを用いた半導体素子の製造方法
WO1998013149A1 (fr) 1996-09-25 1998-04-02 Shuzurifuresher Kaihatsukyodokumiai Systeme de lavage utilisant un gaz liquefie de haute densite
US5997653A (en) 1996-10-07 1999-12-07 Tokyo Electron Limited Method for washing and drying substrates
JP3286539B2 (ja) * 1996-10-30 2002-05-27 信越半導体株式会社 洗浄装置および洗浄方法
US5858283A (en) 1996-11-18 1999-01-12 Burris; William Alan Sparger
US5906021A (en) * 1996-12-06 1999-05-25 Coffey; Daniel Fluid-wetted or submerged surface cleaning apparatus
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US5900191A (en) 1997-01-14 1999-05-04 Stable Air, Inc. Foam producing apparatus and method
US5800626A (en) 1997-02-18 1998-09-01 International Business Machines Corporation Control of gas content in process liquids for improved megasonic cleaning of semiconductor wafers and microelectronics substrates
US20020157686A1 (en) * 1997-05-09 2002-10-31 Semitool, Inc. Process and apparatus for treating a workpiece such as a semiconductor wafer
US6701941B1 (en) 1997-05-09 2004-03-09 Semitool, Inc. Method for treating the surface of a workpiece
JPH10321572A (ja) 1997-05-15 1998-12-04 Toshiba Corp 半導体ウェーハの両面洗浄装置及び半導体ウェーハのポリッシング方法
JPH1126423A (ja) 1997-07-09 1999-01-29 Sugai:Kk 半導体ウエハ等の処理方法並びにその処理装置
US6152805A (en) 1997-07-17 2000-11-28 Canon Kabushiki Kaisha Polishing machine
US5932493A (en) 1997-09-15 1999-08-03 International Business Machines Corporaiton Method to minimize watermarks on silicon substrates
EP0905746A1 (en) 1997-09-24 1999-03-31 Interuniversitair Micro-Elektronica Centrum Vzw Method of removing a liquid from a surface of a rotating substrate
US6398975B1 (en) 1997-09-24 2002-06-04 Interuniversitair Microelektronica Centrum (Imec) Method and apparatus for localized liquid treatment of the surface of a substrate
US5904156A (en) 1997-09-24 1999-05-18 International Business Machines Corporation Dry film resist removal in the presence of electroplated C4's
US6491764B2 (en) 1997-09-24 2002-12-10 Interuniversitair Microelektronics Centrum (Imec) Method and apparatus for removing a liquid from a surface of a rotating substrate
US5807439A (en) * 1997-09-29 1998-09-15 Siemens Aktiengesellschaft Apparatus and method for improved washing and drying of semiconductor wafers
JP3039493B2 (ja) * 1997-11-28 2000-05-08 日本電気株式会社 基板の洗浄方法及び洗浄溶液
US6270584B1 (en) 1997-12-03 2001-08-07 Gary W. Ferrell Apparatus for drying and cleaning objects using controlled aerosols and gases
US5865901A (en) * 1997-12-29 1999-02-02 Siemens Aktiengesellschaft Wafer surface cleaning apparatus and method
US6042885A (en) * 1998-04-17 2000-03-28 Abitec Corporation System and method for dispensing a gel
US6049996A (en) 1998-07-10 2000-04-18 Ball Semiconductor, Inc. Device and fluid separator for processing spherical shaped devices
US5944581A (en) 1998-07-13 1999-08-31 Ford Motor Company CO2 cleaning system and method
JP3003684B1 (ja) 1998-09-07 2000-01-31 日本電気株式会社 基板洗浄方法および基板洗浄液
JP2000100801A (ja) 1998-09-25 2000-04-07 Sumitomo Electric Ind Ltd エピタキシャルウェハおよびその製造方法ならびにそれに用いられる化合物半導体基板の表面清浄化方法
JP2000141215A (ja) 1998-11-05 2000-05-23 Sony Corp 平坦化研磨装置及び平坦化研磨方法
JP2000265945A (ja) * 1998-11-10 2000-09-26 Uct Kk 薬液供給ポンプ、薬液供給装置、薬液供給システム、基板洗浄装置、薬液供給方法、及び基板洗浄方法
US6090217A (en) 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates
JP2000260739A (ja) 1999-03-11 2000-09-22 Kokusai Electric Co Ltd 基板処理装置および基板処理方法
US6290780B1 (en) 1999-03-19 2001-09-18 Lam Research Corporation Method and apparatus for processing a wafer
US6849581B1 (en) * 1999-03-30 2005-02-01 Bj Services Company Gelled hydrocarbon compositions and methods for use thereof
US6272712B1 (en) 1999-04-02 2001-08-14 Lam Research Corporation Brush box containment apparatus
JP3624116B2 (ja) * 1999-04-15 2005-03-02 東京エレクトロン株式会社 処理装置及び処理方法
JP4247587B2 (ja) 1999-06-23 2009-04-02 Jsr株式会社 半導体部品用洗浄剤、半導体部品の洗浄方法、研磨用組成物、および研磨方法
FR2795960B1 (fr) * 1999-07-05 2001-10-19 Sanofi Elf Microemulsions stables pour l'administration d'acides gras a l'homme ou a l'animal, et utilisation de ces microemulsions
US20020121290A1 (en) 1999-08-25 2002-09-05 Applied Materials, Inc. Method and apparatus for cleaning/drying hydrophobic wafers
US6734121B2 (en) 1999-09-02 2004-05-11 Micron Technology, Inc. Methods of treating surfaces of substrates
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US7122126B1 (en) 2000-09-28 2006-10-17 Materials And Technologies Corporation Wet processing using a fluid meniscus, apparatus and method
US6881687B1 (en) * 1999-10-29 2005-04-19 Paul P. Castrucci Method for laser cleaning of a substrate surface using a solid sacrificial film
US6858089B2 (en) 1999-10-29 2005-02-22 Paul P. Castrucci Apparatus and method for semiconductor wafer cleaning
US6576066B1 (en) 1999-12-06 2003-06-10 Nippon Telegraph And Telephone Corporation Supercritical drying method and supercritical drying apparatus
US20020006767A1 (en) 1999-12-22 2002-01-17 Applied Materials, Inc. Ion exchange pad or brush and method of regenerating the same
US6286231B1 (en) 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6705930B2 (en) * 2000-01-28 2004-03-16 Lam Research Corporation System and method for polishing and planarizing semiconductor wafers using reduced surface area polishing pads and variable partial pad-wafer overlapping techniques
US6276459B1 (en) 2000-02-01 2001-08-21 Bradford James Herrick Compressed air foam generator
US6594847B1 (en) 2000-03-28 2003-07-22 Lam Research Corporation Single wafer residue, thin film removal and clean
US6457199B1 (en) 2000-10-12 2002-10-01 Lam Research Corporation Substrate processing in an immersion, scrub and dry system
US7256168B2 (en) 2000-05-17 2007-08-14 Henkel Kommanditgesellschaft Auf Aktien Washing or cleaning agent shaped bodies
US6927176B2 (en) 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6488040B1 (en) 2000-06-30 2002-12-03 Lam Research Corporation Capillary proximity heads for single wafer cleaning and drying
KR100366623B1 (ko) 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
WO2002015255A1 (en) 2000-08-11 2002-02-21 Chem Trace Corporation System and method for cleaning semiconductor fabrication equipment parts
US6328042B1 (en) 2000-10-05 2001-12-11 Lam Research Corporation Wafer cleaning module and method for cleaning the surface of a substrate
AU2062702A (en) * 2000-11-03 2002-05-21 Unilever Plc Composition for cleaning hard surfaces
US20020094684A1 (en) 2000-11-27 2002-07-18 Hirasaki George J. Foam cleaning process in semiconductor manufacturing
US6525009B2 (en) 2000-12-07 2003-02-25 International Business Machines Corporation Polycarboxylates-based aqueous compositions for cleaning of screening apparatus
US20020081945A1 (en) * 2000-12-21 2002-06-27 Rod Kistler Piezoelectric platen design for improving performance in CMP applications
US6641678B2 (en) * 2001-02-15 2003-11-04 Micell Technologies, Inc. Methods for cleaning microelectronic structures with aqueous carbon dioxide systems
US6596093B2 (en) 2001-02-15 2003-07-22 Micell Technologies, Inc. Methods for cleaning microelectronic structures with cyclical phase modulation
US6493902B2 (en) 2001-02-22 2002-12-17 Chung-Yi Lin Automatic wall cleansing apparatus
JP2002280343A (ja) 2001-03-15 2002-09-27 Nec Corp 洗浄処理装置、切削加工装置
JP2002280330A (ja) 2001-03-21 2002-09-27 Lintec Corp チップ状部品のピックアップ方法
US6627550B2 (en) 2001-03-27 2003-09-30 Micron Technology, Inc. Post-planarization clean-up
JP2002309638A (ja) 2001-04-17 2002-10-23 Takiron Co Ltd 建物の排水管路における通気性掃除口
JP3511514B2 (ja) 2001-05-31 2004-03-29 エム・エフエスアイ株式会社 基板浄化処理装置、ディスペンサー、基板保持機構、基板の浄化処理用チャンバー、及びこれらを用いた基板の浄化処理方法
US6802911B2 (en) 2001-09-19 2004-10-12 Samsung Electronics Co., Ltd. Method for cleaning damaged layers and polymer residue from semiconductor device
EP1446468A1 (en) 2001-11-19 2004-08-18 Unilever N.V. Improved washing system
US20030171239A1 (en) 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
GB2385597B (en) * 2002-02-21 2004-05-12 Reckitt Benckiser Inc Hard surface cleaning compositions
JP2003282513A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 有機物剥離方法及び有機物剥離装置
JP4570008B2 (ja) 2002-04-16 2010-10-27 東京エレクトロン株式会社 液処理装置および液処理方法
US20040159335A1 (en) * 2002-05-17 2004-08-19 P.C.T. Systems, Inc. Method and apparatus for removing organic layers
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20040002430A1 (en) 2002-07-01 2004-01-01 Applied Materials, Inc. Using a time critical wafer cleaning solution by combining a chelating agent with an oxidizer at point-of-use
JP4017463B2 (ja) * 2002-07-11 2007-12-05 株式会社荏原製作所 洗浄方法
US7240679B2 (en) * 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US6998327B2 (en) 2002-11-19 2006-02-14 International Business Machines Corporation Thin film transfer join process and multilevel thin film module
US6875286B2 (en) 2002-12-16 2005-04-05 International Business Machines Corporation Solid CO2 cleaning
US6733596B1 (en) 2002-12-23 2004-05-11 Lam Research Corporation Substrate cleaning brush preparation sequence, method, and system
US20040163681A1 (en) 2003-02-25 2004-08-26 Applied Materials, Inc. Dilute sulfuric peroxide at point-of-use
US6951042B1 (en) 2003-02-28 2005-10-04 Lam Research Corporation Brush scrubbing-high frequency resonating wafer processing system and methods for making and implementing the same
JP2004323840A (ja) * 2003-04-10 2004-11-18 Sumitomo Chem Co Ltd 研磨洗浄液組成物及び研磨洗浄方法
US7169192B2 (en) * 2003-05-02 2007-01-30 Ecolab Inc. Methods of using heterogeneous cleaning compositions
US20040261823A1 (en) 2003-06-27 2004-12-30 Lam Research Corporation Method and apparatus for removing a target layer from a substrate using reactive gases
KR100477810B1 (ko) 2003-06-30 2005-03-21 주식회사 하이닉스반도체 Nf3 hdp 산화막을 적용한 반도체 소자 제조방법
US6946396B2 (en) 2003-10-30 2005-09-20 Nissan Chemical Indusries, Ltd. Maleic acid and ethylene urea containing formulation for removing residue from semiconductor substrate and method for cleaning wafer
KR20050044085A (ko) 2003-11-07 2005-05-12 삼성전자주식회사 집적회로 소자의 세정액 및 그 세정액을 이용한 세정방법
US7353560B2 (en) 2003-12-18 2008-04-08 Lam Research Corporation Proximity brush unit apparatus and method
US8043441B2 (en) 2005-06-15 2011-10-25 Lam Research Corporation Method and apparatus for cleaning a substrate using non-Newtonian fluids
US8323420B2 (en) 2005-06-30 2012-12-04 Lam Research Corporation Method for removing material from semiconductor wafer and apparatus for performing the same
US7416370B2 (en) 2005-06-15 2008-08-26 Lam Research Corporation Method and apparatus for transporting a substrate using non-Newtonian fluid
US7568490B2 (en) 2003-12-23 2009-08-04 Lam Research Corporation Method and apparatus for cleaning semiconductor wafers using compressed and/or pressurized foams, bubbles, and/or liquids
JP2005194294A (ja) 2003-12-26 2005-07-21 Nec Electronics Corp 洗浄液及び半導体装置の製造方法
JP4821122B2 (ja) * 2004-02-10 2011-11-24 Jsr株式会社 洗浄用組成物、半導体基板の洗浄方法および半導体装置の製造方法
CN1654617A (zh) * 2004-02-10 2005-08-17 捷时雅株式会社 清洗用组合物和半导体基板的清洗方法及半导体装置的制造方法
US20050183740A1 (en) 2004-02-19 2005-08-25 Fulton John L. Process and apparatus for removing residues from semiconductor substrates
FI116889B (fi) * 2004-03-03 2006-03-31 Outokumpu Oy Laite kiintoainetta sisältävän materiaalin hierto-ohentamiseksi
US20050202995A1 (en) * 2004-03-15 2005-09-15 The Procter & Gamble Company Methods of treating surfaces using surface-treating compositions containing sulfonated/carboxylated polymers
JP2005311320A (ja) * 2004-03-26 2005-11-04 Sony Corp 異物除去方法及びその装置
WO2005104202A1 (ja) * 2004-04-21 2005-11-03 F.T.L.. Co., Ltd. 基板の洗浄方法
US8136423B2 (en) 2005-01-25 2012-03-20 Schukra of North America Co. Multiple turn mechanism for manual lumbar support adjustment

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190136979A (ko) * 2018-05-31 2019-12-10 가부시키가이샤 스크린 홀딩스 기판 처리 방법 및 기판 처리 장치
KR20200096465A (ko) * 2018-05-31 2020-08-12 가부시키가이샤 스크린 홀딩스 기판 처리 방법 및 기판 처리 장치
KR20210020062A (ko) * 2018-05-31 2021-02-23 가부시키가이샤 스크린 홀딩스 기판 처리 방법 및 기판 처리 장치
US11211241B2 (en) 2018-05-31 2021-12-28 SCREEN Holdings Co., Ltd. Substrate processing method and substrate processing apparatus
US11260431B2 (en) 2018-05-31 2022-03-01 SCREEN Holdings Co., Ltd. Substrate processing method and substrate processing apparatus
US11901173B2 (en) 2018-05-31 2024-02-13 SCREEN Holdings Co., Ltd. Substrate processing method

Also Published As

Publication number Publication date
TWI335247B (en) 2011-01-01
CN101389414B (zh) 2012-07-04
EP2428557A1 (en) 2012-03-14
MY143763A (en) 2011-07-15
KR20080085072A (ko) 2008-09-22
CN101114569A (zh) 2008-01-30
CN101034670A (zh) 2007-09-12
JP2009522789A (ja) 2009-06-11
US20070155640A1 (en) 2007-07-05
EP1969114A2 (en) 2008-09-17
CN101351281A (zh) 2009-01-21
TWI410522B (zh) 2013-10-01
CN101512049A (zh) 2009-08-19
TW200738361A (en) 2007-10-16
TW200801244A (en) 2008-01-01
KR101376911B1 (ko) 2014-03-20
CN101034670B (zh) 2010-11-17
TW200740536A (en) 2007-11-01
KR20080081364A (ko) 2008-09-09
CN101351282A (zh) 2009-01-21
KR20080083196A (ko) 2008-09-16
CN101512049B (zh) 2014-04-16
CN101351281B (zh) 2013-07-17
JP5237825B2 (ja) 2013-07-17
CN101009204B (zh) 2012-05-30
CN101351540A (zh) 2009-01-21
JP5148508B2 (ja) 2013-02-20
CN101029289A (zh) 2007-09-05
CN101370885A (zh) 2009-02-18
JP2009522777A (ja) 2009-06-11
KR101426777B1 (ko) 2014-08-07
JP5154441B2 (ja) 2013-02-27
SG154438A1 (en) 2009-08-28
US20130284217A1 (en) 2013-10-31
TWI330551B (en) 2010-09-21
JP2009522783A (ja) 2009-06-11
KR101401753B1 (ko) 2014-05-30
SG169975A1 (en) 2011-04-29
CN101351282B (zh) 2013-04-10
JP2009522780A (ja) 2009-06-11
JP4892565B2 (ja) 2012-03-07
KR101312973B1 (ko) 2013-10-01
US8475599B2 (en) 2013-07-02
CN101029289B (zh) 2014-06-25
CN101370885B (zh) 2013-04-17
CN101009204A (zh) 2007-08-01
CN101389414A (zh) 2009-03-18
MY149848A (en) 2013-10-31
WO2007078955A2 (en) 2007-07-12
WO2007078955A3 (en) 2008-02-07

Similar Documents

Publication Publication Date Title
KR101376911B1 (ko) 2-상 기판 세정 물질을 이용하기 위한 방법 및 시스템
US7799141B2 (en) Method and system for using a two-phases substrate cleaning compound
US8137474B2 (en) Cleaning compound and method and system for using the cleaning compound
US8608859B2 (en) Method for removing contamination from a substrate and for making a cleaning solution
US8105997B2 (en) Composition and application of a two-phase contaminant removal medium
US8555903B2 (en) Method and apparatus for removing contamination from substrate
US20140059789A1 (en) Apparatus for Cleaning a Semiconductor Substrate
EP1803804B1 (en) Method and material for cleaning a substrate
US20080245390A1 (en) Method for cleaning semiconductor wafer surfaces by applying periodic shear stress to the cleaning solution

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170308

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee