CN101512049A - 用于清洁半导体基片的方法和设备 - Google Patents

用于清洁半导体基片的方法和设备 Download PDF

Info

Publication number
CN101512049A
CN101512049A CNA2006800500947A CN200680050094A CN101512049A CN 101512049 A CN101512049 A CN 101512049A CN A2006800500947 A CNA2006800500947 A CN A2006800500947A CN 200680050094 A CN200680050094 A CN 200680050094A CN 101512049 A CN101512049 A CN 101512049A
Authority
CN
China
Prior art keywords
substrate
power
solids component
entity
fluid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006800500947A
Other languages
English (en)
Other versions
CN101512049B (zh
Inventor
埃里克·M·弗里尔
约翰·M·德拉里奥斯
卡特里娜·米哈利钦科
迈克尔·拉夫金
米哈伊尔·科罗利克
弗雷德·C·雷德克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/612,371 external-priority patent/US8522801B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101512049A publication Critical patent/CN101512049A/zh
Application granted granted Critical
Publication of CN101512049B publication Critical patent/CN101512049B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/12Water-insoluble compounds
    • C11D3/14Fillers; Abrasives ; Abrasive compositions; Suspending or absorbing agents not provided for in one single group of C11D3/12; Specific features concerning abrasives, e.g. granulometry or mixtures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/0004Non aqueous liquid compositions comprising insoluble particles
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/0008Detergent materials or soaps characterised by their shape or physical properties aqueous liquid non soap compositions
    • C11D17/0013Liquid compositions with insoluble particles in suspension
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02096Cleaning only mechanical cleaning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/10Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by other chemical means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Lubricants (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Cleaning By Liquid Or Steam (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Liquid Crystal (AREA)
  • Cleaning In General (AREA)

Abstract

提供一种用于清洁基片的方法。该方法以将其中具有固体成分的流体层设在该基片的表面为起始。然后产生基本上平行于该基片表面并且朝向该基片外缘的剪切力。在一个实施方式中该剪切力是由施加在与该流体层接触的固体上的力的法向或切线分量形成的。清洗该基片表面以去除该流体层。

Description

用于清洁半导体基片的方法和设备
背景技术
[0001]在半导体器件(如集成电路、存储单元等)制造中,执行一系列制造操作以在半导体晶片上形成特征。这些晶片包括具有限定在硅基片上的多层结构形式的集成电路器件。在基片层,形成具有扩散区的晶体管器件。在后面的层中,互连的金属线形成图案并且电连接到这些晶体管器件以形成所需的集成电路器件。并且,图案化的导电层通过介电材料与其他导电层绝缘。
[0002]在该一系列制造操作过程中,晶片表面暴露于各种类型的污染物。实质上在制造操作中存在的任何材料都是潜在的污染源。例如,污染源可包括,尤其是,处理气体、化学制剂、沉积材料和液体。各种污染物会在该晶片表面沉积为粒子物质。如果不去除该粒子污染,在该污染附近的器件将很可能不能工作。因此,必须基本上彻底地将污染从晶片表面清除,而不损伤限定在该晶片上的特征。粒子污染尺寸往往处于制造在晶片上的特征的关键尺寸大小的量级。去除如此小的粒子污染而不会破坏晶片上的特征是十分困难的。
[0003]传统的晶片清洁方法非常依赖机械力以去除晶片上的粒子污染。随着特征尺寸持续减小并且变得更加易碎,由于向晶片表面施加机械力而导致特征损伤的可能性增加。例如,当受到足够的机械力冲击时,具有高纵横比的特征容易崩塌或者破碎。为了进一步使清洁问题复杂化,特征尺寸的减小也使得可能导致损伤的粒子污染尺寸减小。尺寸足够小的粒子污染会进入晶片表面上难以到达的区域,如进入被高纵横比特征围绕或者桥接导电线路的沟槽等。因此,在半导体制造过程中的污染物的有效及无损去除代表了晶片清洁技术的持续发展中遇到的持续的挑战。应当认识到用于平面显示器的制造操作遭遇到与上面讨论的集成电路制造相同的缺点。因此,任何要求去除污染物的技术需要更有效以及磨损小的清洁技术。
发明内容
[0004]一般地说,本发明通过提供改进的清洁技术和清洁溶液满足了这些需求。应当认识到本发明可以许多方式实现,包括系统、设备和方法。下面描述本发明多个创新性实施方式。
[0005]在一个实施方式中,提供一种用于清洁基片的方法。该方法以将其中具有固体成分的流体层设在该基片的表面为起始。然后产生基本上平行于该基片表面并且朝向该基片外缘的剪切力。在一个实施方式中该剪切力由施加在与该流体层接触的固体上的力的法向或切线分量形成。清洗该基片表面以去除该流体层。
[0006]在另一个实施方式中,提供一种用于清洁基片的设备。该清洁设备包括力传递实体,具有与设在该基片的表面上的流体接触的外部表面。该流体具有固体成分,以及该力传递实体配置为驱使该固体成分朝向该基片表面。该设备包括基片支撑件,配置为在该力传递实体下方支撑该基片。
[0007]在又一个实施方式中,提供一种用于清洁基片的清洁系统。该清洁系统包括流体储存器,配置为将具有固体成分的流体传递到基片的表面上。该系统包括力传递实体,具有配置为接触设在该基片表面上流体的外部表面。该力传递实体配置为提供具有法向分量的力以使形成于该固体成分之一的底部表面和该基片表面之间的流体层变薄。该系统包括基片支撑件,配置为在该力传递实体下方支撑该基片。
[0008]本发明的其他方面和优点将在下面连同附图、作为本发明示例说明的具体描述中变得更加明显。
附图说明
[0009]通过下面结合附图的详细描述将容易理解本发明,以及类似的参考标号标明相似的结构元件。
[0010]图1A是简化示意图,用以说明按照本发明一个实施方式,从基片表面去除污染物的技术。
[0011]图1B是简化示意图,用以说明按照本发明一个实施方式,使来自图1A的流体层变薄,其可称作流体通道。
[0012]图2A和2B是图解示出,按照本发明一个实施方式,清洁材料如何发生作用从晶片去除污染物。
[0013]图3是图解,示出按照本发明一个实施方式,用于从基片去除污染的方法的流程图。
[0014]图4是简化示意图,用以说明,按照这里描述的实施方式,表示力与距离之间关系的图表。
[0015]图5是简化示意图,用以说明,按照本发明一个实施方式,利用成形的膜清洁基片表面的技术。
[0016]图6是简化示意图,用以说明,按照本发明一个实施方式,用作力传递实体的柔性(compliant)膜。
[0017]图7是说明在其中该力传递实体具有圆柱形形状的实施方式的简化示意图。
[0018]图8是说明替换图7的力传递实体的实施方式的简化示意图。
[0019]图9是按照本发明一个实施方式,用于清洁基片表面的系统的简化示意图。
具体实施方式
[0020]在下面的描述中,阐述许多具体细节以提供对本发明的彻底理解。然而,对于本领域技术人员,显然,本发明可不利用这些具体细节的一些或者全部而实施。在有些情况下,公知的工艺步骤没有具体描述,以避免对本发明的不必要的混淆。
[0021]这里描述的这些实施方式提供一种清洁技术,借以减少磨损接触并且有效地从包含高纵横比特征的半导体晶片去除污染物。应当认识到,尽管实施方式提供了关于半导体清洁应用的具体例子,但是这些清洁应用可扩展到任何需要从表面去除污染物的技术。这里描述的实施方式向清除制剂提供力以使在该清洁制剂和正在清洁的基片表面上的污染物之间的流体层变薄。在一个示范性实施方式中,该清洁制剂是固体材料,其与该污染物相互作用以随后去除该污染物。在另一个实施方式中,具有相对该基片表面的法向分量的力使得在该清洁制剂底部表面和该污染物顶部表面之间形成的流体层变薄。这个变薄转而使得基本上平行于该基片表面的剪切力驱使该污染物朝向该基片的外缘。本质上,该污染物变成夹带在由该剪切力形成的流体流动中,该剪切力是该具有法向分量的力的结果。
[0022]图1A是简化示意图,用以说明,按照本发明一个实施方式,用于从基片表面去除污染物的技术。通过提供向下的力(F),驱使固体材料109朝向基片105的顶部表面。当通过该向下的力F的法向分量驱使该固体成分109朝向该晶片105的顶部表面时,在该固体109的底部表面和该晶片表面105的顶部之间形成的流体通道变得越来越薄。在固体109下的流体层101变薄的结果是,在流体层101中形成抛物线速度曲线,该流体层也可称作润滑层。在一个实施方式中,流体层101是粘弹性流体,即,表现出粘性和弹性特性的流体。在一个实施方式中,这个由箭头102标示的抛物线速度曲线提供剪切力以移走污染物103。这个剪切力将移动污染物103离开原先设在晶片105上的位置以促进彻底去除该污染物和清洁该晶片表面。如下面将更详细描述的,通过力传递实体施加向下的力F。晶片105设在卡盘100上。本领域技术人员会认识到可通过已知技术将晶片105夹在卡盘100上。应当认识到这里描述的实施方式并不限于如图1A所示的设置卡盘上的基片105。也就是说,别的实施方式是可能的,如将基片105设在传送带、垫板或任何其他合适的传输机构或支撑结构,其适于这里描述的清洁技术。
[0023]图1B是简化示意图,说明,按照本发明一个实施方式,使来自图1A的流体层101(也可称作流体通道)变薄。在图1B中,向下的力F的法向分量将固体109推到更靠近基片105的顶部表面。随着将固体109推到更靠近该基片表面105的顶部,层/通道101变得越来越薄。结果,朝向基片105外缘的速度增加并提供更大的剪切力从该基片105的顶部表面移走污染物103。应当认识到,随着流体层101变得更薄,需要更大的向下的力F以进一步使这个层变薄。如下面将更详细描述的,推在该固体109的顶部表面上的力传递实体可以是任何合适的材料,其能够为固体109提供具有法向分量的力。这样的例子包括弹性和柔性(compliant)材料,其不与这里使用的材料(如橡胶组件,例如乳胶等,金属圆盘,成形的膜等)反应,如下面将进一步描述的。如这里所使用的,固体109可称作永久耦合元件(PCE)。所谓固体109是指永久耦合元件,提供在整个清洁操作中的保留或保持特性,与存在相对短的清洁溶液形成对照,如泡沫,其可形成气穴,然后不再保持。在一个实施方式中,流体层101中压在该膜和该晶片之间的流体,包括润湿剂或表面活性剂。在另一个实施方式中,该流体包括不溶于该流体的羧酸成分。也就是说,该羧酸成分可表示为固体109。在一个实施方式中,固体109是具有三斜晶结构的晶体。在该三斜晶结构中,该晶体是由长度不等的矢量描述。另外,并不是三个矢量全部互相正交。在又一个实施方式中,向基片105上的流体提供力的膜比该基片上的层软,不包括会刮伤或者以其他方式损伤该基片的薄膜(即,堆栈薄膜如二氧化硅、氮氧化硅、硅、铜、铝等)的材料。在一个实施方式中,该膜可包含清洁流体,其能够以可控制的量渗透该膜。或者,该膜气球(balloon)可以密封并且不可渗透。本领域技术人员会认识到图1A和1B的固体109可分散在与流体层101相同的流体中。
[0024]图2A和2B是图解,示出按照本发明一个实施方式,该清洁材料101如何从该晶片105去除该污染物103。应当理解的是,图2A-2B描述的该清洁材料101在美国申请序列号No11/346,894中进一步描述。如图2A所示,在该清洁材料101的液体媒介107中,该固体成分109介于该污染物103和该不溶成分111之间。该液体媒介107内的不溶成分111,不论气泡或液滴,具有相关联的表面张力。所以,当该不溶成分111向下压该固体成分109,该不溶成分111变形并且向该固体成分109施加具有法向分量的向下的力(F)。这个向下的力(F),或F的法向分量,用作使该固体成分109向该晶片105和其上的污染物103移动。在一个实施方式中,当驱使该固体成分109足够靠近该污染物103时,在该固体成分109和污染物103之间产生相互作用。在一个实施方式中,这个距离可在大约10纳米以内。在另一个实施方式中,当该固体成分109实际接触该污染物103时,在该固体成分109和污染物103之间产生相互作用。这个相互作用也可称为固体成分109啮合污染物103。当然,该流体层变薄会通过由该变薄产生的剪切力驱使污染物离开该基片表面。
[0025]该固体成分109和该污染物103之间的相互作用力大于将该污染物103连接到该晶片105的力。另外,在该固体成分109与该污染物103粘结的实施方式中,用来移动该固体成分109远离该晶片105的力大于将该污染物103连接到该晶片105的力。所以,如图2B所描述的,当该固体成分109被移动远离该晶片105时,粘结到该固体成分109的该污染物103也被移动远离该晶片105。应当认识到因为该固体成分109与该污染103相互作用以影响该清洁工艺,整个该晶片105的污染103的去除将依赖于该固体成分109沿该晶片105的分布状况。在一个优选实施方式中,该固体成分109非常好地分布以致实质上该晶片105上每个污染物103将接近至少一个固体成分109。还应当认识到一个固体成分109可同时或先后与一个或多个污染物103接触或者相互作用。此外,与所有相同成分形成对照,固体成分109可以是不同成分的混合物。因此,该清洁溶液能够针对具体目的进行设计,即,以具体的污染物作为目标,或该清洁溶液可具有很多污染物目标,其中提供多种固体成分。
[0026]该固体成分109和该污染物103之间的相互作用可通过一种或多种机制来建立,包括,特别是,粘附、碰撞和引力。该固体成分109和污染物103之间的粘附可通过化学相互作用和/或物理相互作用建立。例如,在一个实施方式中,化学相互作用导致该固体成分109和该污染物103之间产生类似胶水的效果。在另一个实施方式中,该固体成分109的机械属性促进了该固体成分109和该污染物103之间的物理相互作用。例如,该固体成分109可以是韧性的,从而当压着该污染物103时,该污染物103压印在该韧性的固体成分109内。在另一个实施方式中,该污染物103可卷入固体成分109的网中。在这个实施方式中,机械应力可通过该固体成分109的网传递到该污染物103,由此提供从该晶片105去除该污染物103所需的机械力。
[0027]由于被该污染物103压印而产生的该固体成分109的变形使得该固体成分109和该污染物103之间产生机械连接。例如,该污染物103的表面形状可以是这样的,即,当该污染物103压入该固体成分109时,该固体成分109材料部分进入该污染物103的表面形状内区域,这样该固体成分109材料不容易逃脱,由此产生锁紧机构。另外,当该污染物103压入该固体成分109,可建立真空力以抵抗该污染物103从该固体成分109的去除。
[0028]在另一个实施方式中,通过直接或间接接触从该固体成分109向该污染物103传递的能量可使得该污染物103从该晶片105移走。在这个实施方式中,该固体成分109可比该污染物103更软或更硬。如果该固体成分109该比污染物103更软,在碰撞过程中该固体成分109很可能发生更大的变形,导致用于从该晶片105移走该污染物103的动能传递更少。然而,在该固体成分109比该污染物103更软的情况中,该固体成分109和该污染物103之间的粘性连接更强。相反地,如果该固体成分109与该污染物103至少一样硬,在该固体成分109和该污染物103可发生基本上完全的能量传递,因此增加用作从该晶片105移走该污染物103的力。然而,该固体成分109与该污染物103至少一样硬的情况下,依赖于该固体成分109变形的相互作用力会减小。应当认识到与该固体成分109和该污染物103相关的物理属性和相对速度会影响它们之间的相互碰撞作用。
[0029]除了前面阐述的,在一个实施方式中,该固体成分109和污染物103之间相互作用可由静电引力产生。例如,如果该固体成分109和该污染物103具有相反的表面电荷,它们将彼此电吸引。该固体成分109和该污染物103之间的静电引力足以克服将该污染物103连接到该晶片105的力,这是有可能的。
[0030]在另一个实施方式,该固体成分109和该污染物103之间可存在静电斥力。例如,该固体成分109和该污染物103可能都是负表面电荷或正表面电荷。如果该固体成分109和该污染物103足够接近,可凭借范德瓦耳斯(van der Waals)引力克服它们之间的静电斥力。由该不溶成分111施加到该固体成分109的力可足以克服该静电斥力,从而在该固体成分109和该污染物103之间建立范德瓦耳斯引力。另外,在另一个实施方式,可调节该液体媒介107的pH以补偿存在于该固体成分109和污染物103中的一者或两者上的表面电荷,从而减小它们之间的静电斥力以促进相互作用,或从而使该固体成分或该污染表现出相对产生静电引力的另一方相反的表面电荷。
[0031]图3是图解,示出,按照本发明一个实施方式,用于从基片去除污染的方法流程图。应当理解的是图3的方法提到的基片可代表半导体晶片或任何其他类型的需要从其上去除与半导体制造过程有关的污染物的基片。并且,图3的方法提到的污染物可代表实质上任何类型的与该半导体晶片制造过程有关的污染物,包括但不限于粒子污染、微量金属污染、有机污染、光刻胶碎片、来自晶片处理设备的污染和晶片背部粒子污染。
[0032]图3的方法包括操作301,用于将清洁材料设置在基片上,其中该清洁材料包括分散在液体媒介中的固体成分。该图3的方法提到的清洁材料与之前关于图1A、1B、2A和2B描述的相同。所以,清洁材料中的固体成分悬浮分散在该液体媒介中。并且,该固体成分限定为避免损伤该基片并且避免粘结于该基片。在一个实施方式中,该固体成分限定为具有三斜晶或类似针状体结构的晶体。在另一个实施方式,该固体成分限定为非晶体。在又一个实施方式中,该固体成分表示为晶体与非晶体的结合。另外,在各种实施方式中,该液体媒介可以是含水的或不含水的。
[0033]该方法还包括操作303,用于向固体成分施加力以使该固体成分接近该基片上存在的污染物,从而在该固体成分和该污染物之间建立相互作用。如之前讨论的,在该清洁材料内提供不溶成分以向该固体成分施加使该固体成分接近该污染物所需的力。在一个实施方式中,该方法可包括用于控制该不溶成分以向该固体成分施加受控量的力的操作。该不溶成分可限定为气泡或不溶于该液体媒介的液滴。另外,该不溶成分可表示为气泡和不溶于该液体媒介的液滴的结合。或者,该力可通过此处讨论的力转移实体施加到固体成分。
[0034]在该方法的一个实施方式中,在将该清洁材料设置在该基片上之前,在该液体媒介中形成该不溶成分。然而,在另一个实施方式中,该方法可包括在将该清洁材料设在该基片上之后在原位形成该不溶成分的操作。例如,该不溶成分可通过降低与该清洁材料有关的环境压力而由该液体媒介中溶解的气体形成。应当认识到在原位形成该不溶成分可增强该污染去除工艺。例如,在一个实施方式中,在该不溶成分形成之前,重力用来将该固体成分拉向该基片。然后,降低环境压力从而使原先溶解于该液体媒介的气体从溶液中出来形成气泡。因为该固体成分被重力朝向该基片安放,气泡的大部分将在该固体成分之上形成。在该固体成分已经朝向该基片安放的情况下,在该固体成分之上形成该气泡可用来增强固体成分移动至该基片上污染物附近。
[003S]在各种不同的实施方式中,该固体成分和该污染物之间的相互作用可通过粘结力、碰撞力、引力或其组合来建立。并且,在一个实施方式中,该方法可包括用改变该液体媒介的化学制剂以增强该固体成分和该污染物之间的相互作用的操作。例如,可调节该液体媒介的pH以消除在该固体成分和污染物二者之一或全部上的表面电荷,从而减小静电斥力。
[0036]另外,在一个实施方式中,该方法可包括控制该清洁材料温度以增强该固体成分和该污染物之间的相互作用的操作。更具体地,可控制该清洁材料的温度以控制该固体成分的属性。例如,在较高的温度下,该固体成分会更有韧性从而当压着该污染物时其更加共形。然后,一旦该固体成分被压并且与该污染物共形,降低温度使该固体成分韧性降低以更好的保持其相对该污染物一致的形状,因此有效地将该固体成分和污染物锁紧在一起。温度还可用来控制溶解度及由此的该固体成分的浓度。例如,在较高的温度下,该固体成分更可能溶解在该液体媒介中。温度还可用来控制和/或促进固体成分由液体-液体悬浮在该晶片上原地形成。
[0037]在一个单独的实施方式中,该方法可包括对溶解在该连续液体媒介中的固体进行的沉淀操作。通过将该固体溶解在溶剂中,然后添加与该溶剂混溶但是不与该固体混溶的成分来完成这个沉淀操作。添加与该溶剂混溶但是不与该固体混溶的成分导致固体成分沉淀。
[0038]该方法进一步包括操作305,用于移动该固体成分远离该基片从而将与该固体成分相互作用的污染物从该基片去除。在一个实施方式中,该方法包括用于控制该基片之上的清洁材料流率以控制或者增强该固体成分和/或污染物远离该基片的移动的操作。本发明的用于从基片去除污染的方法可以许多不同的方式实现,只要有用于向该清洁材料的固体成分施加力、从而该固体成分建立与待去除的污染物相互作用的手段。应当注意的是尽管上面描述的实施方式提到不溶成分,但是该实施方式并不要求具有这个不溶成分。如下面所述的,力传递实体向该固体成分提供力以使流体层变薄,由此产生剪切力和/或使该固体成分与该污染物相互作用。
[0039]图4是简化示意图,用以说明,按照这里描述的实施方式,表示力与距离之间关系的图表。如图表400说明的,随着图1A到2B的该固体成分109和该基片105的顶部之间的距离变小,移动该固体成分更靠近所需的力增大。随着该距离变得越来越小,该固体成分和该基片表面之间的流体变得越来越薄,导致剪切速率提高。另外,尽管图1A、1B和2A阐述向下的力F的法向分量,该实施方式并不限于唯一的法向力。也就是说,所施加的力的法向分量可以是该合力任何大于0的比例。
[0040]图5是简化示意图,用以说明,按照本发明一个实施方式,利用成形的膜清洁基片表面的技术。在这个实施方式中,力传递实体500提供为圆柱体的一部分。在一个实施方式中,力传递实体500可围绕枢轴点501转动。或者,力传递实体500可如箭头503所描述的横向移动。当然,力传递实体500可横向移动并且围绕枢轴点501转动。当围绕枢轴点501转动时,就到基片105的表面的距离而言,转折点505保持不变。本质上,力传递实体500在这个实施方式中充当钟摆,以及该向前和向后的动作将提供该流体层101的压缩以通过该剪切力或该相互作用移走污染物103。应当认识到基片105可相对力传递实体500横向或旋转移动。当然,基片105和力传递实体500都可以运动。在另一个实施方式中,力传递实体500可以是由任何相容材料组成的平板。该平板提供力以使该流体层变薄,以产生该剪切力或使该固体接近该污染物,从而使这两个之间能够相互作用。
[0041]图6是简化示意图,用于说明,按照本发明一个实施方式,用作力传递实体的柔性膜。膜500可实现为气球或一些其它类型的充气组件,以便提供用于使该流体层101变薄的力。流体源507通过阀门502将气体或液体提供进入传输管线,进而输入力传递实体500。以对该力传递实体充气/增压。因为力传递实体500是柔性的,所以提供在该基片105的表面和其上的流体101上的力是均匀分布的。应当认识到图6中的力传递实体500可覆盖整个基片105的表面或覆盖该基片105的表面的一部分,并且可在基片上移动以完成整个基片105的清洁。或者,基片105可在力传递实体500下方转动或线性移动。可通过调节该力传递实体500内的空气和液体压力来控制该力的量。在一个实施方式中,该清洁流体可用来对传递实体500增压。在这个实施方式中,力传递实体500可在底部表面包括相对小的孔以将该清洁流体引导至该基片105的顶部表面上。这些孔足够小以保持压力梯度,以为力传递实体500充气/增压。为了促进该清洁流体传输到该基片105的表面,该力传递实体500的底部表面可以是有棱纹的或具有形成在其上的突出部,以在该力传递实体底部表面和该基片顶部表面之间形成间隙或开放区域。因此,包括这些固体的清洁流体将通过这些孔传输到由这个实施方式产生的间隙中。然后该力传递实体的法向分量如上面所描述的起作用。
[0042]图7是简化示意图,用以说明在其中该力传递实体具有圆柱形形状的实施方式。力传递实体510用来提供使设在基片105上的流体层101变薄所需的力。力传递实体510可围绕轴509转动。在另一个实施方式中,力传递实体510可沿基片105的表面横向移动。当然,如上面提到的,在另一个实施方式中该力传递实体可横向移动并且转动。应当进一步认识到力传递实体510可围绕轴509来回摇摆。也就是说,力传递实体510可在一个方向转动循环的一部分,然后在相反的转动方向往回转动循环的另一部分。
[0043]图8是简化示意图,用以说明替换图7的力传递实体的实施方式。图8中的力传递实体510具有突出部512,其将扰动或干扰该流体层并使该流体层变薄。突出部512在图8中具有三角形形状,然而,这并不意味着是限制。也就是说,突出部512可具有任何合适的几何形状,如环形、方形、圆柱形、挡板等。本质上,任何构造,其中该突出部扰动该流体层101,将实现图8表示的实施方式中需要的功能。关于图8的实施方式的另一方式是力传递实体510的外部表面具有纹理。应当认识到通过向关于图8描述的流体层提供扰动或干扰,该流体层将开始具有来自这些扰动的固体特性并且可帮助移动位于该基片105的表面上的污染。当扰动的频率接近大约0.1或更大的狄勃拉数(Deborah number),该流体开始充当固体而不是液体。该狄勃拉数定义为该材料特征时间标度,即该流体层中分子的松弛时间与变形的时间标度比(该力传递实体的扰动频率)。
[0044]图9是按照本发明一个实施方式,用于清洁基片表面的系统的简化示意图。该系统包括流体储存器520,其在基片105顶部提供流体层101。在这个实施方式中,流体储存器520将该流体通过阀门522输送到基片105上。本领域技术人员将认识到可以应用许多其他流体传输技术,如喷雾、搅炼(puddling)等。然后力传递实体510使流体层101变薄,以便按照上面描述的实施方式清洁该基片105的表面。如之前提到的,力传递实体可转动或者纵贯基片105的顶部表面横向移动,或转动与横向移动的一些组合。力传递实体510描述为辊,然而,任何公开的用于该力传递实体的实施方式可结合在这里。一旦基片105的整个表面经受清洁作用,即,使该流体层101变薄的向下的力,可将基片105传输到清洁模块,如旋转清洗和干燥(SRD)模块530。或者,可通过相对基片105顶部正交方向的移动将受力传输的任何实体510从基片105的表面去除。该污染,在一个实施方式中其现在可附属于固体微粒109,在最后的清洁和清洗步骤中被洗掉。这个清洁和清洗步骤可包含化学制剂(如氢氧化铵或表面活性剂)以促进脂肪酸从该基片105的表面的去除,其中该流体层内的清洁制剂包括脂肪酸,如羧酸。
[0045]尽管在从半导体晶片去除污染物的背景下描述本发明,应当理解的是该之前描述的本发明的原理和技术可同样应用于清洁半导体晶片之外的表面。例如,本发明可用来清洁任何在半导体制造中使用的设备表面,其中任何设备表面指的是任何与该晶片环境相通的表面,例如,与该晶片共享气腔。本发明还可用在其中污染去除占重要地位的其它技术领域。例如,本发明可用来去除在空间技术或其它高技术领域(如表面科学、能源、光学、微电子、MEMS、平面处理、太阳能电池、存储设备等)使用的部件上的污染。应当理解的是前面提到的、可以使用本发明的示范性领域的列表并不是代表包含一切的列表。此外,应当理解的是用在这里的示范性描述中的晶片可概括为实质上代表任何其它结构,如基片、部件、面板等。
[0046]尽管本发明依照多个实施方式进行了描述,但是可以理解,本领域的技术人员在阅读之前的说明书以及研究了附图之后将会实现各种改变、增加、置换及其等同方式。所以,其意图是本发明包括所有这样的落入本发明主旨和范围内的改变、增加、置换和等同物。在这些权利要求里,除非在这些权利要求中明确的声明,元件和/或步骤并不意味着任何特定的操作顺序。

Claims (28)

1.一种用于清洁基片的方法,包括以下方法操作:
将其中具有固体成分的流体层设在该基片的表面;
产生基本上平行于该基片表面并且朝向该基片外缘的剪切力,该剪切力由施加在与该流体层接触的固体上的力的法向或切线分量形成;以及
清洗该基片表面以去除该流体层。
2.根据权利要求1所述的方法,其中该产生基本上平行于该基片表面并且朝向该基片外缘的剪切力的方法操作包括,
驱使该固体成分之一朝向该基片表面。
3.根据权利要求1所述的方法,其中该产生基本上平行于该基片表面并且朝向该基片外缘的剪切力的方法操作包括,
使限定于该固体成分之一的底部表面和该基片的顶部表面之间的流体层的一部分变薄。
4.根据权利要求1所述的方法,进一步包括:周期性扰动该流体层导致周期性产生该剪切力。
5.根据权利要求1所述的方法,其中该流体层包括脂肪酸。
6.根据权利要求5所述的方法,其中该脂肪酸是羧酸。
7.根据权利要求1所述的方法,其中该产生基本上平行于该基片表面并且朝向该基片外缘的剪切力的方法操作包括,
转动设置在该基片表面上方的力传递实体。
8.根据权利要求1所述的方法,其中该产生基本上平行于该基片表面并且朝向该基片外缘的剪切力的方法操作包括,
对与该流体层接触的固体增压。
9.一种用于清洁基片的清洁设备,包括:
力传递实体,具有与设在该基片的表面上的流体接触的外部表面,流体具有固体成分,以及该力传递实体配置为驱使该固体成分朝向该基片表面;和
基片支撑件,配置为在该力传递实体下方支撑该基片。
10.根据权利要求9所述的设备,其中该力传递实体将该流体提供到该基片表面。
11.根据权利要求9所述的设备,其中该力传递实体是在其中限定有空间的柔性膜,该空间填充有该流体。
12.根据权利要求9所述的设备,其中该力传递实体配置为围绕轴转动,以及相对该基片表面横向移动。
13.根据权利要求9所述的设备,进一步包括:
流体传输系统,将该流体提供到该力传递实体和该基片表面的表面。
14.根据权利要求9所述的设备,其中该流体包括脂肪酸。
15.根据权利要求9所述的设备,其中该流体包括表面活性剂。
16.根据权利要求9所述的设备,其中施加到该固体成分的力包括法向分量。
17.根据权利要求9所述的设备,其中该力传递实体的外部表面是有纹理的。
18.根据权利要求9所述的设备,其中该力传递实体的外部表面包括多个突出部。
19.根据权利要求9所述的设备,其中该力传递实体的外部表面是具有平的表面的板。
20.一种基片清洁系统,包括:
流体储存器,配置为将具有固体成分的流体传递到基片的表面;
力传递实体,具有配置为接触设在该基片表面上流体的外部表面,该力传递实体配置为提供具有法向分量的力以使限定于该固体成分之一的底部表面和该基片表面之间的流体层变薄;和
基片支撑件,配置为在该力传递实体下方支撑该基片。
21.根据权利要求20所述的清洁系统,其中该力传递实体配置为被增压。
22.根据权利要求20所述的清洁系统,其中该力传递实体配置为按照一定频率扰动该流体层。
23.根据权利要求20所述的清洁系统,其中该流体层是粘弹性的。
24.根据权利要求20所述的清洁系统,其中该支撑结构配置为转动该基片。
25.根据权利要求20所述的清洁系统,其中该力传递实体配置为围绕轴转动以及相对该基片表面横向移动。
26.根据权利要求20所述的清洁系统,其中该力传递实体是具有平的表面的板。
27.根据权利要求20所述的清洁系统,其中该力传递实体是可充气的。
28.根据权利要求20所述的清洁系统,进一步包括:
旋转清洗和干燥系统,配置为从该基片的表面去除该流体。
CN200680050094.7A 2005-12-30 2006-12-21 用于清洁半导体基片的方法和设备 Expired - Fee Related CN101512049B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US75537705P 2005-12-30 2005-12-30
US60/755,377 2005-12-30
US11/612,371 2006-12-18
US11/612,371 US8522801B2 (en) 2003-06-27 2006-12-18 Method and apparatus for cleaning a semiconductor substrate
PCT/US2006/049109 WO2007079036A2 (en) 2005-12-30 2006-12-21 Method and apparatus for cleaning a semiconductor substrate

Publications (2)

Publication Number Publication Date
CN101512049A true CN101512049A (zh) 2009-08-19
CN101512049B CN101512049B (zh) 2014-04-16

Family

ID=38228775

Family Applications (10)

Application Number Title Priority Date Filing Date
CN2006800500241A Expired - Fee Related CN101351282B (zh) 2005-12-30 2006-12-08 从基片去除污染的方法和设备
CNA2006800500345A Pending CN101351540A (zh) 2005-12-30 2006-12-18 使用稳定流体溶液的基片制备和制造稳定流体溶液的方法
CN2006800499545A Expired - Fee Related CN101351281B (zh) 2005-12-30 2006-12-18 去除微粒的方法和设备
CN2006800489399A Expired - Fee Related CN101370885B (zh) 2005-12-30 2006-12-19 使用双相基片清洗混合物的方法和系统
CN200680050094.7A Expired - Fee Related CN101512049B (zh) 2005-12-30 2006-12-21 用于清洁半导体基片的方法和设备
CN2006800534252A Expired - Fee Related CN101389414B (zh) 2005-12-30 2006-12-26 清洁半导体基片的方法和装置
CNA2006100639487A Pending CN101114569A (zh) 2005-12-30 2006-12-29 用于清洁衬底的方法和材料
CN2006101717515A Expired - Fee Related CN101009204B (zh) 2005-12-30 2006-12-29 用于清洁衬底的装置和系统
CN2006101724970A Expired - Fee Related CN101034670B (zh) 2005-12-30 2006-12-30 从衬底上除去杂质的方法以及制备清洗液的方法
CN200610172498.5A Expired - Fee Related CN101029289B (zh) 2005-12-30 2006-12-30 清洗组合物和使用该清洗组合物的方法和系统

Family Applications Before (4)

Application Number Title Priority Date Filing Date
CN2006800500241A Expired - Fee Related CN101351282B (zh) 2005-12-30 2006-12-08 从基片去除污染的方法和设备
CNA2006800500345A Pending CN101351540A (zh) 2005-12-30 2006-12-18 使用稳定流体溶液的基片制备和制造稳定流体溶液的方法
CN2006800499545A Expired - Fee Related CN101351281B (zh) 2005-12-30 2006-12-18 去除微粒的方法和设备
CN2006800489399A Expired - Fee Related CN101370885B (zh) 2005-12-30 2006-12-19 使用双相基片清洗混合物的方法和系统

Family Applications After (5)

Application Number Title Priority Date Filing Date
CN2006800534252A Expired - Fee Related CN101389414B (zh) 2005-12-30 2006-12-26 清洁半导体基片的方法和装置
CNA2006100639487A Pending CN101114569A (zh) 2005-12-30 2006-12-29 用于清洁衬底的方法和材料
CN2006101717515A Expired - Fee Related CN101009204B (zh) 2005-12-30 2006-12-29 用于清洁衬底的装置和系统
CN2006101724970A Expired - Fee Related CN101034670B (zh) 2005-12-30 2006-12-30 从衬底上除去杂质的方法以及制备清洗液的方法
CN200610172498.5A Expired - Fee Related CN101029289B (zh) 2005-12-30 2006-12-30 清洗组合物和使用该清洗组合物的方法和系统

Country Status (9)

Country Link
US (2) US8475599B2 (zh)
EP (2) EP2428557A1 (zh)
JP (4) JP4892565B2 (zh)
KR (4) KR101426777B1 (zh)
CN (10) CN101351282B (zh)
MY (2) MY149848A (zh)
SG (2) SG154438A1 (zh)
TW (3) TWI330551B (zh)
WO (1) WO2007078955A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102315098A (zh) * 2011-09-28 2012-01-11 上海宏力半导体制造有限公司 清洗半导体基底和形成栅介质层的方法
CN103270249A (zh) * 2010-10-21 2013-08-28 西门子能量股份有限公司 具有表面开口裂缝的涡轮发动机部件的修补

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040031167A1 (en) * 2002-06-13 2004-02-19 Stein Nathan D. Single wafer method and apparatus for drying semiconductor substrates using an inert gas air-knife
US8388762B2 (en) * 2007-05-02 2013-03-05 Lam Research Corporation Substrate cleaning technique employing multi-phase solution
WO2009076233A1 (en) * 2007-12-07 2009-06-18 Fontana Technology Particle removal cleaning method and composition
US8084406B2 (en) * 2007-12-14 2011-12-27 Lam Research Corporation Apparatus for particle removal by single-phase and two-phase media
US8828145B2 (en) * 2009-03-10 2014-09-09 Lam Research Corporation Method of particle contaminant removal
US9159593B2 (en) 2008-06-02 2015-10-13 Lam Research Corporation Method of particle contaminant removal
US8105997B2 (en) * 2008-11-07 2012-01-31 Lam Research Corporation Composition and application of a two-phase contaminant removal medium
US8739805B2 (en) * 2008-11-26 2014-06-03 Lam Research Corporation Confinement of foam delivered by a proximity head
US8317934B2 (en) * 2009-05-13 2012-11-27 Lam Research Corporation Multi-stage substrate cleaning method and apparatus
US8251223B2 (en) * 2010-02-08 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning system and a package carrier for a semiconductor package
CN102569013A (zh) * 2010-12-17 2012-07-11 朗姆研究公司 用于检测晶片应力的系统和方法
CN103987664B (zh) 2011-12-06 2017-03-08 德尔塔阀门公司 龙头中的臭氧分配
KR20130072664A (ko) * 2011-12-22 2013-07-02 에스케이하이닉스 주식회사 반도체 메모리 소자의 제조방법
CN102744227A (zh) * 2012-07-16 2012-10-24 安徽未来表面技术有限公司 一种用于太阳能发电装置上的硅片清洗方法
WO2015004535A2 (en) * 2013-07-05 2015-01-15 King Abdullah University Of Science And Technology System and method for conveying an assembly
CN103406322A (zh) * 2013-07-22 2013-11-27 彩虹显示器件股份有限公司 一种用于清洗基板玻璃的装置及方法
US10767143B2 (en) 2014-03-06 2020-09-08 Sage Electrochromics, Inc. Particle removal from electrochromic films using non-aqueous fluids
DE102014206875A1 (de) * 2014-04-09 2015-10-15 Wacker Chemie Ag Verfahren zur Reinigung von technischen Anlagenteilen von Metallhalogeniden
US10753011B2 (en) 2014-09-11 2020-08-25 Tokuyama Corporation Cleaning method and laminate of aluminum nitride single-crystal substrate
US11458214B2 (en) 2015-12-21 2022-10-04 Delta Faucet Company Fluid delivery system including a disinfectant device
CN106111610B (zh) * 2016-06-26 2018-07-17 河南盛达光伏科技有限公司 单晶硅线切割碎片表面附着性脏污预清洗处理方法
KR101955597B1 (ko) * 2017-05-17 2019-05-31 세메스 주식회사 세정액 제조 장치 및 방법
KR102341398B1 (ko) 2018-01-18 2021-12-17 가부시키가이샤 아이에이치아이 라이닝재 박리 방법
GB2574179B (en) * 2018-03-12 2021-06-30 Illinois Tool Works Contact cleaning surface assembly
KR102072581B1 (ko) 2018-05-04 2020-02-03 세메스 주식회사 기판 처리방법 및 처리장치
JP7227758B2 (ja) 2018-05-31 2023-02-22 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP7227757B2 (ja) * 2018-05-31 2023-02-22 株式会社Screenホールディングス 基板処理方法および基板処理装置
CN110883017B (zh) * 2018-09-10 2020-12-29 北京石墨烯研究院 静态清洁石墨烯表面的方法和装置
CN110591832A (zh) * 2019-09-26 2019-12-20 嘉兴瑞智光能科技有限公司 一种高效环保无污染硅片清洗剂及其制备方法
KR102281885B1 (ko) 2019-11-06 2021-07-27 세메스 주식회사 기판 세정 방법 및 기판 처리 장치

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020081945A1 (en) * 2000-12-21 2002-06-27 Rod Kistler Piezoelectric platen design for improving performance in CMP applications
CN1484567A (zh) * 2001-01-04 2004-03-24 科林研发公司 使用表面面积减少的抛光片使半导体晶片抛光和平坦化的系统和方法

Family Cites Families (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL251243A (zh) * 1959-05-04
US3212762A (en) * 1960-05-23 1965-10-19 Dow Chemical Co Foam generator
US3360476A (en) * 1964-03-19 1967-12-26 Fmc Corp Liquid heavy duty cleaner and disinfectant
US3436262A (en) * 1964-09-25 1969-04-01 Dow Chemical Co Cleaning by foam contact,and foam regeneration method
US3617095A (en) 1967-10-18 1971-11-02 Petrolite Corp Method of transporting bulk solids
GB1427341A (en) * 1972-05-22 1976-03-10 Unilever Ltd Liquid soap product
US3978176A (en) * 1972-09-05 1976-08-31 Minnesota Mining And Manufacturing Company Sparger
GB1507472A (en) * 1974-05-02 1978-04-12 Bunker Ramo Foamable coating remover composition
GB1447435A (en) * 1974-06-03 1976-08-25 Ferrara P J Barnes C A Gordon Soap composition and process of producing such
US4156619A (en) * 1975-06-11 1979-05-29 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for cleaning semi-conductor discs
US4133773A (en) * 1977-07-28 1979-01-09 The Dow Chemical Company Apparatus for making foamed cleaning solutions and method of operation
DE2823002B2 (de) * 1978-05-26 1981-06-04 Chemische Werke München Otto Bärlocher GmbH, 8000 München Verfahren zur Herstellung von Metallseifengranulat
US4238244A (en) 1978-10-10 1980-12-09 Halliburton Company Method of removing deposits from surfaces with a gas agitated cleaning liquid
US4387040A (en) * 1981-09-30 1983-06-07 Colgate-Palmolive Company Liquid toilet soap
US4838289A (en) * 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
US4911761A (en) * 1984-05-21 1990-03-27 Cfm Technologies Research Associates Process and apparatus for drying surfaces
DE3760569D1 (en) * 1986-07-08 1989-10-26 Kohlensaeurewerk Deutschland A process for drying of plant or animal substances
NL8601939A (nl) 1986-07-28 1988-02-16 Philips Nv Werkwijze voor het verwijderen van ongewenste deeltjes van een oppervlak van een substraat.
US4817652A (en) * 1987-03-26 1989-04-04 Regents Of The University Of Minnesota System for surface and fluid cleaning
US4962776A (en) * 1987-03-26 1990-10-16 Regents Of The University Of Minnesota Process for surface and fluid cleaning
US4849027A (en) * 1987-04-16 1989-07-18 Simmons Bobby G Method for recycling foamed solvents
US4753747A (en) * 1987-05-12 1988-06-28 Colgate-Palmolive Co. Process of neutralizing mono-carboxylic acid
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4867896A (en) * 1988-02-17 1989-09-19 Lever Brothers Company Cleaning compositions containing cross-linked polymeric thickeners and hypochlorite bleach
US5048549A (en) * 1988-03-02 1991-09-17 General Dynamics Corp., Air Defense Systems Div. Apparatus for cleaning and/or fluxing circuit card assemblies
US5181985A (en) * 1988-06-01 1993-01-26 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for the wet-chemical surface treatment of semiconductor wafers
US5000795A (en) * 1989-06-16 1991-03-19 At&T Bell Laboratories Semiconductor wafer cleaning method and apparatus
US5102777A (en) * 1990-02-01 1992-04-07 Ardrox Inc. Resist stripping
US5271774A (en) 1990-03-01 1993-12-21 U.S. Philips Corporation Method for removing in a centrifuge a liquid from a surface of a substrate
EP0445728B1 (en) * 1990-03-07 1994-06-08 Hitachi, Ltd. Apparatus and method for cleaning solid surface
DE4038587A1 (de) 1990-12-04 1992-06-11 Hamatech Halbleiter Maschinenb Transportvorrichtung fuer substrate
US5306350A (en) * 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5261966A (en) * 1991-01-28 1993-11-16 Kabushiki Kaisha Toshiba Method of cleaning semiconductor wafers using mixer containing a bundle of gas permeable hollow yarns
US5147574A (en) * 1991-03-05 1992-09-15 The Procter & Gamble Company Stable liquid soap personal cleanser
US5175124A (en) 1991-03-25 1992-12-29 Motorola, Inc. Process for fabricating a semiconductor device using re-ionized rinse water
US5242669A (en) * 1992-07-09 1993-09-07 The S. A. Day Mfg. Co., Inc. High purity potassium tetrafluoroaluminate and method of making same
US5288332A (en) * 1993-02-05 1994-02-22 Honeywell Inc. A process for removing corrosive by-products from a circuit assembly
US5336371A (en) * 1993-03-18 1994-08-09 At&T Bell Laboratories Semiconductor wafer cleaning and rinsing techniques using re-ionized water and tank overflow
US5464480A (en) 1993-07-16 1995-11-07 Legacy Systems, Inc. Process and apparatus for the treatment of semiconductor wafers in a fluid
US5911837A (en) * 1993-07-16 1999-06-15 Legacy Systems, Inc. Process for treatment of semiconductor wafers in a fluid
US5472502A (en) 1993-08-30 1995-12-05 Semiconductor Systems, Inc. Apparatus and method for spin coating wafers and the like
US5950645A (en) 1993-10-20 1999-09-14 Verteq, Inc. Semiconductor wafer cleaning system
US5656097A (en) * 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5518542A (en) * 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
US5938504A (en) * 1993-11-16 1999-08-17 Applied Materials, Inc. Substrate polishing apparatus
US5417768A (en) * 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
JP3380021B2 (ja) * 1993-12-28 2003-02-24 株式会社エフティーエル 洗浄方法
CA2170501C (en) * 1993-12-30 2008-01-29 Rhonda Kay Schulz Method of making urea-based solid cleaning compositions
DE69523208T2 (de) * 1994-04-08 2002-06-27 Texas Instruments Inc Verfahren zur Reinigung von Halbleiterscheiben mittels verflüssigter Gase
US5498293A (en) * 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
US6081650A (en) * 1994-06-30 2000-06-27 Thomson Licensing S.A. Transport processor interface and video recorder/playback apparatus in a field structured datastream suitable for conveying television information
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
US5772784A (en) 1994-11-14 1998-06-30 Yieldup International Ultra-low particle semiconductor cleaner
US5660642A (en) * 1995-05-26 1997-08-26 The Regents Of The University Of California Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor
JP3504023B2 (ja) * 1995-05-26 2004-03-08 株式会社ルネサステクノロジ 洗浄装置および洗浄方法
US6035483A (en) * 1995-06-07 2000-03-14 Baldwin Graphic Systems, Inc. Cleaning system and process for making and using same employing a highly viscous solvent
US5964958A (en) 1995-06-07 1999-10-12 Gary W. Ferrell Methods for drying and cleaning objects using aerosols
US5968285A (en) 1995-06-07 1999-10-19 Gary W. Ferrell Methods for drying and cleaning of objects using aerosols and inert gases
US6532976B1 (en) * 1995-07-10 2003-03-18 Lg Semicon Co., Ltd. Semiconductor wafer cleaning apparatus
JP3590470B2 (ja) * 1996-03-27 2004-11-17 アルプス電気株式会社 洗浄水生成方法および洗浄方法ならびに洗浄水生成装置および洗浄装置
DE19622015A1 (de) * 1996-05-31 1997-12-04 Siemens Ag Verfahren zum Ätzen von Zerstörungszonen an einem Halbleitersubstratrand sowie Ätzanlage
TW416987B (en) * 1996-06-05 2001-01-01 Wako Pure Chem Ind Ltd A composition for cleaning the semiconductor substrate surface
JP3350627B2 (ja) * 1996-07-03 2002-11-25 宮崎沖電気株式会社 半導体素子の異物除去方法及びその装置
DE19631363C1 (de) * 1996-08-02 1998-02-12 Siemens Ag Wässrige Reinigungslösung für ein Halbleitersubstrat
JPH1055993A (ja) 1996-08-09 1998-02-24 Hitachi Ltd 半導体素子製造用洗浄液及びそれを用いた半導体素子の製造方法
WO1998013149A1 (fr) * 1996-09-25 1998-04-02 Shuzurifuresher Kaihatsukyodokumiai Systeme de lavage utilisant un gaz liquefie de haute densite
TW357406B (en) 1996-10-07 1999-05-01 Tokyo Electron Ltd Method and apparatus for cleaning and drying a substrate
JP3286539B2 (ja) * 1996-10-30 2002-05-27 信越半導体株式会社 洗浄装置および洗浄方法
US5858283A (en) * 1996-11-18 1999-01-12 Burris; William Alan Sparger
US5906021A (en) * 1996-12-06 1999-05-25 Coffey; Daniel Fluid-wetted or submerged surface cleaning apparatus
US6896826B2 (en) * 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US5900191A (en) * 1997-01-14 1999-05-04 Stable Air, Inc. Foam producing apparatus and method
US5800626A (en) * 1997-02-18 1998-09-01 International Business Machines Corporation Control of gas content in process liquids for improved megasonic cleaning of semiconductor wafers and microelectronics substrates
US20020157686A1 (en) * 1997-05-09 2002-10-31 Semitool, Inc. Process and apparatus for treating a workpiece such as a semiconductor wafer
US6701941B1 (en) * 1997-05-09 2004-03-09 Semitool, Inc. Method for treating the surface of a workpiece
JPH10321572A (ja) * 1997-05-15 1998-12-04 Toshiba Corp 半導体ウェーハの両面洗浄装置及び半導体ウェーハのポリッシング方法
JPH1126423A (ja) * 1997-07-09 1999-01-29 Sugai:Kk 半導体ウエハ等の処理方法並びにその処理装置
US6152805A (en) 1997-07-17 2000-11-28 Canon Kabushiki Kaisha Polishing machine
US5932493A (en) * 1997-09-15 1999-08-03 International Business Machines Corporaiton Method to minimize watermarks on silicon substrates
US6491764B2 (en) 1997-09-24 2002-12-10 Interuniversitair Microelektronics Centrum (Imec) Method and apparatus for removing a liquid from a surface of a rotating substrate
EP0905746A1 (en) 1997-09-24 1999-03-31 Interuniversitair Micro-Elektronica Centrum Vzw Method of removing a liquid from a surface of a rotating substrate
US5904156A (en) * 1997-09-24 1999-05-18 International Business Machines Corporation Dry film resist removal in the presence of electroplated C4's
US6398975B1 (en) * 1997-09-24 2002-06-04 Interuniversitair Microelektronica Centrum (Imec) Method and apparatus for localized liquid treatment of the surface of a substrate
US5807439A (en) * 1997-09-29 1998-09-15 Siemens Aktiengesellschaft Apparatus and method for improved washing and drying of semiconductor wafers
JP3039493B2 (ja) * 1997-11-28 2000-05-08 日本電気株式会社 基板の洗浄方法及び洗浄溶液
US6270584B1 (en) * 1997-12-03 2001-08-07 Gary W. Ferrell Apparatus for drying and cleaning objects using controlled aerosols and gases
US5865901A (en) * 1997-12-29 1999-02-02 Siemens Aktiengesellschaft Wafer surface cleaning apparatus and method
US6042885A (en) * 1998-04-17 2000-03-28 Abitec Corporation System and method for dispensing a gel
US6049996A (en) * 1998-07-10 2000-04-18 Ball Semiconductor, Inc. Device and fluid separator for processing spherical shaped devices
US5944581A (en) * 1998-07-13 1999-08-31 Ford Motor Company CO2 cleaning system and method
JP3003684B1 (ja) * 1998-09-07 2000-01-31 日本電気株式会社 基板洗浄方法および基板洗浄液
JP2000100801A (ja) 1998-09-25 2000-04-07 Sumitomo Electric Ind Ltd エピタキシャルウェハおよびその製造方法ならびにそれに用いられる化合物半導体基板の表面清浄化方法
JP2000141215A (ja) * 1998-11-05 2000-05-23 Sony Corp 平坦化研磨装置及び平坦化研磨方法
JP2000265945A (ja) * 1998-11-10 2000-09-26 Uct Kk 薬液供給ポンプ、薬液供給装置、薬液供給システム、基板洗浄装置、薬液供給方法、及び基板洗浄方法
US6090217A (en) * 1998-12-09 2000-07-18 Kittle; Paul A. Surface treatment of semiconductor substrates
JP2000260739A (ja) * 1999-03-11 2000-09-22 Kokusai Electric Co Ltd 基板処理装置および基板処理方法
US6290780B1 (en) * 1999-03-19 2001-09-18 Lam Research Corporation Method and apparatus for processing a wafer
US6849581B1 (en) * 1999-03-30 2005-02-01 Bj Services Company Gelled hydrocarbon compositions and methods for use thereof
US6272712B1 (en) * 1999-04-02 2001-08-14 Lam Research Corporation Brush box containment apparatus
JP3624116B2 (ja) * 1999-04-15 2005-03-02 東京エレクトロン株式会社 処理装置及び処理方法
JP4247587B2 (ja) 1999-06-23 2009-04-02 Jsr株式会社 半導体部品用洗浄剤、半導体部品の洗浄方法、研磨用組成物、および研磨方法
FR2795960B1 (fr) * 1999-07-05 2001-10-19 Sanofi Elf Microemulsions stables pour l'administration d'acides gras a l'homme ou a l'animal, et utilisation de ces microemulsions
US20020121290A1 (en) * 1999-08-25 2002-09-05 Applied Materials, Inc. Method and apparatus for cleaning/drying hydrophobic wafers
US6734121B2 (en) * 1999-09-02 2004-05-11 Micron Technology, Inc. Methods of treating surfaces of substrates
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US7122126B1 (en) 2000-09-28 2006-10-17 Materials And Technologies Corporation Wet processing using a fluid meniscus, apparatus and method
US6858089B2 (en) * 1999-10-29 2005-02-22 Paul P. Castrucci Apparatus and method for semiconductor wafer cleaning
US6881687B1 (en) * 1999-10-29 2005-04-19 Paul P. Castrucci Method for laser cleaning of a substrate surface using a solid sacrificial film
US6576066B1 (en) * 1999-12-06 2003-06-10 Nippon Telegraph And Telephone Corporation Supercritical drying method and supercritical drying apparatus
US20020006767A1 (en) 1999-12-22 2002-01-17 Applied Materials, Inc. Ion exchange pad or brush and method of regenerating the same
US6286231B1 (en) * 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6276459B1 (en) * 2000-02-01 2001-08-21 Bradford James Herrick Compressed air foam generator
US6594847B1 (en) * 2000-03-28 2003-07-22 Lam Research Corporation Single wafer residue, thin film removal and clean
US6457199B1 (en) 2000-10-12 2002-10-01 Lam Research Corporation Substrate processing in an immersion, scrub and dry system
ES2288998T3 (es) * 2000-05-17 2008-02-01 Henkel Kommanditgesellschaft Auf Aktien Cuerpos moldeados de detergentes o productos de limpieza.
US6927176B2 (en) * 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6488040B1 (en) 2000-06-30 2002-12-03 Lam Research Corporation Capillary proximity heads for single wafer cleaning and drying
KR100366623B1 (ko) 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
WO2002015255A1 (en) * 2000-08-11 2002-02-21 Chem Trace Corporation System and method for cleaning semiconductor fabrication equipment parts
US6328042B1 (en) * 2000-10-05 2001-12-11 Lam Research Corporation Wafer cleaning module and method for cleaning the surface of a substrate
RU2292386C2 (ru) * 2000-11-03 2007-01-27 Унилевер Н.В. Композиция для чистки твердых поверхностей и способ чистки
US20020094684A1 (en) * 2000-11-27 2002-07-18 Hirasaki George J. Foam cleaning process in semiconductor manufacturing
US6525009B2 (en) * 2000-12-07 2003-02-25 International Business Machines Corporation Polycarboxylates-based aqueous compositions for cleaning of screening apparatus
US6596093B2 (en) * 2001-02-15 2003-07-22 Micell Technologies, Inc. Methods for cleaning microelectronic structures with cyclical phase modulation
US6641678B2 (en) * 2001-02-15 2003-11-04 Micell Technologies, Inc. Methods for cleaning microelectronic structures with aqueous carbon dioxide systems
US6493902B2 (en) 2001-02-22 2002-12-17 Chung-Yi Lin Automatic wall cleansing apparatus
JP2002280343A (ja) 2001-03-15 2002-09-27 Nec Corp 洗浄処理装置、切削加工装置
JP2002280330A (ja) 2001-03-21 2002-09-27 Lintec Corp チップ状部品のピックアップ方法
US6627550B2 (en) * 2001-03-27 2003-09-30 Micron Technology, Inc. Post-planarization clean-up
JP2002309638A (ja) 2001-04-17 2002-10-23 Takiron Co Ltd 建物の排水管路における通気性掃除口
JP3511514B2 (ja) * 2001-05-31 2004-03-29 エム・エフエスアイ株式会社 基板浄化処理装置、ディスペンサー、基板保持機構、基板の浄化処理用チャンバー、及びこれらを用いた基板の浄化処理方法
US6802911B2 (en) 2001-09-19 2004-10-12 Samsung Electronics Co., Ltd. Method for cleaning damaged layers and polymer residue from semiconductor device
CN1589317A (zh) * 2001-11-19 2005-03-02 荷兰联合利华有限公司 改进的洗涤体系
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
GB2385597B (en) * 2002-02-21 2004-05-12 Reckitt Benckiser Inc Hard surface cleaning compositions
JP2003282513A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 有機物剥離方法及び有機物剥離装置
JP4570008B2 (ja) 2002-04-16 2010-10-27 東京エレクトロン株式会社 液処理装置および液処理方法
US20040159335A1 (en) * 2002-05-17 2004-08-19 P.C.T. Systems, Inc. Method and apparatus for removing organic layers
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20040002430A1 (en) * 2002-07-01 2004-01-01 Applied Materials, Inc. Using a time critical wafer cleaning solution by combining a chelating agent with an oxidizer at point-of-use
JP4017463B2 (ja) * 2002-07-11 2007-12-05 株式会社荏原製作所 洗浄方法
US7240679B2 (en) * 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US6998327B2 (en) 2002-11-19 2006-02-14 International Business Machines Corporation Thin film transfer join process and multilevel thin film module
US6875286B2 (en) 2002-12-16 2005-04-05 International Business Machines Corporation Solid CO2 cleaning
US6733596B1 (en) * 2002-12-23 2004-05-11 Lam Research Corporation Substrate cleaning brush preparation sequence, method, and system
US20040163681A1 (en) * 2003-02-25 2004-08-26 Applied Materials, Inc. Dilute sulfuric peroxide at point-of-use
US6951042B1 (en) * 2003-02-28 2005-10-04 Lam Research Corporation Brush scrubbing-high frequency resonating wafer processing system and methods for making and implementing the same
JP2004323840A (ja) * 2003-04-10 2004-11-18 Sumitomo Chem Co Ltd 研磨洗浄液組成物及び研磨洗浄方法
US7169192B2 (en) * 2003-05-02 2007-01-30 Ecolab Inc. Methods of using heterogeneous cleaning compositions
US20040261823A1 (en) 2003-06-27 2004-12-30 Lam Research Corporation Method and apparatus for removing a target layer from a substrate using reactive gases
KR100477810B1 (ko) 2003-06-30 2005-03-21 주식회사 하이닉스반도체 Nf3 hdp 산화막을 적용한 반도체 소자 제조방법
US6946396B2 (en) * 2003-10-30 2005-09-20 Nissan Chemical Indusries, Ltd. Maleic acid and ethylene urea containing formulation for removing residue from semiconductor substrate and method for cleaning wafer
KR20050044085A (ko) * 2003-11-07 2005-05-12 삼성전자주식회사 집적회로 소자의 세정액 및 그 세정액을 이용한 세정방법
US7353560B2 (en) * 2003-12-18 2008-04-08 Lam Research Corporation Proximity brush unit apparatus and method
US8323420B2 (en) * 2005-06-30 2012-12-04 Lam Research Corporation Method for removing material from semiconductor wafer and apparatus for performing the same
US7416370B2 (en) 2005-06-15 2008-08-26 Lam Research Corporation Method and apparatus for transporting a substrate using non-Newtonian fluid
US8043441B2 (en) 2005-06-15 2011-10-25 Lam Research Corporation Method and apparatus for cleaning a substrate using non-Newtonian fluids
US7568490B2 (en) * 2003-12-23 2009-08-04 Lam Research Corporation Method and apparatus for cleaning semiconductor wafers using compressed and/or pressurized foams, bubbles, and/or liquids
JP2005194294A (ja) 2003-12-26 2005-07-21 Nec Electronics Corp 洗浄液及び半導体装置の製造方法
CN1654617A (zh) * 2004-02-10 2005-08-17 捷时雅株式会社 清洗用组合物和半导体基板的清洗方法及半导体装置的制造方法
JP4821122B2 (ja) * 2004-02-10 2011-11-24 Jsr株式会社 洗浄用組成物、半導体基板の洗浄方法および半導体装置の製造方法
US20050183740A1 (en) * 2004-02-19 2005-08-25 Fulton John L. Process and apparatus for removing residues from semiconductor substrates
FI116889B (fi) * 2004-03-03 2006-03-31 Outokumpu Oy Laite kiintoainetta sisältävän materiaalin hierto-ohentamiseksi
US20050202995A1 (en) * 2004-03-15 2005-09-15 The Procter & Gamble Company Methods of treating surfaces using surface-treating compositions containing sulfonated/carboxylated polymers
JP2005311320A (ja) * 2004-03-26 2005-11-04 Sony Corp 異物除去方法及びその装置
JPWO2005104202A1 (ja) * 2004-04-21 2008-03-13 株式会社エフティーエル 基板の洗浄方法
US8136423B2 (en) * 2005-01-25 2012-03-20 Schukra of North America Co. Multiple turn mechanism for manual lumbar support adjustment

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020081945A1 (en) * 2000-12-21 2002-06-27 Rod Kistler Piezoelectric platen design for improving performance in CMP applications
CN1484567A (zh) * 2001-01-04 2004-03-24 科林研发公司 使用表面面积减少的抛光片使半导体晶片抛光和平坦化的系统和方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103270249A (zh) * 2010-10-21 2013-08-28 西门子能量股份有限公司 具有表面开口裂缝的涡轮发动机部件的修补
CN102315098A (zh) * 2011-09-28 2012-01-11 上海宏力半导体制造有限公司 清洗半导体基底和形成栅介质层的方法
CN102315098B (zh) * 2011-09-28 2016-03-30 上海华虹宏力半导体制造有限公司 清洗半导体基底和形成栅介质层的方法

Also Published As

Publication number Publication date
CN101029289B (zh) 2014-06-25
US20130284217A1 (en) 2013-10-31
CN101029289A (zh) 2007-09-05
TW200738361A (en) 2007-10-16
JP5237825B2 (ja) 2013-07-17
WO2007078955A2 (en) 2007-07-12
CN101370885B (zh) 2013-04-17
MY143763A (en) 2011-07-15
CN101351282B (zh) 2013-04-10
TWI335247B (en) 2011-01-01
KR101401753B1 (ko) 2014-05-30
KR101312973B1 (ko) 2013-10-01
CN101351282A (zh) 2009-01-21
SG169975A1 (en) 2011-04-29
TWI410522B (zh) 2013-10-01
CN101034670B (zh) 2010-11-17
WO2007078955A3 (en) 2008-02-07
CN101034670A (zh) 2007-09-12
US8475599B2 (en) 2013-07-02
KR101376911B1 (ko) 2014-03-20
CN101351281A (zh) 2009-01-21
CN101512049B (zh) 2014-04-16
EP1969114A2 (en) 2008-09-17
JP2009522783A (ja) 2009-06-11
CN101351540A (zh) 2009-01-21
JP4892565B2 (ja) 2012-03-07
US20070155640A1 (en) 2007-07-05
JP5154441B2 (ja) 2013-02-27
KR20080091356A (ko) 2008-10-10
EP2428557A1 (en) 2012-03-14
CN101351281B (zh) 2013-07-17
CN101114569A (zh) 2008-01-30
TW200801244A (en) 2008-01-01
JP5148508B2 (ja) 2013-02-20
KR20080083196A (ko) 2008-09-16
JP2009522789A (ja) 2009-06-11
KR20080081364A (ko) 2008-09-09
KR101426777B1 (ko) 2014-08-07
JP2009522777A (ja) 2009-06-11
CN101370885A (zh) 2009-02-18
CN101009204A (zh) 2007-08-01
CN101389414A (zh) 2009-03-18
CN101389414B (zh) 2012-07-04
TW200740536A (en) 2007-11-01
SG154438A1 (en) 2009-08-28
CN101009204B (zh) 2012-05-30
MY149848A (en) 2013-10-31
KR20080085072A (ko) 2008-09-22
JP2009522780A (ja) 2009-06-11
TWI330551B (en) 2010-09-21

Similar Documents

Publication Publication Date Title
CN101512049B (zh) 用于清洁半导体基片的方法和设备
KR101502870B1 (ko) 정렬된 나노와이어들 및 기타 전기 장치들을 프린팅하는 방법 및 시스템
CN102396050B (zh) 从衬底除去污染物的方法和装置
CN101884255B (zh) 将两种物质有选择地配置于基板的表面的方法
US8522801B2 (en) Method and apparatus for cleaning a semiconductor substrate
US8555903B2 (en) Method and apparatus for removing contamination from substrate
Gahng et al. Reduction of metal contact resistance of graphene devices via CO2 cluster cleaning
CN109689329A (zh) 产生溶液分散的纳米材料的大面积单层膜的方法和装置
Qi et al. A novel design of brush scrubbing in post-CMP cleaning
Menon Particle Adhesion to Surfaces Theory of Cleaning
US7749327B2 (en) Methods for treating surfaces
Yu et al. Ink-drop dynamics on chemically modified surfaces
Snow et al. Dual-fluid spray cleaning technique for particle removal
KR20100046778A (ko) 프린트용 몰드, 그의 제조 방법 및 이를 이용한 박막 패턴 형성 방법
Chai et al. Microscopic model of nano-scale particles removal in high pressure CO2-based solvents
Xue et al. Large-Area Preparation of a Robust Superamphiphobic Coating for Chemical Mechanical Polishing Application
US20150040941A1 (en) Method and Apparatus for Cleaning A Semiconductor Substrate
CN102430543A (zh) 晶圆的清洗装置及清洗方法
Hong et al. Residual-layer-free printing by selective filling of self-assembled monolayer-treated mold
Handa et al. Experimental and Modeling Investigation of the Mechanism for Preventing Readhesion via Zeta Potential in the Spin-Rinse Process
Kovalsky Biomimetic adhesion for transfer printing via microstructured surfaces
TWM376794U (en) Apparatus in fabricating alignment film

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20140416

Termination date: 20171221