TW201301428A - 基板處理裝置 - Google Patents

基板處理裝置 Download PDF

Info

Publication number
TW201301428A
TW201301428A TW101110190A TW101110190A TW201301428A TW 201301428 A TW201301428 A TW 201301428A TW 101110190 A TW101110190 A TW 101110190A TW 101110190 A TW101110190 A TW 101110190A TW 201301428 A TW201301428 A TW 201301428A
Authority
TW
Taiwan
Prior art keywords
vacuum
chamber
substrate
electrostatic chuck
wafer
Prior art date
Application number
TW101110190A
Other languages
English (en)
Other versions
TWI509725B (zh
Inventor
Eiichi Sugawara
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201301428A publication Critical patent/TW201301428A/zh
Application granted granted Critical
Publication of TWI509725B publication Critical patent/TWI509725B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67346Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明的目的在於:縮短進行設置在真空處理室的基板載置台之表面部的狀態確認或該表面部的更換所造成之真空處理的停止時間,並且高精度地管控該表面部之狀態。一種基板處理裝置,其構成為包含:常壓環境氣氛之常壓運送室,用以運送基板;真空處理室,透過真空預備室與常壓運送室連接;基板載置台,設於該真空處理室,並具有本體部以及對於該本體部任意裝卸之表面部;保管部,設於該真空預備室或常壓運送室,用以收納該表面部;以及運送機構,用以將基板從常壓運送室中通過真空預備室運送至真空處理室,或是在該保管部與該真空處理室的本體部之間運送該表面部。因此防止了真空處理室的大氣開放並且較容易確認表面部的狀態,故可高精度地管控該表面部。

Description

基板處理裝置
本發明係有關一種具備有真空處理室、常壓環境氣氛的基板運送室以及真空預備室之基板處理裝置。
在形成配線構造的程序中,有時進行電漿蝕刻處理,係例如在形成於半導體晶圓(以下稱為「晶圓」)上的各種膜上,形成溝槽或通孔所構成的金屬鑲嵌構造之凹部。
進行此種處理的電漿蝕刻裝置,例如在真空環境氣氛下的處理容器內配置上部電極,與構成下部電極的載置台所構成;在晶圓載置在該載置台的狀態下,透過整合器對上部電極以及載置台施加既定頻率的高頻,使電漿產生,並且將離子導入載置台側來進行蝕刻處理。該載置台上設有:將晶圓載置在其表面之靜電吸盤,以及包圍載置在靜電吸盤上的晶圓之外周之對焦環。該靜電吸盤,具有吸附晶圓並對晶圓導熱來調節晶圓溫度之功用。對焦環,係用以使電漿高均勻性地分布在晶圓表面上所設置,與晶圓一起受該離子蝕刻。
可是靜電吸盤與晶圓,其熱膨脹係數相異,當靜電吸盤上置有晶圓時,由於該熱膨脹係數的不同而互相摩擦。因此,若持續重複進行晶圓處理,則靜電吸盤的表面逐漸變得平滑,與載置台及晶圓的接觸面積變大,對於晶圓的熱傳達率產生變化,結果導致晶圓的蝕刻特性變化。又,若重複進行晶圓的蝕刻,則對焦環亦受蝕刻,所以該對焦環的形狀產生變化。由於此形狀變化使離子導入方向或電場形成情形產生變化,使晶圓的蝕刻特性產生變化。
再者,為了在蝕刻處理後去除附著在處理容器內的壁面或載置台上的附著物,有時進行使供給至處理容器內的氣體電漿化以去除該附著物之清潔。在該清潔時,在靜電吸盤上放置虛設晶圓,來保護靜電吸盤,雖有想到這點,但從節省在處理容器內運送虛設晶圓的時間或削減成本之目的來看,所想到的是不使用此虛設晶圓來進行前述清潔。但是,如此不放置虛設晶圓時,由此清潔削減了靜電吸盤的表面,所以對於晶圓的熱之傳達率產生變化,晶圓的蝕刻特性產生變化。
如此靜電吸盤的表面狀態以及對焦環的形狀,由於隨蝕刻處理而來之消耗而產生變化,因此對蝕刻特性帶來變化,故必須要有精度良好的狀態管控。而當形狀在容許範圍外時,必須要有及時更換等對應措施。
可是,靜電吸盤以及對焦環,如同上述係設置在真空環境氣氛。為了在此真空環境氣氛中確認靜電吸盤以及對焦環之狀態,便在處理容器內設置感測器,雖有考慮到這點,但由於設置此感測器而會在電漿產生偏差,此乃令人擔憂。因此,根據以往的靜電吸盤的表面狀態與對焦環形狀之變化傾向,來設定此等靜電吸盤以及對焦環可使用的時間(壽命),當進行電漿蝕刻處理的時間超過此設定時間時,將處理容器大氣開放來進行此等靜電吸盤以及對焦環之更換。又,有時當晶圓中確認了蝕刻特性的變化時,便將處理容器開放,來確認靜電吸盤以及對焦環的狀態,若形狀在容許範圍外,則進行靜電吸盤以及對焦環之更換。
但是,由於蝕刻處理條件的不同,使靜電吸盤以及對焦環的形狀變化之程度相異,故如上所述設定可使用時間之手法,係難以高精度地管控靜電吸盤以及對焦環之狀態。又,在確認了晶圓的蝕刻特性之變化後進行靜電吸盤以及對焦環的更換之手法,會 浪費晶圓。綜觀上述,欲得到長期穩定的蝕刻特性則有其困難。再者,此等手法中,在靜電吸盤以及對焦環之更換時將處理容器大氣開放,所以在大氣開放後將處理容器抽真空來取得所求之真空度之前,不進行蝕刻處理。因此,有電漿蝕刻裝置的生產力降低之憂慮。專利文獻1中,雖記載了具有此等電漿蝕刻裝置之基板處理裝置,但並未記載解決上述問題之手法。
[習知技術文獻] [專利文獻]
專利文獻1:日本特開2009-16447
本發明係根據上述事由所製成,其目的在於:縮短進行設置在真空處理室的基板載置台之表面部的狀態確認或該表面部的更換所造成之真空處理的停止時間,並且高精度地管控該表面部之狀態。
本發明的基板處理裝置,其特徵為包含:常壓環境氣氛之常壓運送室,用以運送基板;真空處理室,透過真空預備室與該常壓運送室連接,對於基板進行真空處理;基板載置台,設於該真空處理室,並具有本體部以及對於該本體部任意裝卸之表面部;保管部,設於該真空預備室或常壓運送室,用以收納該表面部;以及運送機構,用以將基板從該常壓運送室中通過真空預備室運送至真空處理室,或是在該保管部與該真空處理室的本體部之間 運送該表面部。
本發明的具體態樣如下所述。
(1)設有真空環境氣氛的真空運送室,介在於該真空預備室與該真空處理室之間。
(2)該保管部,係與該真空預備室以及真空處理室區隔開來連接至該真空運送室,而非設於該真空預備室或常壓運送室;並具有閘閥,用來切換該保管部對於真空運送室之開放與關閉,以於該真空運送室維持真空環境氣氛之狀態下,使該保管部的內部從真空環境氣氛變為大氣環境氣氛。
(3)該表面部設有載置基板的載置面;該保管部設有用以固持該表面部之固持部;該運送機構,係在基板載置於該表面部之狀態下將其從保管部運送至真空處理室。
(4)更設有對位機構,此對位機構係為了將基板載置於該載置面的預定位置,而在將表面部以及基板運送至該固持部之前進行相對於該固持部的對位。
(5)該真空處理室係用以對基板進行電漿處理。
(6)該表面部,係由用以吸附基板的靜電吸盤,以及用以包圍基板外周而控制電漿狀態的對焦環,至少其中一者所構成。
(7)該保管部由互相區隔開的第1保管部與第2保管部所構成;該閘閥分別設於第1保管部、第2保管部,可相互獨立地進行開閉。
根據本發明,可使設於真空處理室的基板載置台之表面部以從本體部中任意裝卸方式設於真空預備室或大氣運送室,或是在其與連接至真空運送室的保管部之間運送之。因此即使不將真空處理室大氣開放亦可進行該表面部之交換,故可抑制該真空處理 室中真空處理的停止時間。又,能以目視確認該表面部的狀態,或在保管部中設置各種感測器來進行表面部的狀態確認。從而,可高精度地管控表面部之狀態,進而可防止基板的蝕刻特性惡化。
[實施發明之最佳形態] (第1實施形態)
參照圖1的俯視圖,說明依本發明實施形態的基板處理裝置1之構成。基板處理裝置1,包含:大氣運送室11,用以將半導體製造裝置用的基板即晶圓W移入該基板處理裝置1中;真空預備室12、12;真空運送室13;以及例如4個電漿蝕刻模組4。大氣運送室11,係透過真空預備室12、12連接至真空運送室13。真空運送室13,以從真空預備室12、12區隔開之方式連接有電漿蝕刻模組4。
大氣運送室11係由大氣環境氣氛所構成,其正面設有:載置收納例如25片晶圓W的載具C之載具載置台14。大氣運送室11的正面壁上,設有:連接有前述載具C並與載具C的蓋部一起開閉之閥門GT。大氣運送室11的一方的側面上設有保管部即存料部2,在另一方的側面上設有構成對位機構之對準室3。此等存料部2以及對準室3則於後詳述之。
又,大氣運送室11中設有第1運送機構15,係在載具C、真空預備室12、對準室3以及存料部2之間傳遞晶圓W、後述的靜電吸盤51以及對焦環52。第1運送機構15具有基部15a、多關節的臂部15b、以及支持部15c。臂部15b的基端側連接至基部15a,臂部15b的前端側連接至支持部15c。基部15a可在橫方向任意移動且任意升降。支持部15c以俯視觀之時形成為U字型,用以支持晶圓W、靜電吸盤51以及對焦環52。
真空預備室12中設有載置晶圓W的平台、以及可任意升降的支持銷,藉由該支持銷可在該第1運送機構15與後述的第2運送機構16之間傳遞晶圓W。又,真空預備室12中設有未圖示之真空泵浦與洩氣閥,用以切換大氣環境氣氛與真空環境氣氛。亦即,分別是大氣運送室11的環境氣氛保持在大氣環境氣氛,真空運送室13的環境氣氛保持在真空環境氣氛,故真空預備室12、12在該等運送室之間,基於運送晶圓W之目的而切換環境氣氛。
真空運送室13如同上述保持在真空環境氣氛,並具有第2運送機構16。第2運送機構16,雖構成為與第1運送機構15約略相同,但對於1個基部設有各2個的臂部以及支持部。第2運送機構16的基部、臂部、支持部分別以16a、16b、16c圖示出。
圖中G,係將各腔室之間,以及電漿蝕刻模組4與真空運送室之間加以隔開之可任意開閉的閘閥。通常,閘閥G係關閉著,在各腔室之間以及各模組與真空運送室13之間運送晶圓W時則開啟。
接著,參照圖2的縱剖面側視圖以及圖3的橫剖面俯視圖來說明存料部2。存料部2具有框體21;框體21中設有開口部22,用以使第1運送機構15進入;以及閘門23,使該開口部22開閉。在該框體21內分別收納有複數個靜電吸盤51以及對焦環52,在電漿蝕刻模組4中構成晶圓W的載置台43。又,構成框體21,並設於大氣運送室11的相反側之側壁21a,係透明構成,俾於例如使用者能以目視確認靜電吸盤51以及對焦環52的狀態。又,側壁21a可任意拆卸,以進行框體21內的靜電吸盤51以及對焦環52之更換。
在此,參照圖4的立體圖來說明靜電吸盤51以及對焦環52 的構成。靜電吸盤51,具有在電漿蝕刻模組4中進行處理時載置並吸附固持晶圓W並且對晶圓W進行導熱之功用,並形成為圓板狀。而其表面上形成有段差,中央部511形成為高於周緣部512。中央部511中,在靜電吸盤51的厚度方向上開設有:孔部513,後述的支持銷27貫通其中;以及孔部514,用以在晶圓W的處理時讓氣體流通至晶圓W的背面。周緣部512中,於該厚度方向上設有孔部515,後述的支持銷28貫通其中。此等各孔部513、515係各有3個配列在靜電吸盤51的周方向上。又,該孔部514設有多數個。圖中516係從靜電吸盤51的外周部朝向內側形成之缺口。
對焦環52例如與晶圓W同樣地由矽所構成,具有在電漿蝕刻模組4中進行處理時在晶圓W的周緣部與中央部防止電漿狀態偏差之功用,並形成為環狀。對焦環52的表面上形成有段差,外周部522形成為高於內周部521。另,對焦環52的材質,並不限於矽,例如由二氧化矽(SiO2)或碳化矽(SiC)所構成亦可。對焦環52可載置在該靜電吸盤51的周緣部512上。又,對焦環52的外周部522係以可包圍晶圓W的外周之大小所構成。
回到存料部2的說明,在框體21的上部側設有:用以將複數個各靜電吸盤51以及對焦環52堆疊並予以支持之棚架24。圖5顯示從該框體21的開口部22側觀察框體21的上部側之縱剖面。如圖5所示,棚架24自開口部22觀之時係設於左右,用以支持靜電吸盤51以及對焦環52的邊緣部。從開口部22進入的第1運送機構15,可支持此等靜電吸盤51以及對焦環52的背面,可自該棚架24接收該等靜電吸盤51以及對焦環52。
在棚架24的下方,如圖2~圖4所示設有圓形的固持部25。靜電吸盤51以及對焦環52與從載具C運送來的晶圓W,係運送至此固持部25而一體化。而在如此成一體的狀態下藉由上述的第1運送機構15以及第2運送機構16,運送至電漿蝕刻模組4。在固 持部25中開設在該固持部25的厚度方向上之3個孔部26a(在圖2中為了方便僅顯示2個),係配設於該固持部25的周方向上。各孔部26a中設有支持靜電吸盤51的背面之支持銷26;各支持銷26藉由圖2所示之驅動機構26b可任意升降。
在較孔部26a更靠固持部25的中心側,與孔部26a同樣地配設有3個孔部27a。各孔部27a中設有支持銷27;各支持銷27藉由驅動機構27b可任意升降。如圖4所示,此支持銷26係通過靜電吸盤51的孔部513來支持晶圓W的背面。又,在較孔部26a更靠固持部25的外側,與孔部26a同樣地配設有3個孔部28a。各孔部28a中設有支持銷28;各支持銷28藉由驅動機構28b可任意升降。如圖4所示,此支持銷28係通過靜電吸盤51的孔部515來支持對焦環52的背面。
接著參照圖6、圖7來說明對準室3的構成。對準室3中設有分別載置晶圓W、靜電吸盤51以及對焦環52之水平的旋轉平台31。此旋轉平台31係將此等晶圓W、靜電吸盤51以及對焦環52真空吸附並水平固持之。分別是圖6顯示將靜電吸盤51載置在旋轉平台31上的狀態,圖7顯示將對焦環52載置在旋轉平台31上的狀態。
旋轉平台31藉由驅動機構32,而保持水平,繞著鉛直軸周圍旋轉。在旋轉平台31的下方於該旋轉平台31的周方向上例如設置有3根(在圖中為了方便僅顯示2個)支持銷33。支持銷33藉由升降機構34升降,並通過設於旋轉平台31的厚度方向上的孔部35突出於該旋轉平台31上。藉由此支持銷33而在旋轉平台31與第1運送機構15之間,進行晶圓W、靜電吸盤51以及對焦環52之傳遞。
在旋轉平台31的外側上部設有投光部36,於其下方設有受光 部37。如圖6所示使載置有靜電吸盤51的旋轉平台31旋轉並且從投光部36對受光部37進行照明。而依據不遮掩靜電吸盤51的周緣部而照射在受光部37上的光量之變化,後述的控制部100偵測旋轉平台31上的靜電吸盤51之中心位置與缺口516的方向,而使旋轉平台31旋轉以令缺口516為既定方向。對晶圓W亦進行同樣的處理,因此控制部100可偵測晶圓W的中心位置。對對焦環52亦進行同樣的處理,而控制部100偵測該對焦環52的中心位置。
第1運送機構15,係以所偵測出的中心位置相對於該第1運送機構15的支持部15c位於既定位置方式,接收如上所述進行了中心位置偵測以及方向調整之各構件。至於靜電吸盤51,係如此進行傳遞,因此當載置於固持部25上時,使前述各孔部的位置與該固持部25的各支持銷之位置對齊。再者,當運送至電漿蝕刻模組4時,可使靜電吸盤51中的孔部513之位置、後述靜電吸盤51的底面之引出電極518之位置、用以使氣體流通之孔部514之位置,分別對齊後述本體部44的支持銷46之位置、表面電極531之位置、氣體噴吐口48之位置。亦即,對於此支持部15c進行對位,便亦同時對於固持部25以及電漿蝕刻模組4進行對位。至於對焦環52以及晶圓W亦如上所述依據中心位置來進行傳遞,因此可正確地載置在靜電吸盤51上。
接著,參照圖8的縱剖面側視圖來說明電漿蝕刻模組4。電漿蝕刻模組4係磁控式的反應性離子蝕刻裝置。電漿蝕刻模組4具有氣密的處理容器41。該處理容器41中,兼作導入用以進行蝕刻的處理氣體之氣體噴頭之上部電極42與兼作下部電極之載置台43,係互相對向設置。
載置台43由例如形成為圓形的本體部44、前述的靜電吸盤51以及對焦環52所構成;靜電吸盤51以及對焦環52設於本體部 44的表面。在本體部44中3個孔部45a開設在該本體部44的厚度方向上(在圖8中為了方便僅顯示2個),各孔部45a係配設於本體部44的周方向上。各孔部45a中設有支持銷45,藉由設於處理容器41的下方之升降機構45b可任意升降。因此,如圖9所示,在第2運送機構16與本體部44之間,進行在上述存料部2的固持部25成一體之晶圓W、靜電吸盤51以及對焦環52之傳遞。之後,將此成一體之晶圓W、靜電吸盤51以及對焦環52記載為被運送體50。
又,在本體部44中在其厚度方向上開設有3個孔部46a,此孔部46a係在較孔部45a更靠本體部44的內側,配設於本體部44的周方向上。各孔部46a中設有支持銷46,藉由設於處理容器41的下方之升降機構46b可任意升降。藉由此支持銷46,可將靜電吸盤51以及對焦環52載置在本體部44上不動,將晶圓W向上頂,在第2運送機構16與載置台43之間進行晶圓W之傳遞。圖8中47係用以保持處理容器41內的氣密性之伸縮囊。
本體部44中設有未圖示之加熱器,藉由該加熱器的熱而透過靜電吸盤51對晶圓W進行溫度調整。又,本體部44中設有連接至導熱用氣體供給部48a之氣體噴吐口48。自此氣體噴吐口48噴吐出的例如氦氣所構成之導熱用氣體,係通過靜電吸盤51的孔部514而供給至該靜電吸盤51與晶圓W之微小縫隙間來對晶圓W進行導熱。又,本體部44中透過整合器49a連接有施加偏壓用電力之高頻電源部49b。
在此,補充說明該靜電吸盤51的構成,並更加說明本體部44的構成。靜電吸盤51的表面例如由陶瓷所構成,其內部設有平板狀的主電極517。自此主電極517,以朝向下方之方式設有引出電極518。此引出電極518露出於靜電吸盤51的底面。在本體部44的表面,在對應此引出電極518的位置上設有表面電極531,表面 電極531連接至直流電源532。當靜電吸盤51載置在本體部44上時,引出電極518與表面電極531互相重疊,從直流電源532對主電極517施加直流電壓,藉由靜電力將晶圓W靜電吸附在靜電吸盤51的表面。
又在本體部44的側方,相互成對之按壓構件534、534係以包加該本體部44之方式設置。此按壓構件534挾持靜電吸盤51,以防止因前述導熱用氣體的壓力所造成之浮起。按壓構件534,係以沿著本體部44的側周設置的豎立板的上部側往本體部44側彎曲90°之方式形成。該上部側以推壓部535顯示。本體部44的側周,設有:往該本體部44的徑方向伸出來支持按壓構件534之支持構件536。藉由設於本體部44中的未圖示之驅動機構,使該推壓部535介由此支持構件536往本體部44的徑方向移動,從左右推壓並固定靜電吸盤51。
接著,說明處理容器41。處理容器41的底部連接有排氣管53,藉由真空泵浦54將處理容器41內抽真空。更在處理容器41的側壁上設有運送被運送體50之運送口,由前述之閘閥G進行開閉。更於存料部2的外周側,為了在處理環境氣氛中形成既定的磁場,例如將多數個永久磁石呈環狀排列而成之磁石部55、55設於上下方。
在該上部電極42的底面側開設有多數個氣體噴吐口56,並連通至上部電極42內的緩衝室56a。從氣體供給部57供給至緩衝室56a的各種氣體,係從氣體噴吐口56朝向晶圓W進行噴吐。又,在上部電極42中,透過整合器58a連接有用以供給高頻電力之高頻電源部58。另,圖中41b為絕緣構件41b,係使上部電極42與處理容器41的側壁部分絕緣。
此基板處理裝置1具有控制各部的動作之控制部100。控制部 100例如由包含未圖示之CPU與程式之電腦所構成。該程式中安裝有步驟(命令)群組,俾為了進行:利用第1運送機構15、第2運送機構16之晶圓W、靜電吸盤51以及對焦環52的運送以及對準室3中的其等各構件之對位、各模組中的晶圓W之蝕刻處理等,後述基板處理裝置1之動作,而將控制訊號傳送至基板處理裝置1的各部。該程式由例如硬碟、光碟、磁光碟、記憶卡等記憶媒體收納,自此安裝於電腦。
說明上述基板處理裝置1之作用。首先,將真空運送室13內以及各電漿蝕刻模組4的處理容器41內抽真空,以保持在真空環境氣氛。第1運送機構15從存料部2的棚架24接收靜電吸盤51,將其運送至對準室3的旋轉平台31。而如同前述,偵測靜電吸盤51的中心與缺口516的方向,以缺口516面向既定方向而所偵測到的中心位置於既定位置之方式,將靜電吸盤51傳遞至第1運送機構15的支持部15c。
若第1運送機構15將靜電吸盤51運送至存料部2的固持部25上,則如圖10所示支持銷26上升,以支持靜電吸盤51的背面。若該支持部15c從固持部25上退避,則支持銷26下降,使靜電吸盤51載置在固持部25的表面。接著,第1運送機構15從存料部2的棚架24接收對焦環52,將其運送至對準室3的旋轉平台31。如同前述,偵測對焦環52的中心,以使該中心相對於第1運送機構15的支持部15c位於既定位置之方式將其傳遞至該支持部15c。
接著,第1運送機構15將對焦環52運送至存料部2的固持部25上,如圖11所示支持銷28通過靜電吸盤51的孔部515突出於靜電吸盤51上,以支持對焦環52的背面。若支持部15c自固持部25上退避,則支持銷28下降,使對焦環52載置於靜電吸盤51的周緣部512之表面。
接著,將載具C載置於載具載置台14上,連接至大氣運送室11。其次開啟閥門GT以及載具C之蓋部,將載具C內的晶圓W藉由第1運送機構15通過大氣運送室11移入至對準室3。而如同前述,偵測晶圓W的中心位置。而晶圓W係以所偵測到的中心相對於第1運送機構15的支持部15c位於既定位置之方式傳遞。
而若第1運送機構15的支持部15c將晶圓W運送至存料部2的固持部25上,如圖12所示使靜電吸盤51的支持銷27上升,以支持晶圓W的背面。若如圖13所示支持部15c自固持部25上退避,則支持銷27下降,使晶圓W載置於靜電吸盤51的中央部511上,而形成被運送體50。
接著,如圖14所示,使支持銷26將被運送體50的背面向上頂,以將被運送體50傳遞至第1運送機構15。第1運送機構15將被運送體50運送至保持在大氣環境氣氛的真空預備室12。而若調整此真空預備室12的壓力使室內成為真空環境氣氛,則第2運送機構16的支持部16c接收被運送體50,通過真空運送室13運送至電漿蝕刻模組4的本體部44。如圖15所示,使支持銷45上升,以支持被運送體50的背面,之後使第2運送機構16從電漿蝕刻模組4內退避。使支持銷45下降以將被運送體50載置於本體部44上,而形成載置台43。按壓構件534挾持被運送體50的靜電吸盤51,藉由其推壓力將該靜電吸盤51固定在本體部44,並且對靜電吸盤51施加電壓,將晶圓W吸附固定在靜電吸盤51上。
處理容器41內保持在既定的真空度,並且自上部電極42供給處理氣體例如C4F8氣體、CO氣體、O2氣體及Ar氣體所構成之混合氣體。而對上部電極42以及載置台43分別施加高頻,使所供給的處理氣體電漿化,並且在圖16中如箭頭所示,導入晶圓W中, 來蝕刻晶圓W表面的被蝕刻膜例如二氧化矽(SiO2)膜。
若進行既定時間之蝕刻,則停止高頻之施加以及處理氣體之供給,藉由通過靜電吸盤51的孔部513突出之支持銷46將晶圓W的背面向上頂,傳遞至第2運送機構16的支持部16c(圖17)。將晶圓W移入保持在真空環境氣氛之真空預備室12,真空預備室12的壓力上升,成為大氣環境氣氛。而將該晶圓W傳遞至第1運送機構15,送回載具C。
將後續的晶圓W自載具C中取出,後續的晶圓W與作為被運送體50來運送之前述的晶圓W同樣地運送至對準室3,調整其中心位置,而傳遞至第1運送機構15。而不通過存料部2,而是通過真空預備室12以及真空運送室13運送至電漿蝕刻模組4,如同前述進行蝕刻處理。處理後與先行的晶圓W同樣地送回載具C。
例如若在電漿蝕刻模組4對既定片數的晶圓W進行處理,將晶圓W移出,則從上部電極42供給例如O2氣體以作為清洗用氣體。而分別對上部電極42以及載置台43施加高頻,使所供給的清洗用氣體電漿化而導入載置台43內(圖18)。若藉由此電漿將沉積在載置台43或處理容器41的內壁之沉積物去除,來進行既定時間的電漿之產生,則停止高頻之施加以及清洗用氣體之供給。此清洗處理,例如在既定批次的處理後,對下一個批次進行處理之前所進行。
而若對例如預先設定的片數之晶圓W進行處理,則如圖19所示,解除按壓構件534對於靜電吸盤51的本體部44之固定,使支持銷45將被運送體50向上頂。將被運送體50通過真空運送室13以及真空預備室12傳遞至大氣運送室11,載置於存料部2的固持部25上,之後在組裝時則以相反的動作分解為晶圓W、靜電吸盤51以及對焦環52。而將晶圓W送回載具C,將靜電吸盤51 以及對焦環52送回棚架24。
其後,將固持在存料部2之新的靜電吸盤51與對焦環52運送至固持部25,與新移入裝置內的晶圓W成一體而構成被運送體50,將之運送至電漿蝕刻模組4,利用電漿蝕刻模組4再次進行處理。在此電漿蝕刻模組4中的靜電吸盤51以及對焦環52之更換,例如與前述清洗處理同樣在既定批次的處理後,對下一個批次進行處理之前所進行。如此藉由新的靜電吸盤51以及對焦環52來進行處理之期間,使用者可確認從電漿蝕刻模組4送回存料部2之靜電吸盤51以及對焦環52之形狀,若有需要則進行更換。
根據此基板處理裝置1,靜電吸盤51以及對焦環52對於電漿蝕刻模組4的載置台43可任意裝卸,當未使用時則運送至大氣環境氣氛的存料部2。從而無須為了確認此等靜電吸盤51以及對焦環52的表面狀態,而將電漿蝕刻模組4的處理容器41內大氣開放,故可防止裝置1的生產力降低。又,由於將靜電吸盤51以及對焦環52移出至處理容器41的外部,故可容易確認該表面狀態。就結果而言,可進行高精度的形狀管控,可高精度地掌握更換時間,故可防止在其等的形狀超過容許標準的狀態下使用,就其結果而言,可防止晶圓W的蝕刻特性惡化。
在上述例中,將晶圓W、靜電吸盤51、對焦環52分別個別地運送至電漿蝕刻模組4,來進行蝕刻處理亦可。但是,如上所述將其等作為被運送體50一併運送之方式,較能減少第1運送機構15以及第2運送機構16的動作步驟,且減少真空預備室12的環境氣氛之切換次數,故可提升產出。
在上述例中,亦可使靜電吸盤51以及對焦環52的運送頻率互相不同。例如在電漿蝕刻模組4的載置台43上設置支持銷,其相當於存料部2的支持銷28,可將對焦環52獨立於靜電吸盤51 之外而向上頂。而對既定片數的晶圓W進行處理後,使靜電吸盤51固定在本體部44上不動,藉由該支持銷,僅將對焦環52向上頂,而傳遞至第2運送機構16,使對焦環52送回存料部2。而將新的對焦環52從存料部2中運送至電漿蝕刻模組4,傳遞至該支持銷上。而再對既定片數的晶圓W進行處理後,如上所述將被運送體50從電漿蝕刻模組4中移出。如此個別設定靜電吸盤51以及對焦環52的運送頻率,因而可抑制對準室3中的對準之次數或用以分解第1運送機構15中的被運送體50之動作步驟,故可謀求產出之提升。
在上述例中,亦可在存料部2中設置用以偵測靜電吸盤51以及對焦環52的形狀之感測器,來取代可肉眼觀察存料部2的內部之構成。此種感測器,係設於電漿蝕刻模組4的處理容器41之外部,故不會妨礙該處理容器41內的電漿蝕刻處理,其設置較容易。就此感測器而言,可設置利用了光干涉、原子力、電子束、X光或電磁力等之感測器。又,在存料部2的框體21內設置照相機,使所拍攝的影像顯示在構成控制部100之顯示部中,根據該影像來讓例如使用者判定更換時間亦可。此照相機與感測器同樣設置於處理容器41的外部,故其設置較容易。
又,靜電吸盤51以及對焦環52等零件雖在每個處理條件中有適當的形狀或狀態,但將對各處理所特定之形狀、狀態之靜電吸盤51以及對焦環52預先收納在存料部2中,每當供給至處理容器41的氣體或處理容器內的壓力等處理條件改變時,選擇對應該處理條件之靜電吸盤51以及對焦環52,將其運送至電漿蝕刻模組4亦可。因此,可得到較以往更佳的蝕刻特性。具體而言,例如將外周部522的高度或徑尺寸、材質各有不同之對焦環52預先收納在存料部。置有各對焦環52的棚架24之位置、與處理條件相對應而記憶於構成控制部100的記憶體中。而若使用者針對晶圓的批次來指定處理條件,則第1運送機構15接收對應該處理條 件之棚架24的對焦環52,如同前述形成被運送體50,在電漿蝕刻模組4中進行處理。
(第1實施形態的變形例)
上述的實施形態中,靜電吸盤51以及對焦環52雖在收納於存料部2時互相分離,但構成預先將其等接合使之成一體之表面部61,預先將此表面部61收納在存料部2的棚架24上亦可。此時表面部61,亦在固持部25上同樣與晶圓W成一體。又,於表面部61的外周,例如設有相當於第1實施形態中靜電吸盤51的缺口516之未圖示的缺口。藉由此缺口,在對準室3中將表面部61傳遞至第1運送機構15時,調整該表面部61的方向。
在對準室3中,將對於第1運送機構15的支持部15c進行了對位之表面部61(圖20),透過支持銷26傳遞至存料部2的固持部25之後,與第1實施形態同樣地將晶圓W運送至存料部2(圖21),以形成被運送體50。被運送體50,與第1實施形態同樣地傳遞至支持部15c(圖22),運送至電漿蝕刻模組4。在電漿蝕刻模組4中進行處理之後,與第1實施形態同樣地將被運送體50送回該固持部25。而將晶圓W自表面部61分離而送回載具C,將表面部61送回存料部2的棚架24。在此變形例中,相較於第1實施形態,可減少用以形成被運送體50所進行的第1運送機構15的動作以及對準室3的對準之次數,故可得到更高的產出。
(第2實施形態)
就第2實施形態而言,係顯示在圖23中將存料部2連接至真空運送室13之例。圖23的基板處理裝置6中,存料部2設有2個。各存料部2,雖與第1實施形態為同樣構成,但具有與電漿蝕刻模組4同樣的閘閥G,以取代閘門23。又,在框體21內設有:將該框體21內抽真空以保持在真空環境氣氛之排氣口;以及用以使框體21內從真空環境氣氛恢復至大氣環境氣氛而供給空氣之空 氣供給口。
在此第2實施形態中,對準室3係連接至真空運送室13所設置。對準室3,與第1實施形態為約略同樣構成,但其內部保持在真空環境氣氛。又,為了可在真空環境氣氛中吸附靜電吸盤51或對焦環52,旋轉平台31便將其等靜電吸附,而非真空吸附。但是,不如此進行靜電吸附,而是將旋轉平台31的表面全體或表面的一部分,以例如橡膠等的相對於對焦環52、靜電吸盤51以及晶圓W之各構件為高摩擦係數的材質加以被覆,來防止旋轉平台31旋轉時因離心力所造成之位置偏移亦可。又,不設置用以防止此等位置偏移之機構或構件,而是以不會引起因該離心力導致位置偏移之低速來進行旋轉平台31的旋轉亦可。
此第2實施形態中的處理,係靜電吸盤51以及對焦環52的運送路徑為存料部2→對準室3→存料部2;從載具C運送來的晶圓W的運送路徑為真空預備室12→真空運送室13→對準室3→存料部2;在存料部2中形成的被運送體50的運送路徑為真空運送室13→電漿蝕刻模組4,除此之外,與第1實施形態相同。
此第2實施形態的基板處理裝置6中,設有2個的存料部2其中一方逐一進行內部的靜電吸盤51以及對焦環52的形狀之確認或其等之更換。在一方的存料部2的閘閥G關閉,而抑制了對其他各腔室的真空度造成的影響之狀態下,停止該一方的存料部2的框體21內的抽真空並且對框體21內供給大氣,使框體21內恢復大氣環境氣氛。而將框體21的側壁21a卸下,進行前述形狀的確認或更換。其後,再次將框體21內抽真空,以恢復真空環境氣氛。如此進行一方的存料部2中的靜電吸盤51以及對焦環52之確認以及更換之期間,使用另一方的存料部2的靜電吸盤51以及對焦環52來進行處理。
此第2實施形態中,可將靜電吸盤51以及對焦環52從電漿蝕刻模組4內移出,來進行其等的形狀確認,故無須與第1實施形態同樣地將電漿蝕刻模組4的處理容器41內大氣開放。從而,可抑制裝置的生產效率降低。又,設置2個存料部2,將一方的存料部2大氣開放之期間,在另一方的存料部2中持續進行被運送體50的形成與運送,因而可更確實抑制裝置的生產效率降低。但是,僅將1個存料部2連接至真空運送室13時,亦可在電漿蝕刻模組4中進行處理之期間,將存料部2內大氣開放來進行前述形狀的確認或更換,所以是有效的。
可是,在各實施形態中所顯示之構成可互相組合來加以使用。例如,在第2實施形態中,亦可在存料部2中設置各種感測器或照相機,亦可使靜電吸盤51以及對焦環52成一體來預先收納於存料部2中。又,在大氣運送室11中設置一存料部2,並且設置另一存料部2以連接至真空運送室13亦可。
可是,第1運送機構15、第2運送機構16相當於申請專利範圍中所稱之運送機構。如此運送機構亦可分散設置於各腔室內來運送各構件,亦可在各腔室之間移動來運送各構件。又,各實施形態的運送機構的支持部,以運送被運送體50的支持部與運送靜電吸盤51、對焦環52、晶圓W的支持部彼此不同之方式所構成亦可。圖24,係顯示第1實施形態中的第1運送機構15的另一構成例,在此例中於基部15a上設有2個多關節的臂部15b。一方的臂部15b之前端上設有前述之支持部15c,在另一方的臂部15b之前端側設有支持部15d。支持部15d形成為矩形板狀。支持部15c與第1實施形態同樣地運送靜電吸盤51、對焦環52、晶圓W。支持部15d運送被運送體50。
又,存料部2的固持部25上亦可設置對應此支持部15d的傳遞機構。圖25,顯示此種固持部25,在此固持部25的表面上設 有互相平行形成的2個狹縫71。而沿著此狹縫71形成的直線構件72、72設置成可任意升降,伸出沒入於固持部25的表面。如同前述,在固持部25形成被運送體50之後,如圖26所示使直線構件72上升來將被運送體50向上推,傳遞至支持部15d。將從電漿蝕刻模組4送回的被運送體50傳遞至固持部25時,亦如此使用支持部15d以及直線構件72。
如此以形狀互相不同之支持部15c、15d分別運送被運送體50,以及晶圓W、靜電吸盤51、對焦環52,是因為:因應被運送物的形狀或重量來使用適當形狀的支持部,藉以防止此等被運送物從支持部落下。又,第2運送機構16中亦將設有2個的支持部16c其中一方構成為與支持部15d同樣的形狀,以作為用以運送被運送體50之專用支持部亦可。
在第1實施例中,不設置真空運送室13,而是將電漿蝕刻模組4直接連接至真空預備室12亦可。此時,例如在真空預備室12中設置與第1運送機構15同樣的運送機構,在大氣運送室11與電漿蝕刻模組4之間傳遞晶圓W。又,收納於存料部2的構件,並不限於靜電吸盤51以及對焦環52。雖省略了圖示,但載置台43上,為了防止其外周部被蝕刻而設有保護零件。例如該零件亦可自載置台43任意裝卸,亦可收納於存料部2中。又,存料部2亦可設於真空預備室12中。又,連接至真空運送室13的模組並不限於電漿蝕刻模組,例如亦可為將處理氣體電漿化來對晶圓W進行成膜之成膜模組。
接著,說明在電漿蝕刻模組4中將靜電吸盤51固定之另一方法。圖27、圖28所示之例中,在電漿蝕刻模組4的本體部44的支持構件536上設置垂直板541,在此垂直板541的上部有水平的插入板542以朝向本體部44側延伸方式設置著。而於靜電吸盤51的側周,設有對應此插入板542的溝部543。若將被運送體50載 置於本體部44上,則如圖29、圖30所示,插入板542的端部插入溝部543,使靜電吸盤51固定在本體部44上。
又,圖31中顯示於其表面設有凹部540之本體部44。於靜電吸盤51的底面設有往下方延伸之棒部544;當被運送體50載置於本體部44上時,此棒部544進入凹部540。各凹部540中,設有挾持棒部544而對向之推壓構件545,此推壓構件545朝棒部544的中心方向移動,推壓棒部544以將靜電吸盤51固定在本體部44上。
1‧‧‧基板處理裝置
2‧‧‧存料部
3‧‧‧對準室
4‧‧‧電漿蝕刻模組
6‧‧‧基板處理裝置
11‧‧‧大氣運送室
12‧‧‧真空預備室
13‧‧‧真空運送室
14‧‧‧載具載置台
15‧‧‧第1運送機構
15a‧‧‧基部
15b‧‧‧臂部
15c、15d‧‧‧支持部
16‧‧‧第2運送機構
16a‧‧‧基部
16b‧‧‧臂部
16c‧‧‧支持部
21‧‧‧框體
21a‧‧‧側壁
22‧‧‧開口部
23‧‧‧閘門
24‧‧‧棚架
25‧‧‧固持部
26、27、28‧‧‧支持銷
26a、27a、28a‧‧‧孔部
26b、27b、28b‧‧‧驅動機構
31‧‧‧旋轉平台
32‧‧‧驅動機構
33‧‧‧支持銷
34‧‧‧升降機構
35‧‧‧孔部
36‧‧‧投光部
37‧‧‧受光部
41‧‧‧處理容器
41b‧‧‧絕緣構件
42‧‧‧上部電極
43‧‧‧載置台
44‧‧‧本體部
45、46‧‧‧支持銷
45a、46a‧‧‧孔部
45b、46b‧‧‧升降機構
47‧‧‧伸縮囊
48‧‧‧氣體噴吐口
48a‧‧‧導熱用氣體供給部
49a‧‧‧整合器
49b‧‧‧高頻電源部
50‧‧‧被運送體
51‧‧‧靜電吸盤
52‧‧‧對焦環
53‧‧‧排氣管
54‧‧‧真空泵浦
55‧‧‧磁石部
56‧‧‧氣體噴吐口
56a‧‧‧緩衝室
57‧‧‧氣體供給部
58‧‧‧高頻電源部
58a‧‧‧整合器
61‧‧‧表面部
71‧‧‧狹縫
72‧‧‧直線構件
100‧‧‧控制部
511‧‧‧中央部
512‧‧‧周緣部
513、514、515‧‧‧孔部
516‧‧‧缺口
517‧‧‧主電極
518‧‧‧引出電極
521‧‧‧內周部
522‧‧‧外周部
531‧‧‧表面電極
532‧‧‧直流電源
534‧‧‧按壓構件
535‧‧‧推壓部
536‧‧‧支持構件
540‧‧‧凹部
541‧‧‧垂直板
542‧‧‧插入板
543‧‧‧溝部
544‧‧‧棒部
545‧‧‧推壓構件
C‧‧‧載具
G‧‧‧閘閥
GT‧‧‧閥門
W‧‧‧晶圓
圖1係依本發明的基板處理裝置之縱剖面側視圖。
圖2係設於上述基板處理裝置中的存料部之縱剖面側視圖。
圖3係上述存料部之橫剖面俯視圖。
圖4係設於上述存料部中的載置台之立體圖。
圖5係上述存料部的上部之縱剖面前視圖。
圖6係設於上述基板處理裝置中的對準模組之縱剖面側視圖。
圖7係設於上述基板處理裝置中的對準模組之縱剖面側視圖。
圖8係設於上述基板處理裝置中的電漿蝕刻模組之縱剖面側視圖。
圖9係設於上述電漿蝕刻模組中的載置台之立體圖。
圖10係顯示於上述基板處理裝置中進行處理的情況之程序圖。
圖11係顯示於上述基板處理裝置中進行處理的情況之程序圖。
圖12係顯示於上述基板處理裝置中進行處理的情況之程序圖。
圖13係顯示於上述基板處理裝置中進行處理的情況之程序圖。
圖14係顯示於上述基板處理裝置中進行處理的情況之程序 圖。
圖15係顯示於上述基板處理裝置中進行處理的情況之程序圖。
圖16係顯示於上述基板處理裝置中進行處理的情況之程序圖。
圖17係顯示於上述基板處理裝置中進行處理的情況之程序圖。
圖18係顯示於上述基板處理裝置中進行處理的情況之程序圖。
圖19係顯示於上述基板處理裝置中進行處理的情況之程序圖。
圖20係顯示基板處理裝置中的另一處理之例之程序圖。
圖21係顯示基板處理裝置中的另一處理之例之程序圖。
圖22係顯示基板處理裝置中的另一處理之例之程序圖。
圖23係顯示另一基板處理裝置的構成之俯視圖。
圖24係顯示基板處理裝置的另一運送機構之例之俯視圖。
圖25係顯示對應上述運送機構的載置台之立體圖。
圖26係顯示上述運送機構的運送例之程序圖。
圖27係設於上述電漿蝕刻模組中的另一載置台之縱剖面側視圖。
圖28係上述載置台之俯視圖。
圖29係上述載置台之縱剖面側視圖。
圖30係上述載置台之俯視圖。
圖31係更另一置台之縱剖面側視圖。
圖32係上述載置台之縱剖面側視圖。
1‧‧‧基板處理裝置
2‧‧‧存料部
3‧‧‧對準室
4‧‧‧電漿蝕刻模組
11‧‧‧大氣運送室
12‧‧‧真空預備室
13‧‧‧真空運送室
14‧‧‧載具載置台
15a‧‧‧基部
15b‧‧‧臂部
15c‧‧‧支持部
16‧‧‧第2運送機構
16a‧‧‧基部
16b‧‧‧臂部
16c‧‧‧支持部
23‧‧‧閘門
100‧‧‧控制部
C‧‧‧載具
G‧‧‧閘閥
GT‧‧‧閥門
W‧‧‧晶圓

Claims (8)

  1. 一種基板處理裝置,其特徵為包含:常壓環境氣氛之常壓運送室,用以運送基板;真空處理室,透過真空預備室與該常壓運送室連接,對於基板進行真空處理;基板載置台,設於該真空處理室,並具有本體部以及可對於該本體部任意裝卸之表面部;保管部,設於該真空預備室或常壓運送室,用以收納該表面部;以及運送機構,用以將基板從該常壓運送室中通過真空預備室運送至真空處理室,或是在該保管部與該真空處理室的本體部之間運送該表面部。
  2. 如申請專利範圍第1項之基板處理裝置,其中,設有真空環境氣氛的真空運送室,介在於該真空預備室與該真空處理室之間。
  3. 如申請專利範圍第2項之基板處理裝置,其中,該保管部,係與該真空預備室以及真空處理室區隔開來連接至該真空運送室,而非設於該真空預備室或常壓運送室;並具有閘閥,用來切換該保管部對於真空運送室之開放與關閉,以於該真空運送室維持真空環境氣氛之狀態下,使該保管部的內部從真空環境氣氛變為大氣環境氣氛。
  4. 如申請專利範圍第3項之基板處理裝置,其中,該保管部由互相區隔開的第1保管部與第2保管部所構成;該閘閥分別設於第1保管部、第2保管部,可相互獨立地進行開閉。
  5. 如申請專利範圍第1至4項中任一項之基板處理裝置,其中,該表面部設有載置基板的載置面;該保管部設有用以固持該表面部之固持部;該運送機構,係在基板載置於該表面部之狀態下將其從保管 部運送至真空處理室。
  6. 如申請專利範圍第5項之基板處理裝置,其中,更設有對位機構,此對位機構係為了將基板載置於該載置面的預定位置,而在將表面部以及基板運送至該固持部之前進行相對於該固持部的對位。
  7. 如申請專利範圍第1至6項中任一項之基板處理裝置,其中,該真空處理室係用以對基板進行電漿處理。
  8. 如申請專利範圍第7項之基板處理裝置,其中,該表面部,係由用以吸附基板的靜電吸盤,以及用以包圍基板外周而控制電漿狀態的對焦環,至少其中一者所構成。
TW101110190A 2011-03-31 2012-03-23 基板處理裝置 TWI509725B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011079859A JP6003011B2 (ja) 2011-03-31 2011-03-31 基板処理装置

Publications (2)

Publication Number Publication Date
TW201301428A true TW201301428A (zh) 2013-01-01
TWI509725B TWI509725B (zh) 2015-11-21

Family

ID=46925688

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101110190A TWI509725B (zh) 2011-03-31 2012-03-23 基板處理裝置

Country Status (5)

Country Link
US (4) US9799542B2 (zh)
JP (1) JP6003011B2 (zh)
KR (1) KR101901460B1 (zh)
CN (1) CN102738048B (zh)
TW (1) TWI509725B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI514501B (zh) * 2013-09-17 2015-12-21 Hon Tech Inc Electronic component moving mechanism and its application of the picking methods and test equipment
TWI758669B (zh) * 2018-12-17 2022-03-21 大陸商中微半導體設備(上海)股份有限公司 電容耦合電漿蝕刻設備

Families Citing this family (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
JP5849232B2 (ja) * 2012-09-20 2016-01-27 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
CN103019045A (zh) * 2012-12-11 2013-04-03 清华大学 一种具有防撞功能的硅片台
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR101876501B1 (ko) * 2013-08-05 2018-07-10 어플라이드 머티어리얼스, 인코포레이티드 인-시츄 제거 가능한 정전 척
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP6213079B2 (ja) * 2013-09-09 2017-10-18 シンフォニアテクノロジー株式会社 Efem
JP2016539489A (ja) 2013-09-20 2016-12-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 集積静電チャックを備えた基板キャリア
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
JP6224428B2 (ja) * 2013-11-19 2017-11-01 東京エレクトロン株式会社 載置台にフォーカスリングを吸着する方法
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
CN104743351B (zh) * 2013-12-30 2016-09-07 基准精密工业(惠州)有限公司 加工室
US9287151B2 (en) * 2014-01-10 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd Systems and method for transferring a semiconductor substrate
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6251124B2 (ja) * 2014-06-09 2017-12-20 株式会社荏原製作所 基板ホルダ用の基板着脱部及びこれを備えた湿式基板処理装置
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP2016046451A (ja) * 2014-08-26 2016-04-04 株式会社アルバック 基板処理装置及び基板処理方法
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
KR101598465B1 (ko) 2014-09-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 방법
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
DE202016104588U1 (de) * 2015-09-03 2016-11-30 Veeco Instruments Inc. Mehrkammersystem für chemische Gasphasenabscheidung
US9881820B2 (en) * 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10124492B2 (en) * 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US20170115657A1 (en) * 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10186438B2 (en) 2015-11-05 2019-01-22 Infineon Technologies Ag Method and apparatus for use in wafer processing
JP6539929B2 (ja) * 2015-12-21 2019-07-10 昭和電工株式会社 ウェハ支持機構、化学気相成長装置およびエピタキシャルウェハの製造方法
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6799395B2 (ja) * 2016-06-30 2020-12-16 株式会社荏原製作所 基板ホルダ、電子デバイス製造装置において基板を搬送する搬送システム、および電子デバイス製造装置
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10541168B2 (en) * 2016-11-14 2020-01-21 Lam Research Corporation Edge ring centering method using ring dynamic alignment data
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP6812264B2 (ja) * 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP2018174186A (ja) * 2017-03-31 2018-11-08 東京エレクトロン株式会社 基板処理装置
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10763139B2 (en) * 2017-05-23 2020-09-01 Tokyo Electron Limited Vacuum transfer module and substrate processing apparatus
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
TWI676089B (zh) 2017-06-23 2019-11-01 美商應用材料股份有限公司 側儲存倉、電子裝置處理系統、和處理基板的方法
US10388547B2 (en) 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP2019053924A (ja) 2017-09-15 2019-04-04 東京エレクトロン株式会社 プラズマ処理装置
US11075105B2 (en) * 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
JP7029914B2 (ja) * 2017-09-25 2022-03-04 東京エレクトロン株式会社 基板処理装置
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10755955B2 (en) * 2018-02-12 2020-08-25 Applied Materials, Inc. Substrate transfer mechanism to reduce back-side substrate contact
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
JP7036905B2 (ja) * 2018-04-26 2022-03-15 京セラ株式会社 フォーカスリング搬送部材およびこれを備えるプラズマ処理装置
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7090469B2 (ja) 2018-05-15 2022-06-24 東京エレクトロン株式会社 基板処理装置
JP7193933B2 (ja) * 2018-06-22 2022-12-21 株式会社ディスコ 被加工物の搬送方法
KR102433436B1 (ko) * 2018-07-04 2022-08-17 삼성전자주식회사 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN109192696B (zh) * 2018-08-10 2021-06-08 北京北方华创微电子装备有限公司 升降针系统、真空反应腔室以及半导体加工设备
JP7115942B2 (ja) * 2018-09-06 2022-08-09 東京エレクトロン株式会社 載置台、基板処理装置、エッジリング及びエッジリングの搬送方法
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
JP7210960B2 (ja) * 2018-09-21 2023-01-24 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7154986B2 (ja) * 2018-12-11 2022-10-18 平田機工株式会社 基板搬送装置及び基板搬送システム
US10790466B2 (en) * 2018-12-11 2020-09-29 Feng-wen Yen In-line system for mass production of organic optoelectronic device and manufacturing method using the same system
JP7126466B2 (ja) * 2018-12-12 2022-08-26 東京エレクトロン株式会社 基板処理システム、搬送方法、および搬送プログラム
JP7129325B2 (ja) * 2018-12-14 2022-09-01 東京エレクトロン株式会社 搬送方法及び搬送システム
JP7147551B2 (ja) * 2018-12-27 2022-10-05 株式会社Sumco 気相成長装置及びこれに用いられるキャリア
JP7163764B2 (ja) * 2018-12-27 2022-11-01 株式会社Sumco 気相成長装置
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7217636B2 (ja) * 2019-01-16 2023-02-03 東京エレクトロン株式会社 チャックトップ、検査装置、およびチャックトップの回収方法
JP7357453B2 (ja) * 2019-03-07 2023-10-06 東京エレクトロン株式会社 基板処理システムおよび基板の搬送方法
JP7188250B2 (ja) * 2019-04-11 2022-12-13 株式会社Sumco 気相成長装置及びこれに用いられるキャリア
JP7099398B2 (ja) * 2019-04-18 2022-07-12 株式会社Sumco 気相成長方法及び気相成長装置
JP7188256B2 (ja) * 2019-04-18 2022-12-13 株式会社Sumco 気相成長方法及び気相成長装置
US20200354828A1 (en) * 2019-05-10 2020-11-12 Veeco Instruments Inc. Deposition system with integrated carrier cleaning modules
US20200373190A1 (en) * 2019-05-20 2020-11-26 Applied Materials, Inc. Process kit enclosure system
US10964584B2 (en) * 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
JP7345289B2 (ja) 2019-06-18 2023-09-15 東京エレクトロン株式会社 基板処理装置、基板処理システム及び基板搬送方法
KR102211252B1 (ko) * 2019-06-26 2021-02-04 세메스 주식회사 기판 처리 장치
US10916464B1 (en) * 2019-07-26 2021-02-09 Applied Materials, Inc. Method of pre aligning carrier, wafer and carrier-wafer combination for throughput efficiency
JP6719629B2 (ja) * 2019-07-31 2020-07-08 東京エレクトロン株式会社 プラズマ処理システム及び搬送方法
JP2019186579A (ja) * 2019-07-31 2019-10-24 東京エレクトロン株式会社 プラズマ処理システム及びフォーカスリング交換方法
JP7349845B2 (ja) * 2019-08-13 2023-09-25 東京エレクトロン株式会社 基板処理システムにおける搬送方法
US11823937B2 (en) * 2019-08-19 2023-11-21 Applied Materials, Inc. Calibration of an aligner station of a processing system
US11469123B2 (en) * 2019-08-19 2022-10-11 Applied Materials, Inc. Mapping of a replacement parts storage container
JP7412124B2 (ja) * 2019-10-18 2024-01-12 東京エレクトロン株式会社 基板処理システム及びエッジリングを交換する方法
JP7192756B2 (ja) * 2019-12-19 2022-12-20 株式会社Sumco 気相成長装置及び気相成長方法
JP7365924B2 (ja) * 2020-02-13 2023-10-20 東京エレクトロン株式会社 ティーチング方法
JP7418241B2 (ja) 2020-02-27 2024-01-19 東京エレクトロン株式会社 位置決め装置、処理システム及び位置決め方法
JP7471106B2 (ja) * 2020-02-28 2024-04-19 東京エレクトロン株式会社 部品運搬装置
JP7378318B2 (ja) 2020-02-28 2023-11-13 東京エレクトロン株式会社 部品交換方法
JP7454959B2 (ja) * 2020-03-03 2024-03-25 東京エレクトロン株式会社 基板搬送システムおよび大気搬送モジュール
US11759954B2 (en) 2020-03-17 2023-09-19 Applied Materials, Inc. Calibration of an electronics processing system
DE102020110570A1 (de) 2020-04-17 2021-10-21 Aixtron Se CVD-Verfahren und CVD-Reaktor mit austauschbaren mit dem Substrat Wärme austauschenden Körpern
CN111554601B (zh) * 2020-04-27 2021-12-28 上海果纳半导体技术有限公司 晶圆前端传送系统
US11380573B2 (en) 2020-06-04 2022-07-05 Tokyo Electron Limited Structure for automatic in-situ replacement of a part of an electrostatic chuck
JP6824461B2 (ja) * 2020-06-05 2021-02-03 東京エレクトロン株式会社 処理システム
JP7409976B2 (ja) * 2020-06-22 2024-01-09 東京エレクトロン株式会社 プラズマ処理システム、プラズマ処理装置及びエッジリングの交換方法
KR102652834B1 (ko) * 2020-08-07 2024-04-02 세메스 주식회사 용기 및 기판 처리 장치
TW202232624A (zh) 2020-10-26 2022-08-16 日商東京威力科創股份有限公司 處理系統及搬運方法
KR102649714B1 (ko) * 2020-10-27 2024-03-21 세메스 주식회사 기판 처리 장치 및 기판 반송 방법
JP7157127B2 (ja) * 2020-12-16 2022-10-19 東京エレクトロン株式会社 ピック、搬送装置及びプラズマ処理システム
JP2022154234A (ja) 2021-03-30 2022-10-13 東京エレクトロン株式会社 プラズマ処理システム、搬送アーム及び環状部材の搬送方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4534389A (en) * 1984-03-29 1985-08-13 Hewlett-Packard Company Interlocking door latch for dockable interface for integrated circuit processing
JP2713276B2 (ja) * 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US6409896B2 (en) * 1999-12-01 2002-06-25 Applied Materials, Inc. Method and apparatus for semiconductor wafer process monitoring
US20050205209A1 (en) * 2004-03-18 2005-09-22 Aelan Mosden Replacing chamber components in a vacuum environment
JP5057647B2 (ja) * 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
JP2008226509A (ja) * 2007-03-09 2008-09-25 Hitachi High-Technologies Corp 走査電子顕微鏡
JP4924245B2 (ja) 2007-07-02 2012-04-25 東京エレクトロン株式会社 半導体製造装置、半導体装置の製造方法及び記憶媒体
JP2009152434A (ja) * 2007-12-21 2009-07-09 Tokyo Electron Ltd 基板処理装置
US20090181553A1 (en) * 2008-01-11 2009-07-16 Blake Koelmel Apparatus and method of aligning and positioning a cold substrate on a hot surface
JP2009200142A (ja) * 2008-02-20 2009-09-03 Nuflare Technology Inc 成膜装置および成膜方法
JP5025576B2 (ja) * 2008-06-13 2012-09-12 新光電気工業株式会社 静電チャック及び基板温調固定装置
JP5317278B2 (ja) * 2009-04-28 2013-10-16 大陽日酸株式会社 気相成長装置、気相成長装置における対向面部材またはサセプタ上面カバー取外し方法
US8409995B2 (en) * 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
JP5650935B2 (ja) * 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
GB2476476B (en) * 2009-12-23 2013-05-22 Nanobeam Ltd Charged particle beam system

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI514501B (zh) * 2013-09-17 2015-12-21 Hon Tech Inc Electronic component moving mechanism and its application of the picking methods and test equipment
TWI758669B (zh) * 2018-12-17 2022-03-21 大陸商中微半導體設備(上海)股份有限公司 電容耦合電漿蝕刻設備
US11670515B2 (en) 2018-12-17 2023-06-06 Advanced Micro-Fabrication Equipment Inc. China Capacitively coupled plasma etching apparatus

Also Published As

Publication number Publication date
US10224226B2 (en) 2019-03-05
US20180019145A1 (en) 2018-01-18
US20120247671A1 (en) 2012-10-04
CN102738048A (zh) 2012-10-17
US9799542B2 (en) 2017-10-24
US20190109031A1 (en) 2019-04-11
TWI509725B (zh) 2015-11-21
KR101901460B1 (ko) 2018-09-21
CN102738048B (zh) 2014-12-03
KR20120112164A (ko) 2012-10-11
JP2012216614A (ja) 2012-11-08
US20220230857A1 (en) 2022-07-21
US11328910B2 (en) 2022-05-10
JP6003011B2 (ja) 2016-10-05

Similar Documents

Publication Publication Date Title
TWI509725B (zh) 基板處理裝置
KR101731003B1 (ko) 플라즈마 처리 장치
TWI696231B (zh) 基板的雙面加工系統及方法
TWI518832B (zh) 真空處理系統架構
JP6582676B2 (ja) ロードロック装置、及び基板処理システム
KR102146633B1 (ko) 접합 장치 및 접합 시스템
US20100326637A1 (en) Load-lock apparatus and substrate cooling method
JPWO2006025336A1 (ja) 成膜装置
TW202111851A (zh) 基板處理系統中的搬運方法
JP2010192571A (ja) 基板処理システム及び基板搬送方法
JP4695297B2 (ja) 薄膜形成装置及びロードロックチャンバー
JP2019520701A (ja) 12面形の移送チャンバ、及び、かかる移送チャンバを有する処理システム
KR20200043911A (ko) 기판 처리 장치 및 반송 위치 보정 방법
JP4518712B2 (ja) トレイ式マルチチャンバー基板処理装置
KR101706735B1 (ko) 반송 유닛, 이를 포함하는 기판 처리 장치 및 기판 처리 방법
KR102219879B1 (ko) 기판 처리 장치 및 기판 정렬 방법
WO2020139626A1 (en) Magnetized substrate carrier apparatus with shadow mask for deposition
JP2011086795A (ja) 基板搬送装置及びこの基板搬送装置を備えた真空処理システム
JP3160691B2 (ja) 処理装置
JP2004119627A (ja) 半導体製造装置
US20220319819A1 (en) Substrate processing system and substrate processing method
JP2009130225A (ja) 基板処理装置
JP6752134B2 (ja) 表面改質装置および接合システム
JP2024006935A (ja) 接合装置および接合方法
JP2006045635A (ja) 基板処理装置