CN102738048A - 基板处理装置 - Google Patents

基板处理装置 Download PDF

Info

Publication number
CN102738048A
CN102738048A CN2012101014093A CN201210101409A CN102738048A CN 102738048 A CN102738048 A CN 102738048A CN 2012101014093 A CN2012101014093 A CN 2012101014093A CN 201210101409 A CN201210101409 A CN 201210101409A CN 102738048 A CN102738048 A CN 102738048A
Authority
CN
China
Prior art keywords
substrate
vacuum
electrostatic chuck
wafer
room
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012101014093A
Other languages
English (en)
Other versions
CN102738048B (zh
Inventor
菅原荣一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN102738048A publication Critical patent/CN102738048A/zh
Application granted granted Critical
Publication of CN102738048B publication Critical patent/CN102738048B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67346Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供基板处理装置,其能够使由于进行设置于真空处理室中的基板载置台的表面部的状态确认、该表面部的更换所导致的真空处理的停止时间变短,并且能够高精度地管理上述表面部的状态。该基板处理装置包括:搬送基板的常压气氛的常压搬送室;与该常压搬送室经由负载锁定室连接的真空处理室;设置于上述真空处理室,具有主体部和相对于该主体部自由装卸的表面部的基板载置台;设置于上述负载锁定室或常压搬送室,用于收纳上述表面部的保管部;和从常压搬送室经由负载锁定室向真空处理室搬送基板,且在上述保管部与上述真空处理室的主体部之间搬送上述表面部的搬送机构。

Description

基板处理装置
技术领域
本发明涉及具有真空处理室、常压气氛的基板搬送室和负载锁定室的基板处理装置。
背景技术
在形成配线构造的工序中,有时对例如在半导体晶片(以下称为“晶片”)上形成的各种膜,进行形成包括槽、通路孔的金属镶嵌构造(damascene interconnect structure)的凹部的等离子体蚀刻处理。
进行该处理的等离子体蚀刻装置例如在成为真空气氛的处理容器内配置有上部电极和成为下部电极的载置台,在上述载置台上载置有晶片的状态下,经由匹配器将规定频率的高频施加于上部电极和载置台,使等离子体产生,并且将离子引入载置台侧,由此进行蚀刻处理。在上述载置台设置有:晶片载置于其表面的静电吸盘;和包围载置于静电吸盘的晶片的外周的聚焦环。上述静电吸盘具有吸附晶片并且对晶片传热以调节晶片的温度的功能。聚焦环为了使得等离子体在晶片表面上均匀性高地分布而设置,与晶片一同被上述离子蚀刻。
静电吸盘和晶片的热膨胀系数不同,在晶片置于静电吸盘上时,由于该热膨胀系数的不同而相互擦碰。因此,当反复持续进行晶片的处理时,静电吸盘的表面逐渐平滑化,载置台与晶片的接触面积变大,向晶片的热的传递率改变,结果晶片的蚀刻特性改变。此外,当反复进行晶片的蚀刻时,聚焦环也被蚀刻,因此该聚焦环的形状逐渐变化。由于该形状的变化,离子被引入的方向、电场的形成状态发生变化,晶片的蚀刻特性改变。
进一步,为了在蚀刻处理后除去附着在处理容器内的壁面和载置台上的附着物,有进行使供给至处理容器内的气体等离子体化,以除去该附着物的清洁处理的情况。考虑在该清洁时在静电吸盘上放置伪晶片,以保护静电吸盘,但是为了节省将伪晶片搬送至处理容器内的时间并减少成本,研究不使用该伪晶片来进行上述清洁的情况。但是,像这样不放置伪晶片的情况下,由于该清洁,静电吸盘表面被削减,因此向晶片的热的传递率变化,晶片的蚀刻特性改变。
像这样,静电吸盘的表面状态和聚焦环的形状由于伴随蚀刻处理的消耗而产生变化,由此导致蚀刻特性改变,因此需要进行高精度的状态管理。而且,在形状处于允许范围外的情况下,需要采取及时更换等对策。
静电吸盘和聚焦环如上所述设置在真空气氛中。为了在该真空气氛中确认静电吸盘和聚焦环的状态,考虑在处理容器内设置传感器,但是,担心由于设置该传感器而导致等离子体发生偏差。于是,基于现有的静电吸盘的表面状态和聚焦环的形状的变化的倾向,设定这些静电吸盘和聚焦环能够使用的时间(寿命),在进行等离子体蚀刻处理的时间超过该设定时间时,将处理容器向大气开放,进行这些静电吸盘和聚焦环的更换。此外,也存在下述情况,在对晶片确认了蚀刻特性的变化时开放处理容器,确认静电吸盘和聚焦环的状态,当形状处于允许范围外时,进行静电吸盘和聚焦环的更换。
但是,根据蚀刻的处理条件的不同,静电吸盘和聚焦环的形状发生变化的程度不同,因此,如上所述设定能够使用的时间的方法,难以高精度地管理静电吸盘和聚焦环的状态。此外,在确认晶片的蚀刻特性的变化之后进行静电吸盘和聚焦环的更换的方法,会浪费晶片。因此,难以长期地得到稳定的蚀刻特性。进一步,这些方法中,在更换静电吸盘和聚焦环时将处理容器向大气开放,因此在大气开放后对处理容器抽真空,在得到期望的真空度之前不能够进行蚀刻处理。由此,担心等离子体蚀刻装置的生产性下降。在专利文献1中,记载了具有这样的等离子体蚀刻装置的基板处理装置,但是没有记载解决上述问题的方法。
现有技术文献
专利文献
专利文献1:日本特开2009-16447
发明内容
本发明基于上述情况而提出,其目的在于使进行设置于真空处理室的基板载置台的表面部的状态的确认和该表面部的更换所引起的真空处理的停止时间变短,并且高精度地管理上述表面部的状态。
本发明的基板处理装置的特征在于,包括:
搬送基板的常压气氛的常压搬送室;
与该常压搬送室经由负载锁定室连接,对基板进行真空处理的真空处理室;
设置于上述真空处理室,具有主体部和相对于该主体部自由装卸的表面部的基板载置台;
设置于上述负载锁定室或常压搬送室,用于收纳上述表面部的保管部;和
从常压搬送室经由负载锁定室向真空处理室搬送基板,此外在上述保管部与上述真空处理室的主体部之间搬送上述表面部的搬送机构。
本发明的具体方式如下所述。
(1)设置有位于上述负载锁定室与上述真空处理室之间的真空气氛的真空搬送室。
(2)上述保管部,代替设置于上述负载锁定室或常压搬送室,而与上述负载锁定室和真空处理室划分开,与上述真空搬送室连接,
具有切换该保管部相对于真空搬送室的开放和隔断的分隔阀,使得能够在上述真空搬送室处于真空气氛的状态下,将上述保管部的内部从真空气氛变为常压气氛。
(3)上述表面部具有载置基板的载置面,
上述保管部具有用于保持上述表面部的保持部,
上述搬送机构将基板在载置于上述表面部的状态下从保管部搬送至真空处理室。
(4)为了将基板载置在上述载置面的预先设定的位置,设置有定位机构,在将表面部和基板搬送至上述保持部之前,进行相对于该保持部的定位。
(5)上述真空处理室用于对基板进行等离子体处理。
(6)上述表面部具有用于吸附基板的静电吸盘和包围基板的外周、用于控制等离子体的状态的聚焦环中的至少任一种。
(7)上述保管部包括相互划分开的第一保管部和第二保管部,
上述分隔阀分别设置于第一保管部、第二保管部,能够相互独立地开闭。
发明效果
根据本发明,将设置于真空处理室的基板处理装置的表面部形成为能够相对于主体部自由装卸,在与设置于负载锁定室或大气搬送室或者与真空搬送室连接的保管部之间进行搬送。由此即使真空处理室不向大气开放也能够进行上述表面部的更换,因此能够抑制该真空处理室中的真空处理的停止时间。此外,能够目视确认上述表面部的状态,在保管部设置各种传感器以进行表面部的状态的确认。由此能够高精度地管理表面部的状态,能够防止基板的蚀刻特性的劣化。
附图说明
图1是本发明的基板处理装置的纵截侧面图。
图2是设置于上述基板处理装置的储存器的纵截侧面图。
图3是上述储存器的上部的纵截正面图。
图4是上述储存器的横截俯视图。
图5是设置于上述储存器的载置台的立体图。
图6是设置于上述基板处理装置的对准模块的纵截侧面图。
图7是设置于上述基板处理装置的对准模块的纵截侧面图。
图8是设置于上述基板处理装置的等离子体蚀刻模块的纵截侧面图。
图9是设置于上述等离子体蚀刻模块的载置台的立体图。
图10是表示在上述基板处理装置进行处理的状态的工序图。
图11是表示在上述基板处理装置进行处理的状态的工序图。
图12是表示在上述基板处理装置进行处理的状态的工序图。
图13是表示在上述基板处理装置进行处理的状态的工序图。
图14是表示在上述基板处理装置进行处理的状态的工序图。
图15是表示在上述基板处理装置进行处理的状态的工序图。
图16是表示在上述基板处理装置进行处理的状态的工序图。
图17是表示在上述基板处理装置进行处理的状态的工序图。
图18是表示在上述基板处理装置进行处理的状态的工序图。
图19是表示在上述基板处理装置进行处理的状态的工序图。
图20是表示基板处理装置中的其它处理的工序图。
图21是表示基板处理装置中的其它处理的工序图。
图22是表示基板处理装置中的其它处理的工序图。
图23是表示其它基板处理装置的结构的俯视图。
图24是表示基板处理装置的其它搬送机构的例子的俯视图。
图25是表示与上述搬送机构对应的载置台的立体图。
图26是表示上述搬送机构的搬送例的工序图。
图27是设置于上述等离子体蚀刻模块的另一载置台的纵截侧面图。
图28是上述载置台的俯视图。
图29是上述载置台的纵截侧面图。
图30是上述载置台的俯视图。
图31是另一载置台的纵截侧面图。
图32是上述载置台的纵截侧面图。
具体实施方式
(第一实施方式)
参照图1的俯视图说明本发明的实施方式的基板处理装置1的结构。基板处理装置1包括:用于将作为半导体装置制造用的基板的晶片W搬入该基板处理装置1的大气搬送室11;负载锁定室12、12;真空搬送室13;例如4个等离子体蚀刻模块4。大气搬送室11经由负载锁定室12、12与真空搬送室13连接。以从负载锁定室12、12被划分的方式,等离子体蚀刻模块4与真空搬送室13连接。
大气搬送室11构成为大气气氛,在其正面设置有载置例如收纳25个晶片W的载体C的载体载置台14。在大气搬送室11的正面壁,设置有与上述载体C连接且与载体C的盖一起开闭的闸门GT。在大气搬送室11的一个侧面设置有作为保管部的储存器2,在另一侧面设置有成为定位机构的对准室3。这些储存器2和对准室3在后面进行叙述。
此外,在大气搬送室11设置有第一搬送机构15,在载体C、负载锁定室12、对准室3和储存器2之间交接晶片W和后述的静电吸盘51和聚焦环52。第一搬送机构15具有基部15a、多关节的臂15b和支承部15c。臂15b的基端侧与基部15a连接,臂15b的前端侧与支承部15c连接。基部15a构成为能够在横方向上自由移动并且能够自由升降。支承部15c形成为平面视图中为U字型,支承晶片W、静电吸盘51和聚焦环52。
在负载锁定室12设置有载置晶片W的工作台和升降自由的支承销,能够利用上述支承销在上述第一搬送机构15与后述的第二搬送机构16之间进行晶片W的交接。此外,在负载锁定室12设置有未图示的真空泵和泄漏阀,以切换大气气氛和真空气氛。即,大气搬送室11的气氛被保持在大气气氛,真空搬送室13的气氛被保持在真空气氛,因此,负载锁定室12、12能够在这些搬送室之间切换用于搬送晶片W的气氛。
真空搬送室13如上所述保持为真空气氛,具有第二搬送机构16。第二搬送机构16与第一搬送机构15大致相同地构成,但是相对于一个基部各设置有两个臂和支承部。第二搬送机构16的基部、臂、支承部分别图示为16a、16b、16c。
图中G是分隔各室间以及等离子体蚀刻模块4与真空搬送室之间的开闭自由的闸阀(分隔阀)。通常,闸阀G关闭,在各室间以及各模块与真空搬送室13之间搬送晶片W时打开。
接着,参照图2的纵截侧面图和图3的横截俯视图说明储存器2。储存器2具有框体21,在框体21设置有用于使第一搬送机构15进入的开口部22,和开闭该开口部22的闸门23。在该框体21内分别收纳有多个在等离子体蚀刻模块4中构成晶片W的载置台43的静电吸盘51和聚焦环52。此外,构成框体21,设置在大气搬送室11的相反侧的侧壁21a构成为透明,使得例如用户能够目视确认静电吸盘51和聚焦环52的状态。此外,侧壁21a构成为能够自由卸下,使得能够进行框体21内的静电吸盘51和聚焦环52的更换。
此处,参照图4的立体图说明静电吸盘51和聚焦环52的结构。静电吸盘51具有在等离子体蚀刻模块4中在处理时载置并吸附保持晶片W而且向晶片W传热的功能,形成为圆板状。而且,在其表面形成有台阶,中央部511形成得比周缘部512高。在中央部511,后述的支承销27所贯通的孔513和在晶片W处理时使气体在晶片W的背面流通的孔514在静电吸盘51的厚度方向穿透设置。在周缘部512,后述的支承销28所贯通的孔515在上述厚度方向设置。这些各孔513、515各三个地在静电吸盘51的周方向上排列。此外,上述孔514设置有多个。图中516是从静电吸盘51的外周部向内侧形成的切口。
聚焦环52例如与晶片W相同由硅形成,具有防止在等离子体蚀刻模块4中进行处理时等离子体的状态在晶片W的周缘部和中央部发生偏差的功能,形成为环状。在聚焦环52的表面形成有台阶,外周部522形成得比内周部521高。另外,作为聚焦环52的材质,并不限于硅,例如也可以包含二氧化硅(SiO2)、碳化硅(SiC)。聚焦环52形成为能够载置在上述静电吸盘51的周缘部512。此外,聚焦环52的外周部522形成为能够包围晶片W的外周的大小。
回到储存器2的说明,在框体21的上部侧,设置有用于叠层支承多个各静电吸盘51和聚焦环52的棚架24。图5表示从框体21的开口部22侧看该框体21的上部侧的纵截面。如该图5所示,棚架24从开口部22看左右设置,支承静电吸盘51和聚焦环52的边缘部。从开口部22进入的第一搬送机构15能够支承这些静电吸盘51和聚焦环52的背面,从该棚架24接受这些静电吸盘51和聚焦环52。
在棚架24的下方,设置有图2~图4所示的圆形的保持部25。上述静电吸盘51和聚焦环52和从载体C搬送来的晶片W被搬送至该保持部25并成为一体。然后,以这样一体化的状态利用上述第一搬送机构15和第二搬送机构16搬送至等离子体蚀刻模块4。在保持部25,在该保持部25的厚度方向穿透设置有三个孔26a(图2中为了方便仅图示了两个),但配置在该保持部25的周方向。在各孔26a设置有支承静电吸盘51的背面的支承销26,各支承销26构成为利用图2所示的驱动机构26b能够自由升降。
在比孔26a更靠保持部25的中心侧的位置,三个孔27a与孔26a同样地配置。在各孔27a设置有支承销27,各支承销27构成为利用驱动机构27b能够自由升降。如图4所示,该支承销26经由静电吸盘51的孔513支承晶片W的背面。此外,在比孔26a更靠保持部25的外侧的位置,三个孔28a与孔26a同样地配置。在各孔28a设置有支承销28,各支承销28构成为利用驱动机构28b能够自由升降。如图4所示,该支承销28经由静电吸盘51的孔515支承聚焦环52的背面。
接着,参照图6、图7说明对准室3的结构。在对准室3设置有分别载置晶片W、静电吸盘51和聚焦环52的水平的旋转工作台31。该旋转工作台31真空吸附这些晶片W、静电吸盘51和聚焦环52,并对它们水平地进行支承。图6表示在旋转工作台31上载置有静电吸盘51的状态,图7表示在旋转工作台31上载置有聚焦环52的状态。
旋转工作台31利用驱动机构32在保持水平的状态下围绕铅直轴旋转。在旋转工作台31的下方,在该旋转工作台31的周方向设置有例如3个(图中为了方便仅图示了2个)支承销33。支承销33利用升降机构34升降,经由在旋转工作台31的厚度方向设置的孔35突出到该旋转工作台31上。利用该支承销33在旋转工作台31与第一搬送机构15之间进行晶片W、静电吸盘51和聚焦环52的交接。
在旋转工作台31的外侧上部设置有投光部36,在其下方设置有受光部37。如图6所示,在载置有静电吸盘51的旋转工作台31旋转时从投光部36向受光部37进行投光。而且,基于没有被静电吸盘51的周缘部遮挡而射入受光部37的光量的变化,后述的控制部100检测旋转工作台31上的静电吸盘51的中心位置和切口516的方向,使旋转工作台31旋转而使切口516成为规定的朝向。对于晶片W也进行同样的处理,由此控制部100能够检测出晶片W的中心位置。对于聚焦环52也进行同样的处理,控制部100检测出该聚焦环52的中心位置。
第一搬送机构15,以检测出的中心位置相对于该第一搬送机构15的支承部15c位于规定的位置的方式,接受如上所述进行了中心位置的检测和朝向的调整的各部件。静电吸盘51通过进行这样的交接,在载置在保持部25上时上述各孔的位置和上述保持部25的各支承销的位置对齐。而且,在搬送至等离子体蚀刻模块4时,能够使静电吸盘51中的孔513的位置、后述的静电吸盘51的下表面的电极518的位置、用于流通气体的孔514的位置,与后述的主体部44的支承销46的位置、表面电极531的位置、气体喷出口48的位置分别对齐。即,相对于该支承部15c进行定位,就能够相对于保持部25和等离子体蚀刻模块4进行定位。对于聚焦环52和晶片W也如上所述基于中心位置进行交接,由此能够正确地载置静电吸盘51。
接着,参照图8的纵截侧面图说明等离子体蚀刻模块4。等离子体蚀刻模块4是磁控管方式的反应性离子蚀刻装置。等离子体蚀刻模块4具有气密的处理容器41。在该处理容器41中,兼用作导入用于进行蚀刻的处理气体的气体喷淋头的上部电极42和兼用作下部电极的载置台43相互相对地设置。
载置台43例如包括形成为圆形的主体部44、上述静电吸盘51和聚焦环52,静电吸盘51和聚焦环52设置在主体部44的表面。在主体部44,三个孔45a在该主体部44的厚度方向穿透设置(为了方便在图8中仅图示了2个),各孔45a在主体部44的周方向排列。在各孔45a设置有支承销45,利用设置于处理容器41的下方的升降机构45b能够自由升降。由此,如图9所示,在第二搬送机构16与主体部44之间,进行在上述储存器2的保持部25一体化的晶片W、静电吸盘51和聚焦环52的交接。以后,将该一体后的晶片W、静电吸盘51和聚焦环52记载为被搬送体50。
此外,在主体部44,在其厚度方向穿透设置有三个孔46a,该孔46a比孔45a更靠主体部44的内侧,配置在该主体部44的周方向。在各孔46a设置有支承销46,利用设置于处理容器41的下方的升降机构46b能够自由升降。利用该支承销46,能够在静电吸盘51和聚焦环52载置于主体部44的状态下,将晶片W推起,在第二搬送机构16与载置台43之间交接该晶片W。图8中47是用于保持处理容器41内的气密性的波纹管。
在主体部44设置有未图示的加热器,隔着静电吸盘51,晶片W被该加热器的热调节温度。此外,在主体部44设置有与传热用气体供给部48a连接的气体喷出口48。从该气体喷出口48喷出的例如具有氦气的传热用气体经由静电吸盘51的孔514供给至该静电吸盘51与晶片W之间很小的间隙,进行向晶片W的传热。此外,在主体部44经由匹配器49a连接有施加偏置用的电力的高频电源部49b。
此处,补充说明上述静电吸盘51的结构,并进一步说明主体部44的结构。静电吸盘51的表面如由陶瓷构成,在其内部设置有平板状的主电极517。设置有从该主电极517向下方引出的引出电极518。该引出电极518露出在静电吸盘51的下表面。在主体部44的表面在与该引出电极518对应的位置设置有表面电极531,表面电极531与直流电源532连接。在静电吸盘51载置于主体部44时,引出电极518与表面电极531重合,从直流电源532向主电极517施加直流电压,利用静电力将晶片W静电吸附于静电吸盘51的表面。
此外,在主体部44的侧方相互相对的按压部件534、534以夹着该主体部44的方式设置。该按压部件534夹着静电吸盘51,防止由于上述传热用气体的压力而浮起。按压部件534以沿着主体部44的侧周设置的起立板的上部侧向主体部44侧弯曲90°的方式形成。上述上部侧显示为按压部535。在主体部44的侧周设置有沿主体部44的径方向伸出且支承按压部件534的支承部件536。利用设置于主体部44的未图示的驱动机构,上述按压部535借助该支承部件536向主体部44的径方向移动,从左右按压固定静电吸盘51。
接着说明处理容器41。在处理容器41的底部连接有排气管53,利用真空泵54将处理容器41内抽真空。而且,在处理容器41的侧壁设置有搬送被搬送体50的搬送口,利用上述闸阀G开闭。进一步,在处理容器2的外周侧,为了在处理气氛中形成规定的磁场,上下配置有例如将多个永磁体环状排列而成的磁体部55、55。
在上述上部电极42的下表面侧穿透设置有多个气体喷出口56,与上部电极42内的缓冲室56a连通。从气体供给部57向缓冲室56a供给的各种气体,从气体喷出口56向晶片W喷出。此外,用于供给高频电力的高频电源部58经由匹配器58a与上述电极42连接。另外,图中41b是绝缘部件41b,使上部电极42与处理容器41的侧壁部分绝缘。
该基板处理装置1具有控制各部的动作的控制部100。控制部100例如包括具有未图示的CPU和程序的计算机。为了进行第一搬送机构15、第二搬送机构16的晶片W、静电吸盘51和聚焦环52的搬送和对准室3中的这些各部件的定位、各模块中的晶片W的蚀刻处理等后述的基板处理装置1的动作,在上述程序中以向基板处理装置1的各部发送控制信号的方式组合有步骤(命令)组。该程序例如存储在硬盘、光盘、磁光盘、存储卡等存储介质中,从它们安装于计算机中。
说明上述基板处理装置1的作用。首先,真空搬送室13内和各等离子体蚀刻模块4的处理容器41内被抽真空,保持为真空气氛。第一搬送机构15从储存器2的棚架24接受静电吸盘51,搬送至对准室3的旋转工作台31。而且,如上所述检测静电吸盘51的中心和切口516的朝向,以使切口516朝向规定的方向、检测出的中心位于规定的位置的方式,静电吸盘51被交接至第一搬送机构15的支承部15c。
当第一搬送机构15将静电吸盘51搬送至储存器2的保持部25上时,如图10所示,支承销26上升,支承静电吸盘51的背面。当上述支承部15c从保持部25上退避开时,支承销26下降,静电吸盘51载置在保持部25的表面。接着,第一搬送机构15从储存器2的棚架24接受聚焦环52,搬送至对准室3的旋转工作台31。如上所述检测出聚焦环52的中心,以该中心相对于第一搬送机构15的支承部15c位于规定的位置的方式,交接至该支承部15c。
接着,第一搬送机构15将聚焦环52搬送至储存器2的保持部25上,如图11所示,支承销28经由静电吸盘51的孔515突出到静电吸盘51上,支承聚焦环52的背面。当上述支承部15c从保持部25上退避开时,支承销28下降,聚焦环52载置在静电吸盘51的周缘部512的表面。
接着,载体C载置在载体载置台14,与大气搬送室11连接。接着打开闸门GT和载体C的盖,载体C内的晶片W利用第一搬送机构15经由大气搬送室11搬入对准室3。然后,如上所述检测出晶片W的中心位置。然后,晶片W以检测出的中心相对于第一搬送机构15的支承部15c位于规定的位置的方式被交接。
然后,当第一搬送机构15的支承部15c将晶片W搬送至储存器2的保持部25上时,如图12所示,静电吸盘51的支承销27上升,支承晶片W的背面。当上述支承部15c从保持部25上退避开时,支承销27下降,晶片W被载置在静电吸盘51的中央部511上,形成被搬送体50。
接着,如图14所示,支承销26推起被搬送体50的背面,被搬送体50被交接至第一搬送机构15。第一搬送机构15将被搬送体50搬送至保持为大气气氛的负载锁定室12。然后,当该负载锁定室12的压力被调整,室内成为真空气氛时,第二搬送机构16的支承部16c接受被搬送体50,经由真空搬送室13搬送到等离子体蚀刻模块4的主体部44上。如图15所示,支承销45上升,支承被搬送体50的背面,之后第二搬送机构16从等离子体蚀刻模块4内退避开。支承销45下降,被搬送体50载置在主体部44上,形成载置台43。按压部件534夹着被搬送体50的静电吸盘51,利用其按压力,该静电吸盘51固定于主体部44,并且对静电吸盘51施加电压,晶片W被吸附固定于静电吸盘51。
将处理容器41内保持为规定的真空度,并且从上部电极42供给处理气体,例如具有C4F8气体、CO气体、O2气体和Ar气体所组成的混合气体。而且,对上部电极42和载置台43分别施加高频,供给的处理气体等离子体化,并且如图16中箭头所示被引入晶片W,晶片W表面的被蚀刻膜例如二氧化硅(SiO2)膜被蚀刻。
当进行规定时间的蚀刻时,停止高频的施加和处理气体的供给,利用经由静电吸盘51的孔513突出的支承销47,晶片W的背面被推起,交接至第二搬送机构16的支承部16c(图17)。晶片W被搬入保持为真空气氛的负载锁定室12,负载锁定室12的压力上升,成为大气气氛。然后,上述晶片W被交接至第一搬送机构15,回到载体C。
从载体C送出后续的晶片W,后续的晶片W作为被搬送体50与被搬送的上述晶片W同样地被搬送至对准室3,其中心位置被调整,交接至第一搬送机构15。然后,不经由储存器2而经由负载锁定室12和真空搬送室13搬送至等离子体蚀刻模块4,如上所述进行蚀刻处理。处理后与前面的晶片W同样地回到载体C。
例如当在等离子体蚀刻模块4中处理了规定个数的晶片W,晶片W被搬出时,从上部电极42供给例如作为清洁用气体O2气体。而且,对上部电极42和载置台43分别施加高频,供给的清洁用的气体被等离子体化且被引入载置台43(图18)。利用该等离子体除去堆积在载置台43、处理容器41的内壁的堆积物,当进行了规定时间的等离子体的生成时,停止高频的施加和清洁用气体的供给,该清洁处理例如在规定批次的处理后、在处理下一批次之前进行。
当例如预先设定的个数的晶片W被处理时,如图19所示解除利用按压部件534进行的静电吸盘51向主体部44的固定,支承销45将被搬送体50推起。被搬送体50经由真空搬送室13和负载锁定室12交接至大气搬送室12,载置在储存器2的保持部25之后,以与组装时相反的动作分解晶片W、静电吸盘51和聚焦环52。然后,晶片W回到载体C,静电吸盘51和聚焦环52回到棚架24。
之后,保持于储存器2的新的静电吸盘51和聚焦环52被搬送至保持部25,与新的搬入装置内的晶片W成为一体而构成被搬送体50,搬送至等离子体蚀刻模块4,再次开始等离子体蚀刻模块4的处理。该等离子体蚀刻模块4中的静电吸盘51和聚焦环52的更换,例如也与上述清洁处理同样在规定的批次的处理后、处理下一批次之前进行。这样在利用新的静电吸盘51和聚焦环52进行处理的期间,用户能够确认从等离子体蚀刻模块4回到储存器2的静电吸盘51和聚焦环52的形状,如果需要则进行更换。
根据该基板处理装置1,静电吸盘51和聚焦环52相对于等离子体蚀刻模块4的载置台43能够自由装卸,在不使用时被搬送至大气气氛的储存器2。由此,没有必要为了确认静电吸盘51和聚焦环52的表面状态而使等离子体蚀刻模块4的处理容器41内向大气开放,因此能够防止装置1的生产性的下降。此外,静电吸盘51和聚焦环52被搬出至处理容器41的外部,因此能够容易地确认上述表面状态。结果,能够进行精度高的形状管理,高精度地掌握更换时期,因此能够防止它们的形状被使用至超过允许水平的状态,结果能够防止晶片W的蚀刻特性的劣化。
在上述例子中,也可以将晶片W、静电吸盘51、聚焦环52分别单个地搬送至等离子体蚀刻模块4而进行蚀刻处理。但是,如上所述将它们作用被搬送体50一并搬送的话,第一搬送机构15和第二搬送机构16的动作工序变少,负载锁定室12的气氛的替换次数也变少,因此能够提高处理能力。
在上述例子中,能够使得静电吸盘51和聚焦环52的搬送频率相互不同。例如在等离子体蚀刻模块4的载置台43,设置有相当于储存器2的支承销28的独立于静电吸盘51地将聚焦环52推起的支承销。而且,在处理了规定个数的晶片W之后,利用上述支承销,在将静电吸盘51固定于主体部44的状态下,仅推起聚焦环52并交接至第二搬送机构16,聚焦环52回到储存器2。然后,新的聚焦环52从储存器2搬送至等离子体蚀刻模块4,交接至上述支承销。在进而处理了规定个数的晶片W之后,如上所述将被搬送体50从等离子体蚀刻模块4搬出。通过这样单独设定静电吸盘51和聚焦环52的搬送频率,能够抑制对准室3中的对准次数、第一搬送机构15用于分解被搬送体50的动作工序,因此能够提高处理能力。
在上述的例子中,可以代替能够目视储存器2的内部的结构,而在储存器2设置用于检测静电吸盘51和聚焦环52的形状的传感器。这样的传感器设置在等离子体蚀刻模块4的处理容器41的外部,因此不会妨碍该处理容器41内的等离子体蚀刻处理,因此容易设置。作为该传感器,能够设置利用光干涉、原子力、电子线、X射线或电磁力等的传感器。此外,也可以在储存器2的框体21内设置摄像机,摄取的图像在构成控制部100的显示部中显示,基于该图像,例如用户判定更换时期。与传感器同样,该摄像机也设置在处理容器41的外部,因此容易设置。
此外,静电吸盘51和聚焦环52等部件存在适合于各个处理条件的形状、状态,可以将专门用于各处理的形状、状态的静电吸盘51和聚焦环52预先收纳在储存器2中,在每次改变供给处理容器41的气体、处理容器内的压力等处理条件时,选择与该处理条件对应的静电吸盘51和聚焦环52,并搬送至等离子体蚀刻模块4中。由此能够得到比现有技术优异的蚀刻特性。具体地说,例如将聚焦环52的外周部522的高度、直径的大小、材质各自不同的聚焦环52存储在储存器中。在构成控制部100的存储器中,各聚焦环52所放置的棚架24的位置和处理条件关联对应地被存储。然后,当用户对晶片的批次指定处理条件时,第一搬送机构15接受与该处理条件对应的棚架24的聚焦环52,如上所述形成被搬送体50,在等离子体蚀刻模块4中进行处理。
(第一实施方式的变形例)
在上述实施方式中,静电吸盘51和聚焦环52在收纳于储存器2时被分离,但是也可以预先将它们接合而构成一体的表面部61,将该表面部61收纳于储存器2的棚架24。在该情况下,表面部61在保持部25上同样与晶片W一体化。此外,在表面部61的外周,设置有例如与第一实施方式中的静电吸盘51的切口516相当的未图示的切口。利用该切口,在表面部61被交接至第一搬送机构15上时,由对准室3调整该表面部61的朝向。
利用对准室3相对于第一搬送机构15的支承部15c定位后的表面部61(图20),通过支承销26交接至储存器2的保持部25,之后与第一实施方式同样地,晶片W被搬送至储存器2(图21),形成被搬送体50。被搬送体50与第一实施方式同样地交接至支承部15c(图22),搬送至等离子体蚀刻模块4。在等离子体蚀刻模块4中进行处理后,与第一实施方式同样地,被搬送体50回到上述保持部25。然后,晶片W从表面部61分离并回到载体C,表面部61回到储存器2的棚架24。在该变形例中,与第一实施方式相比,能够使为了形成被搬送体50而进行的第一搬送机构15的动作和对准室3的对准次数变少,因此能够得到更高的处理能力。
(第二实施方式)
作为第二实施方式,在图23中表示将储存器2与真空搬送室13连接的例子。图23的基板处理装置6中,储存器2设置有两个。各储存器2与第一实施方式同样地构成,但代替闸门23,具有与等离子体蚀刻模块4同样的闸阀(分隔阀)G。此外,在框体21内设置有:将该框体21内抽真空并保持为真空气氛的排气口;为了使框体21内从真空气氛回到大气气氛而供给空气的空气供给口。
在该第二实施方式中,对准室3与真空搬送室13连接。对准室3与第一实施方式为大致同样的结构,但其内部保持为真空气氛。此外,为了在真空气氛中能够吸附静电吸盘51、聚焦环52,旋转工作台31不是对它们进行真空吸附而是进行静电吸附。但是,代替像这样进行静电吸附,也可以将旋转工作台31的表面整体或表面的一部分,例如以橡胶等与聚焦环52、静电吸盘51和晶片W的各部件的摩擦系数高的材质覆盖,以防止由于旋转工作台31的旋转时的离心力导致的位置偏移。此外,代替设置这些用于防止位置偏移的机构、部件,也可以使旋转工作台31的旋转以不会出现上述离心力导致的位置偏移的低速度进行。
该第二实施方式中的处理除了下述内容以外与第一实施方式同样:静电吸盘51和聚焦环52的搬送路径为储存器2→对准室3→储存器2;从载体C搬送的晶片W经由负载锁定室12→真空搬送室13→对准室3→储存器2;在储存器2形成的被搬送体50的搬送路径为真空搬送室13→等离子体蚀刻模块4。
在该第二实施方式的基板处理装置6中,设置有两个的储存器2中的各个,进行内部的静电吸盘51和聚焦环52的形状的确认、它们的更换。在一方的储存器2的闸阀G关闭,以抑制对其它室的真空度的影响的状态下,停止该一方的储存器2的框体21内的抽真空,向框体21内供给大气,使框体21内回到大气气氛。然后,卸下框体21的侧壁21a,进行上述形状的确认、更换。之后,再次将框体21内抽真空,回到真空气氛。像这样进行一方的储存器2中的静电吸盘51和聚焦环52的确认和更换的期间,使用另一方的储存器2的静电吸盘51和聚焦环52进行处理。
在该第二实施方式中,能够将静电吸盘51和聚焦环52从等离子体蚀刻模块4内搬出,进行它们的形状确认,因此与第一实施方式同样,不需要将等离子体蚀刻模块4的处理容器41内向大气开放。由此能够抑制装置的生产效率的下降。此外,设置有两个储存器2,在将一方的储存器2向大气开放时在另一方的储存器2中继续进行被搬送体50的形成和搬送,由此能够更可靠地抑制装置的生产效率的下降。但是,在仅将一个储存器2与真空搬送室13连接的情况下,也能够在利用等离子体蚀刻模块4进行处理的期间,将储存器2内向大气开放以确认上述形状、更换,因此也是有效的。
在各实施方式中表示的结构能够相互给合使用。例如,在第二实施方式中,也能够在储存器2设置各种传感器或摄像机,可以将静电吸盘51和聚焦环52一体化地收纳于储存器2中。此外,也可以在大气搬送室11设置一个储存器2,并且以与真空搬送室13连接的方式设置另一个储存器2。
第一搬送机构15、第二搬送机构16与权利要求中的搬送机构相当。像这样,搬送机构可以在各室内分割设置以搬送各部件,也可以在各室间移动以搬送各部件。此外,关于各实施方式的搬送机构的支承部,搬送被搬送体50的支承部和搬送静电吸盘51、聚焦环52、晶片W的支承部可以形成得相互不同。图24表示第一实施方式的第一搬送机构15的另一结构例,在该例中在基部15a设置有两个多关节的臂15b。在一方的臂15b的前端设置有上述支承部15c,另一方的臂15b的前端侧设置有支承部15d。支承部15d形成为矩形形状。支承部15c与第一实施方式同样地搬送静电吸盘51、聚焦环52、晶片W。支承部15d搬送被搬送体50。
此外,也能够在储存器2的保持部25设置与该支承部15d对应的交接机构。图25表示这样的保持部25,在该保持部25的表面设置有相互平行地形成的两个隙缝71。而且,沿着该隙缝71形成的直线部件72、72设置成能够自由升降,能够相对于保持部25的表面突出没入。如上所述,在保持部25形成被搬送体50之后,如图26所示,块72上升,将被搬送体50上推,交接至上述支承部15d。在将从等离子体蚀刻模块4回来的被搬送体50交接至保持部25时,也像这样使用支承部15d和直线部件72。
像这样将被搬送体50以及晶片W、静电吸盘51和聚焦环52以形状相互不同的支承部15c、15d分别进行搬送是因为,通过根据被搬送体的形状、重量使用形状适宜的支承部,能够防止这些被搬送体从支承部落下。此外,在第二搬送机构16中也可以将设置有两个的支承部16c中的一方形成为与支承部15d同样的形状,构成为用于搬送被搬送体50的专用的支承部。
在第一实施方式中也可以不设置真空搬送室13,等离子体蚀刻模块4与负载锁定室12直接连接。在该情况下,例如在负载锁定室12设置与第一搬送机构15同样的搬送机构,在大气搬送室11与等离子体蚀刻模块4之间交接晶片W。此外,收纳于储存器2的部件并不仅限于静电吸盘51和聚焦环52。虽然省略了图示,但在载置台43,为了防止其外周部被蚀刻而设置有保护部件。例如也可以将该部件构成为能够相对于载置台43装卸,并收纳于储存器2。此外,储存器2也能够设置于负载锁定室12。此外,与真空搬送室13连接的模块并不限于等离子体蚀刻模块,例如也可以是将处理气体等离子体化而对晶片W进行成膜的成膜模块。
接着,说明在等离子体蚀刻模块4中固定静电吸盘51的其它方法。在图27、图28所示的例子中,在等离子体蚀刻模块4的主体部44的支承部件536设置有垂直板541,在该垂直板541的上部,水平的插入板542以向主体部44侧伸出的方式设置。而且,在静电吸盘51的侧周设置有与该插入板542对应的槽部543。当在主体部44载置被搬送体50时,如图29、图30所示,插入板542的端部插入槽部543,将静电吸盘51固定于主体部44。
此外,在图31表示在其表面设置有凹部540的主体部44。在静电吸盘51的下表面设置有向下方伸出的棒544,在被搬送体50载置于主体部44时,该棒544进入凹部540。在各凹部540设置有夹着棒544相对的按压部件545,该按压部件545向棒544的中心方向移动、按压棒544,以将静电吸盘51固定于主体部44。
附图标记说明
C载体
W晶片
1基板处理装置
11大气搬送室
12负载锁定室
13真空搬送室
2储存器
21框体
24棚架
25保持部
3对准室
4等离子体蚀刻模块

Claims (8)

1.一种基板处理装置,其特征在于,包括:
搬送基板的常压气氛的常压搬送室;
经由负载锁定室与该常压搬送室连接,对基板进行真空处理的真空处理室;
设置于所述真空处理室,具有主体部和相对于该主体部自由装卸的表面部的基板载置台;
设置于所述负载锁定室或常压搬送室,用于收纳所述表面部的保管部;和
从常压搬送室经由负载锁定室向真空处理室搬送基板,此外在所述保管部与所述真空处理室的主体部之间搬送所述表面部的搬送机构。
2.如权利要求1所述的基板处理装置,其特征在于:
设置有位于所述负载锁定室与所述真空处理室之间的真空气氛的真空搬送室。
3.如权利要求2所述的基板处理装置,其特征在于:
所述保管部,代替设置于所述负载锁定室或常压搬送室,而与所述负载锁定室和真空处理室划分开,与所述真空搬送室连接,
具有切换该保管部相对于真空搬送室的开放和隔断的分隔阀,使得能够在所述真空搬送室处于真空气氛的状态下,将所述保管部的内部从真空气氛变为常压气氛。
4.如权利要求3所述的基板处理装置,其特征在于:
所述保管部包括相互划分开的第一保管部和第二保管部,
所述分隔阀分别设置于第一保管部、第二保管部,能够相互独立地开闭。
5.如权利要求1~4中任一项所述的基板处理装置,其特征在于:
所述表面部具有载置基板的载置面,
所述保管部具有用于保持所述表面部的保持部,
所述搬送机构在基板被载置于所述表面部的状态下将该基板从保管部搬送至真空处理室。
6.如权利要求5所述的基板处理装置,其特征在于:
设置有定位机构,在将表面部和基板搬送至所述保持部之前,进行相对于该保持部的定位,使得将基板载置在所述载置面的预先设定的位置。
7.如权利要求1~4中任一项所述的基板处理装置,其特征在于:
所述真空处理室用于对基板进行等离子体处理。
8.如权利要求7所述的基板处理装置,其特征在于:
所述表面部具有用于吸附基板的静电吸盘和包围基板的外周、用于控制等离子体的状态的聚焦环中的至少任一种。
CN201210101409.3A 2011-03-31 2012-03-31 基板处理装置 Active CN102738048B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011079859A JP6003011B2 (ja) 2011-03-31 2011-03-31 基板処理装置
JP2011-079859 2011-03-31

Publications (2)

Publication Number Publication Date
CN102738048A true CN102738048A (zh) 2012-10-17
CN102738048B CN102738048B (zh) 2014-12-03

Family

ID=46925688

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210101409.3A Active CN102738048B (zh) 2011-03-31 2012-03-31 基板处理装置

Country Status (5)

Country Link
US (4) US9799542B2 (zh)
JP (1) JP6003011B2 (zh)
KR (1) KR101901460B1 (zh)
CN (1) CN102738048B (zh)
TW (1) TWI509725B (zh)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105103266A (zh) * 2013-03-15 2015-11-25 应用材料公司 用于卤化物驱气的处理系统及方法
CN105579612A (zh) * 2013-09-20 2016-05-11 应用材料公司 具有一体式静电夹盘的基板载体
CN106498366A (zh) * 2015-09-03 2017-03-15 维易科仪器有限公司 多室化学气相沉积系统
CN107622935A (zh) * 2016-07-14 2018-01-23 东京毅力科创株式会社 聚焦环更换方法
CN108447760A (zh) * 2017-02-16 2018-08-24 东京毅力科创株式会社 真空处理装置和维护装置
CN108695207A (zh) * 2017-03-31 2018-10-23 东京毅力科创株式会社 基片处理装置
CN108933097A (zh) * 2017-05-23 2018-12-04 东京毅力科创株式会社 真空输送组件和基片处理装置
US10304664B2 (en) 2014-09-30 2019-05-28 Semes Co., Ltd. Systems and methods of treating a substrate
CN111312576A (zh) * 2018-12-12 2020-06-19 东京毅力科创株式会社 基片处理系统、输送方法、输送程序和保持器具
CN111668142A (zh) * 2019-03-07 2020-09-15 东京毅力科创株式会社 分隔板、保管容器、基片处理系统和基片的输送方法
CN111670490A (zh) * 2018-02-12 2020-09-15 应用材料公司 减少背侧基板接触的基板传送机构
CN112397369A (zh) * 2019-08-13 2021-02-23 东京毅力科创株式会社 基片处理系统中的输送方法
CN112442724A (zh) * 2016-06-30 2021-03-05 株式会社荏原制作所 搬送系统及基板支承构件
CN112689891A (zh) * 2018-09-21 2021-04-20 东京毅力科创株式会社 真空处理装置和基板输送方法
CN113195170A (zh) * 2018-12-11 2021-07-30 平田机工株式会社 基板传送装置及基板传送系统
CN113310402A (zh) * 2020-02-27 2021-08-27 东京毅力科创株式会社 定位装置、处理系统和定位方法
CN113632201A (zh) * 2019-04-18 2021-11-09 胜高股份有限公司 气相成长方法及气相成长装置
CN113632202A (zh) * 2019-04-18 2021-11-09 胜高股份有限公司 气相成长方法及气相成长装置
CN113711336A (zh) * 2019-04-11 2021-11-26 胜高股份有限公司 气相成长装置及用于该气相成长装置的载具

Families Citing this family (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5948026B2 (ja) * 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
JP5849232B2 (ja) * 2012-09-20 2016-01-27 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
CN103019045A (zh) * 2012-12-11 2013-04-03 清华大学 一种具有防撞功能的硅片台
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
WO2015020791A1 (en) * 2013-08-05 2015-02-12 Applied Materials, Inc. In-situ removable electrostatic chuck
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP6213079B2 (ja) * 2013-09-09 2017-10-18 シンフォニアテクノロジー株式会社 Efem
TWI514501B (zh) * 2013-09-17 2015-12-21 Hon Tech Inc Electronic component moving mechanism and its application of the picking methods and test equipment
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
JP6224428B2 (ja) * 2013-11-19 2017-11-01 東京エレクトロン株式会社 載置台にフォーカスリングを吸着する方法
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
CN104743351B (zh) * 2013-12-30 2016-09-07 基准精密工业(惠州)有限公司 加工室
US9287151B2 (en) * 2014-01-10 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd Systems and method for transferring a semiconductor substrate
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6251124B2 (ja) * 2014-06-09 2017-12-20 株式会社荏原製作所 基板ホルダ用の基板着脱部及びこれを備えた湿式基板処理装置
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP2016046451A (ja) * 2014-08-26 2016-04-04 株式会社アルバック 基板処理装置及び基板処理方法
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US9881820B2 (en) * 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10124492B2 (en) * 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10186438B2 (en) 2015-11-05 2019-01-22 Infineon Technologies Ag Method and apparatus for use in wafer processing
JP6539929B2 (ja) * 2015-12-21 2019-07-10 昭和電工株式会社 ウェハ支持機構、化学気相成長装置およびエピタキシャルウェハの製造方法
KR102689380B1 (ko) 2016-01-26 2024-07-26 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 에지 링 리프팅 솔루션
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10541168B2 (en) * 2016-11-14 2020-01-21 Lam Research Corporation Edge ring centering method using ring dynamic alignment data
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
KR102423761B1 (ko) 2017-06-23 2022-07-20 어플라이드 머티어리얼스, 인코포레이티드 인덱서블 측면 저장 포드 장치, 가열식 측면 저장 포드 장치, 시스템들, 및 방법들
US10388547B2 (en) 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP2019053924A (ja) 2017-09-15 2019-04-04 東京エレクトロン株式会社 プラズマ処理装置
US11075105B2 (en) * 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
JP7029914B2 (ja) * 2017-09-25 2022-03-04 東京エレクトロン株式会社 基板処理装置
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US20210159056A1 (en) * 2018-04-26 2021-05-27 Kyocera Corporation Focus-ring conveying member and plasma processing device including focus-ring conveying member
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7090469B2 (ja) 2018-05-15 2022-06-24 東京エレクトロン株式会社 基板処理装置
JP7193933B2 (ja) * 2018-06-22 2022-12-21 株式会社ディスコ 被加工物の搬送方法
KR102433436B1 (ko) * 2018-07-04 2022-08-17 삼성전자주식회사 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN109192696B (zh) * 2018-08-10 2021-06-08 北京北方华创微电子装备有限公司 升降针系统、真空反应腔室以及半导体加工设备
JP7115942B2 (ja) * 2018-09-06 2022-08-09 東京エレクトロン株式会社 載置台、基板処理装置、エッジリング及びエッジリングの搬送方法
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US10790466B2 (en) * 2018-12-11 2020-09-29 Feng-wen Yen In-line system for mass production of organic optoelectronic device and manufacturing method using the same system
JP7129325B2 (ja) * 2018-12-14 2022-09-01 東京エレクトロン株式会社 搬送方法及び搬送システム
CN111326387B (zh) 2018-12-17 2023-04-21 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
JP7147551B2 (ja) * 2018-12-27 2022-10-05 株式会社Sumco 気相成長装置及びこれに用いられるキャリア
JP7163764B2 (ja) * 2018-12-27 2022-11-01 株式会社Sumco 気相成長装置
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7217636B2 (ja) * 2019-01-16 2023-02-03 東京エレクトロン株式会社 チャックトップ、検査装置、およびチャックトップの回収方法
US20200354828A1 (en) * 2019-05-10 2020-11-12 Veeco Instruments Inc. Deposition system with integrated carrier cleaning modules
US10964584B2 (en) * 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US20200373190A1 (en) * 2019-05-20 2020-11-26 Applied Materials, Inc. Process kit enclosure system
JP7345289B2 (ja) 2019-06-18 2023-09-15 東京エレクトロン株式会社 基板処理装置、基板処理システム及び基板搬送方法
KR102211252B1 (ko) * 2019-06-26 2021-02-04 세메스 주식회사 기판 처리 장치
US10916464B1 (en) * 2019-07-26 2021-02-09 Applied Materials, Inc. Method of pre aligning carrier, wafer and carrier-wafer combination for throughput efficiency
JP6719629B2 (ja) * 2019-07-31 2020-07-08 東京エレクトロン株式会社 プラズマ処理システム及び搬送方法
JP2019186579A (ja) * 2019-07-31 2019-10-24 東京エレクトロン株式会社 プラズマ処理システム及びフォーカスリング交換方法
US11469123B2 (en) * 2019-08-19 2022-10-11 Applied Materials, Inc. Mapping of a replacement parts storage container
US11823937B2 (en) * 2019-08-19 2023-11-21 Applied Materials, Inc. Calibration of an aligner station of a processing system
JP7412124B2 (ja) * 2019-10-18 2024-01-12 東京エレクトロン株式会社 基板処理システム及びエッジリングを交換する方法
JP7192756B2 (ja) * 2019-12-19 2022-12-20 株式会社Sumco 気相成長装置及び気相成長方法
JP7365924B2 (ja) * 2020-02-13 2023-10-20 東京エレクトロン株式会社 ティーチング方法
JP7471106B2 (ja) * 2020-02-28 2024-04-19 東京エレクトロン株式会社 部品運搬装置
JP7378318B2 (ja) * 2020-02-28 2023-11-13 東京エレクトロン株式会社 部品交換方法
JP7454959B2 (ja) * 2020-03-03 2024-03-25 東京エレクトロン株式会社 基板搬送システムおよび大気搬送モジュール
JP7550603B2 (ja) 2020-03-03 2024-09-13 東京エレクトロン株式会社 プラズマ処理システム及びエッジリングの交換方法
US11766782B2 (en) 2020-03-17 2023-09-26 Applied Materials, Inc. Calibration of an electronics processing system
DE102020110570A1 (de) 2020-04-17 2021-10-21 Aixtron Se CVD-Verfahren und CVD-Reaktor mit austauschbaren mit dem Substrat Wärme austauschenden Körpern
CN111554601B (zh) * 2020-04-27 2021-12-28 上海果纳半导体技术有限公司 晶圆前端传送系统
US11380573B2 (en) 2020-06-04 2022-07-05 Tokyo Electron Limited Structure for automatic in-situ replacement of a part of an electrostatic chuck
JP6824461B2 (ja) * 2020-06-05 2021-02-03 東京エレクトロン株式会社 処理システム
JP7519822B2 (ja) 2020-06-19 2024-07-22 東京エレクトロン株式会社 収納モジュール、基板処理システムおよび消耗部材の搬送方法
JP7409976B2 (ja) * 2020-06-22 2024-01-09 東京エレクトロン株式会社 プラズマ処理システム、プラズマ処理装置及びエッジリングの交換方法
KR102652834B1 (ko) * 2020-08-07 2024-04-02 세메스 주식회사 용기 및 기판 처리 장치
TW202232624A (zh) 2020-10-26 2022-08-16 日商東京威力科創股份有限公司 處理系統及搬運方法
KR102649714B1 (ko) * 2020-10-27 2024-03-21 세메스 주식회사 기판 처리 장치 및 기판 반송 방법
JP7157127B2 (ja) * 2020-12-16 2022-10-19 東京エレクトロン株式会社 ピック、搬送装置及びプラズマ処理システム
JP7525394B2 (ja) 2020-12-28 2024-07-30 東京エレクトロン株式会社 搬送装置
JP2022154234A (ja) 2021-03-30 2022-10-13 東京エレクトロン株式会社 プラズマ処理システム、搬送アーム及び環状部材の搬送方法
JP2023031494A (ja) * 2021-08-25 2023-03-09 キオクシア株式会社 プラズマ処理装置および半導体装置の製造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090011605A1 (en) * 2007-07-02 2009-01-08 Tokyo Electron Limited Method of manufacturing semiconductor device
CN101465284A (zh) * 2007-12-21 2009-06-24 东京毅力科创株式会社 基板处理装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4534389A (en) * 1984-03-29 1985-08-13 Hewlett-Packard Company Interlocking door latch for dockable interface for integrated circuit processing
JP2713276B2 (ja) * 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US6409896B2 (en) * 1999-12-01 2002-06-25 Applied Materials, Inc. Method and apparatus for semiconductor wafer process monitoring
US20050205209A1 (en) * 2004-03-18 2005-09-22 Aelan Mosden Replacing chamber components in a vacuum environment
JP5057647B2 (ja) * 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
JP2008226509A (ja) * 2007-03-09 2008-09-25 Hitachi High-Technologies Corp 走査電子顕微鏡
US20090181553A1 (en) * 2008-01-11 2009-07-16 Blake Koelmel Apparatus and method of aligning and positioning a cold substrate on a hot surface
JP2009200142A (ja) * 2008-02-20 2009-09-03 Nuflare Technology Inc 成膜装置および成膜方法
JP5025576B2 (ja) * 2008-06-13 2012-09-12 新光電気工業株式会社 静電チャック及び基板温調固定装置
JP5317278B2 (ja) * 2009-04-28 2013-10-16 大陽日酸株式会社 気相成長装置、気相成長装置における対向面部材またはサセプタ上面カバー取外し方法
JP5650935B2 (ja) * 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
US8409995B2 (en) * 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
GB2476476B (en) * 2009-12-23 2013-05-22 Nanobeam Ltd Charged particle beam system

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090011605A1 (en) * 2007-07-02 2009-01-08 Tokyo Electron Limited Method of manufacturing semiconductor device
CN101465284A (zh) * 2007-12-21 2009-06-24 东京毅力科创株式会社 基板处理装置

Cited By (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105103266A (zh) * 2013-03-15 2015-11-25 应用材料公司 用于卤化物驱气的处理系统及方法
US10297483B2 (en) 2013-09-20 2019-05-21 Applied Materials, Inc. Substrate carrier with integrated electrostatic chuck
CN105579612A (zh) * 2013-09-20 2016-05-11 应用材料公司 具有一体式静电夹盘的基板载体
CN106164331A (zh) * 2013-09-20 2016-11-23 应用材料公司 具有一体式静电夹盘的基板载体
CN105579612B (zh) * 2013-09-20 2019-06-14 应用材料公司 具有一体式静电夹盘的基板载体
US10304713B2 (en) 2013-09-20 2019-05-28 Applied Materials, Inc. Substrate carrier with integrated electrostatic chuck
CN106164331B (zh) * 2013-09-20 2018-11-23 应用材料公司 具有一体式静电夹盘的基板载体
US10304664B2 (en) 2014-09-30 2019-05-28 Semes Co., Ltd. Systems and methods of treating a substrate
CN106498366A (zh) * 2015-09-03 2017-03-15 维易科仪器有限公司 多室化学气相沉积系统
CN112442724A (zh) * 2016-06-30 2021-03-05 株式会社荏原制作所 搬送系统及基板支承构件
CN112442724B (zh) * 2016-06-30 2024-02-06 株式会社荏原制作所 搬送系统及基板支承构件
US10490392B2 (en) 2016-07-14 2019-11-26 Tokyo Electron Limited Focus ring replacement method and plasma processing system
CN112786429A (zh) * 2016-07-14 2021-05-11 东京毅力科创株式会社 等离子体处理系统、搬送方法以及处理系统
US11990323B2 (en) 2016-07-14 2024-05-21 Tokyo Electron Limited Focus ring replacement method and plasma processing system
CN112786429B (zh) * 2016-07-14 2024-04-09 东京毅力科创株式会社 等离子体处理系统、搬送方法以及处理系统
CN107622935A (zh) * 2016-07-14 2018-01-23 东京毅力科创株式会社 聚焦环更换方法
CN112786428A (zh) * 2016-07-14 2021-05-11 东京毅力科创株式会社 拾取器、搬送装置以及等离子体处理系统
CN112786427A (zh) * 2016-07-14 2021-05-11 东京毅力科创株式会社 等离子体处理系统和处理系统
CN108447760A (zh) * 2017-02-16 2018-08-24 东京毅力科创株式会社 真空处理装置和维护装置
CN108695207B (zh) * 2017-03-31 2022-03-11 东京毅力科创株式会社 基片处理装置
CN108695207A (zh) * 2017-03-31 2018-10-23 东京毅力科创株式会社 基片处理装置
CN108933097A (zh) * 2017-05-23 2018-12-04 东京毅力科创株式会社 真空输送组件和基片处理装置
CN108933097B (zh) * 2017-05-23 2023-06-23 东京毅力科创株式会社 真空输送组件和基片处理装置
CN111670490A (zh) * 2018-02-12 2020-09-15 应用材料公司 减少背侧基板接触的基板传送机构
CN111670490B (zh) * 2018-02-12 2023-11-03 应用材料公司 减少背侧基板接触的基板传送机构
CN112689891A (zh) * 2018-09-21 2021-04-20 东京毅力科创株式会社 真空处理装置和基板输送方法
CN113195170A (zh) * 2018-12-11 2021-07-30 平田机工株式会社 基板传送装置及基板传送系统
CN113195170B (zh) * 2018-12-11 2023-12-22 平田机工株式会社 基板传送装置及基板传送系统
CN111312576B (zh) * 2018-12-12 2024-07-05 东京毅力科创株式会社 基片处理系统、输送方法、输送程序和保持器具
CN111312576A (zh) * 2018-12-12 2020-06-19 东京毅力科创株式会社 基片处理系统、输送方法、输送程序和保持器具
CN111668142A (zh) * 2019-03-07 2020-09-15 东京毅力科创株式会社 分隔板、保管容器、基片处理系统和基片的输送方法
CN113711336A (zh) * 2019-04-11 2021-11-26 胜高股份有限公司 气相成长装置及用于该气相成长装置的载具
CN113711336B (zh) * 2019-04-11 2024-07-02 胜高股份有限公司 气相成长装置及用于该气相成长装置的载具
CN113632201A (zh) * 2019-04-18 2021-11-09 胜高股份有限公司 气相成长方法及气相成长装置
CN113632202A (zh) * 2019-04-18 2021-11-09 胜高股份有限公司 气相成长方法及气相成长装置
CN113632202B (zh) * 2019-04-18 2024-05-31 胜高股份有限公司 气相成长方法及气相成长装置
CN112397369A (zh) * 2019-08-13 2021-02-23 东京毅力科创株式会社 基片处理系统中的输送方法
CN113310402A (zh) * 2020-02-27 2021-08-27 东京毅力科创株式会社 定位装置、处理系统和定位方法

Also Published As

Publication number Publication date
US20220230857A1 (en) 2022-07-21
US20180019145A1 (en) 2018-01-18
JP2012216614A (ja) 2012-11-08
US9799542B2 (en) 2017-10-24
KR20120112164A (ko) 2012-10-11
US20120247671A1 (en) 2012-10-04
US20190109031A1 (en) 2019-04-11
CN102738048B (zh) 2014-12-03
US11328910B2 (en) 2022-05-10
TW201301428A (zh) 2013-01-01
TWI509725B (zh) 2015-11-21
US10224226B2 (en) 2019-03-05
KR101901460B1 (ko) 2018-09-21
JP6003011B2 (ja) 2016-10-05

Similar Documents

Publication Publication Date Title
CN102738048A (zh) 基板处理装置
TWI688034B (zh) 裝載埠及裝載埠的氣氛置換方法
KR100221983B1 (ko) 처리장치
KR0145301B1 (ko) 에칭장치 및 에칭 방법
CN115985812A (zh) 接合装置、系统、方法、程序以及计算机存储介质
CN108290694B (zh) 用于衬底制造的晶圆板和掩模装置
KR101488668B1 (ko) 성막 장치 및 성막 방법
KR101860599B1 (ko) 기판 반송 방법 및 반송 장치
KR102120521B1 (ko) 웨이퍼 보트 지지대 및 이것을 사용한 열처리 장치
US20210050242A1 (en) Thin plate substrate-holding device and transfer robot provided with this holding device
US11054184B2 (en) Methods and apparatus for processing a substrate to remove moisture and/or residue
US20110179717A1 (en) Substrate processing apparatus
CN114843168A (zh) 收纳容器和处理系统
KR101409752B1 (ko) 기판 이송 로봇을 이용한 멀티 챔버 기판 처리 장치
JPWO2007102426A1 (ja) 基板処理装置および基板処理方法
CN114496694A (zh) 处理系统和输送方法
JP2011181817A (ja) 基板処理装置
JP2007088177A (ja) 基板処理装置
JP2022070212A (ja) 処理システム及び搬送方法
JP2014067797A (ja) 基板処理装置、基板処理方法及び半導体装置の製造方法
JP2004119627A (ja) 半導体製造装置
JP5031960B2 (ja) 基板処理装置および半導体装置の製造方法
JP2005347667A (ja) 半導体製造装置
JP7483118B1 (ja) 基板処理装置
WO2024162483A1 (ja) 基板保持具用治具、基板処理装置及び半導体装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant