KR101901460B1 - 기판 처리 장치 - Google Patents

기판 처리 장치 Download PDF

Info

Publication number
KR101901460B1
KR101901460B1 KR1020120032177A KR20120032177A KR101901460B1 KR 101901460 B1 KR101901460 B1 KR 101901460B1 KR 1020120032177 A KR1020120032177 A KR 1020120032177A KR 20120032177 A KR20120032177 A KR 20120032177A KR 101901460 B1 KR101901460 B1 KR 101901460B1
Authority
KR
South Korea
Prior art keywords
chamber
substrate
vacuum
electrostatic chuck
wafer
Prior art date
Application number
KR1020120032177A
Other languages
English (en)
Other versions
KR20120112164A (ko
Inventor
에이이치 스가와라
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20120112164A publication Critical patent/KR20120112164A/ko
Application granted granted Critical
Publication of KR101901460B1 publication Critical patent/KR101901460B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67346Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Abstract

본 발명은, 진공 처리실에 설치되는 기판 배치대의 표면부의 상태를 확인하거나 해당 표면부를 교환하는 것에 따른 진공 처리의 정지 시간을 짧게 하는 동시에, 상기 표면부의 상태를 정밀도 높게 관리하는 것을 과제로 한다.
기판이 반송되는 상압 분위기의 상압 반송실과, 상압 반송실과 로드록실을 통해 접속되는 진공 처리실과, 상기 진공 처리실에 설치되고, 본체부와, 이 본체부에 대하여 착탈 가능한 표면부를 갖는 기판 배치대와, 상기 로드록실 또는 상압 반송실에 설치되고, 상기 표면부를 수납하기 위한 보관부와, 상압 반송실로부터 로드록실을 통해 진공 처리실에 기판을 반송하고, 상기 보관부와 상기 진공 처리실의 본체부의 사이에서 상기 표면부를 반송하기 위한 반송 기구를 구비하도록 기판 처리 장치를 구성한다. 이에 의해서, 진공 처리실의 대기 개방을 막는 것과 함께, 표면부의 상태를 확인하는 것이 용이하게 되기 때문에, 해당 표면부를 정밀도 높게 관리할 수 있다.

Description

기판 처리 장치{SUBSTRATE PROCESSING APPARATUS}
본 발명은, 진공 처리실과 상압 분위기의 기판 반송실과 로드록실을 구비한 기판 처리 장치에 관한 것이다.
배선 구조를 형성하는 공정에서, 예컨대 반도체 웨이퍼(이하「웨이퍼」라 함) 상에 형성된 각종의 막에, 홈이나 비아 홀로 이루어지는 다마신 구조의 오목부를 형성하는 플라즈마 에칭 처리를 하는 경우가 있다.
이 처리를 하는 플라즈마 에칭 장치는, 예컨대 진공 분위기로 된 처리 용기 내에 상부 전극과, 하부 전극을 이루는 배치대를 배치하여 구성되어 있고, 상기 배치대에 웨이퍼를 배치한 상태로, 상부 전극 및 배치대에 정합기를 통해 소정 주파수의 고주파를 인가하여, 플라즈마를 발생시키고 배치대 측에 이온을 인입하여 에칭 처리를 행하고 있다. 상기 배치대에는, 웨이퍼가 그 표면에 배치되는 정전 척과, 정전 척에 배치된 웨이퍼의 외주를 둘러싸는 포커스 링이 설치된다. 상기 정전 척은, 웨이퍼를 흡착하고 웨이퍼에 열을 전달하여 웨이퍼의 온도를 조절하는 역할을 한다. 포커스 링은, 웨이퍼 표면 상에서 플라즈마를 균일성 높게 분포시키기 위해서 설치되며, 상기 이온에 의해 웨이퍼와 함께 에칭된다.
그런데 정전 척과 웨이퍼는 그 열팽창 계수가 상이하여, 정전 척 상에 웨이퍼가 놓인 때에는 그 열팽창 계수의 차이에 의해 서로 마찰된다. 이 때문에, 웨이퍼의 처리가 반복적으로 계속되면, 정전 척의 표면이 점차로 평활하게 되어 배치대와 웨이퍼의 접촉 면적이 커져, 웨이퍼에의 열의 전달률이 변화되고, 그 결과 웨이퍼의 에칭 특성이 변화된다. 또한, 웨이퍼의 에칭이 반복적으로 행해지면, 포커스 링도 에칭되기 때문에, 점차로 해당 포커스 링의 형상이 변화된다. 이러한 형상의 변화에 의해서 이온이 인입되는 방향이나 전계의 형성 상태가 변화되어, 웨이퍼의 에칭 특성이 변화된다.
또한, 에칭 처리 후에 처리 용기 내의 벽면이나 배치대에 부착된 부착물을 제거하기 위해서, 처리 용기 내에 공급한 가스를 플라즈마화하여, 그 부착물을 제거하는 클리닝을 행하는 경우가 있다. 이 클리닝 시에는 정전 척 상에 더미 웨이퍼를 배치하여, 정전 척을 보호하는 것이 고려되지만, 더미 웨이퍼를 처리 용기 내에 반송하는 시간을 생략하거나 비용을 삭감할 목적으로, 이 더미 웨이퍼를 이용하지 않고서 상기 클리닝을 행하는 것이 검토되고 있다. 그러나 그와 같이 더미 웨이퍼를 배치하지 않는 경우에는, 이 클리닝에 의해서 정전 척의 표면이 깎일 수 있기 때문에, 웨이퍼에의 열의 전달률이 변화되어, 웨이퍼의 에칭 특성이 변화되게 된다.
이와 같이, 정전 척의 표면 상태 및 포커스 링의 형상은, 에칭 처리에 따른 소모에 의해서 변화가 생기고, 이에 의하여 에칭 특성에 변화를 끼치기 때문에, 정밀도 좋은 상태 관리가 필요하다. 그리고 형상이 허용 범위 밖에 있는 경우에는, 즉시 교환 등의 대응이 필요하게 된다.
그런데, 정전 척 및 포커스 링은 전술한 바와 같이 진공 분위기에 설치되어 있다. 이 진공 분위기 속에서 정전 척 및 포커스 링의 상태를 확인하기 위해서, 처리 용기 내에 센서를 설치하는 것이 고려되지만, 이 센서를 설치함으로써 플라즈마에 치우침이 생길 우려가 있다. 따라서 종래의 정전 척의 표면 상태와 포커스 링의 형상의 변화의 경향에 기초하여, 이들 정전 척 및 포커스 링이 사용 가능한 시간(수명)을 설정하고, 플라즈마 에칭 처리를 행하는 시간이 이 설정 시간을 넘은 때에, 처리 용기를 대기 개방하여 이들 정전 척 및 포커스 링을 교환하고 있었다. 또한, 웨이퍼에 에칭 특성의 변화가 확인된 때에 처리 용기를 개방하고, 정전 척 및 포커스 링의 상태를 확인하여, 형상이 허용 범위 밖에 있으면, 이들 정전 척 및 포커스 링을 교환하는 경우도 있었다.
그러나 에칭의 처리 조건의 차이에 따라 정전 척 및 포커스 링의 형상이 변화되는 정도가 다르기 때문에, 상기한 바와 같이 사용 가능한 시간을 설정하는 수법으로는, 정밀도 높게 정전 척 및 포커스 링의 상태를 관리하는 것이 어렵다. 또한, 웨이퍼의 에칭 특성의 변화를 확인하고 나서 정전 척 및 포커스 링을 교환하는 수법에서는, 웨이퍼가 낭비되어 버린다. 이에 따라, 장기간에 걸쳐 안정된 에칭 특성을 얻는 것이 어려웠다. 또한, 이들 수법에서는, 정전 척 및 포커스 링의 교환 시에 처리 용기를 대기 개방하기 때문에, 대기 개방 후에는, 처리 용기를 진공 배기하여, 원하는 진공도를 얻을 때까지 에칭 처리를 할 수 없다. 따라서 플라즈마 에칭 장치의 생산성이 저하할 우려가 있었다. 특허문헌 1에는, 이러한 플라즈마 에칭 장치를 갖춘 기판 처리 장치에 대해서 기재되어 있지만, 상기한 문제를 해결하는 수법에 대해서는 기재되어 있지 않다.
특허문헌 1: 일본 특허 공개 제2009-16447호 공보
본 발명은, 전술한 사정을 기초로 하여 이루어진 것으로, 그 목적은, 진공 처리실에 설치되는 기판 배치대의 표면부의 상태를 확인하거나 해당 표면부를 교환함에 따른 진공 처리의 정지 시간을 짧게 하고, 상기 표면부의 상태를 정밀도 높게 관리하는 것이다.
본 발명의 기판 처리 장치는, 기판이 반송되는 상압 분위기의 상압 반송실과,
상기 상압 반송실과 로드록실을 통해 접속되어, 기판에 대하여 진공 처리를 행하는 진공 처리실과,
상기 진공 처리실에 설치되고, 본체부와, 이 본체부에 대하여 착탈 가능한 표면부를 갖는 기판 배치대와,
상기 로드록실 또는 상압 반송실에 설치되고, 상기 표면부를 수납하기 위한 보관부와,
상압 반송실로부터 로드록실을 통해 진공 처리실로 기판을 반송하고, 또한 상기 보관부와 상기 진공 처리실의 본체부의 사이에서 상기 표면부를 반송하기 위한 반송 기구를 구비하는 것을 특징으로 한다.
본 발명의 구체적인 양태는 다음과 같다.
(1) 상기 로드록실과 상기 진공 처리실의 사이에 개재되는 진공 분위기의 진공 반송실이 설치된다.
(2) 상기 보관부는, 상기 로드록실 또는 상압 반송실에 설치되는 대신에, 상기 로드록실 및 진공 처리실과 구획되어 상기 진공 반송실에 접속되며,
상기 진공 반송실이 진공 분위기인 상태 그대로 상기 보관부의 내부를 진공 분위기로부터 상압 분위기로 할 수 있도록, 진공 반송실에 대한 상기 보관부의 개방 및 차단을 전환하는 게이트 밸브를 구비한다.
(3) 상기 표면부는 기판이 배치되는 배치면을 구비하고,
상기 보관부는, 상기 표면부를 유지하기 위한 유지부를 구비하고,
상기 반송 기구는, 기판을 상기 표면부에 배치된 상태로 보관부로부터 진공 처리실로 반송하는 것을 특징으로 한다.
(4) 상기 배치면의 미리 설정된 위치에 기판을 배치하기 위해서, 표면부 및 기판을 상기 유지부에 반송하기 전에 상기 유지부에 대한 정렬을 행하는 정렬 기구가 설치된다.
(5) 상기 진공 처리실은, 기판에 플라즈마 처리를 행하기 위한 것이다.
(6) 상기 표면부는, 기판을 흡착하기 위한 정전 척과, 기판의 외주를 포위하여, 플라즈마의 상태를 제어하기 위한 포커스 링 중 적어도 어느 하나를 포함한다.
(7) 상기 보관부는, 상호 구획된 제1 보관부와 제2 보관부를 구비하고,
상기 게이트 밸브는 제1 보관부 및 제2 보관부에 각각 설치되고, 상호 독립적으로 개폐될 수 있도록 구성되어 있다.
본 발명에 따르면, 진공 처리실에 설치되는 기판 배치대의 표면부를 본체부로부터 착탈 가능하게 구성하고, 로드록실 또는 대기 반송실에 설치되거나 또는 진공 반송실에 접속되는 보관부와의 사이에서 반송한다. 이에 의해서, 진공 처리실을 대기 개방하지 않더라도 상기 표면부를 교환할 수 있으므로, 상기 진공 처리실에서의 진공 처리의 정지 시간을 억제할 수 있다. 또한, 상기 표면부의 상태를 육안으로 확인하거나, 보관부에 각종의 센서를 설치하여 표면부의 상태를 확인할 수 있다. 따라서 표면부의 상태를 정밀도 높게 관리할 수 있고, 나아가서는 기판의 에칭 특성의 열화를 막을 수 있다.
도 1은 본 발명에 따른 기판 처리 장치의 종단 측면도이다.
도 2는 상기 기판 처리 장치에 설치되는 스토커의 종단 측면도이다.
도 3은 상기 스토커의 상부의 종단 정면도이다.
도 4는 상기 스토커의 횡단 평면도이다.
도 5는 상기 스토커에 설치되는 배치대의 사시도이다.
도 6은 상기 기판 처리 장치에 설치된 얼라인먼트 모듈의 종단 측면도이다.
도 7은 상기 기판 처리 장치에 설치된 얼라인먼트 모듈의 종단 측면도이다.
도 8은 상기 기판 처리 장치에 설치되는 플라즈마 에칭 모듈의 종단 측면도이다.
도 9는 상기 플라즈마 에칭 모듈에 설치되는 배치대의 사시도이다.
도 10은 상기 기판 처리 장치에서 처리가 행해지는 모습을 도시하는 공정도이다.
도 11은 상기 기판 처리 장치에서 처리가 행해지는 모습을 도시하는 공정도이다.
도 12는 상기 기판 처리 장치에서 처리가 행해지는 모습을 도시하는 공정도이다.
도 13은 상기 기판 처리 장치에서 처리가 행해지는 모습을 도시하는 공정도이다.
도 14는 상기 기판 처리 장치에서 처리가 행해지는 모습을 도시하는 공정도이다.
도 15는 상기 기판 처리 장치에서 처리가 행해지는 모습을 도시하는 공정도이다.
도 16은 상기 기판 처리 장치에서 처리가 행해지는 모습을 도시하는 공정도이다.
도 17은 상기 기판 처리 장치에서 처리가 행해지는 모습을 도시하는 공정도이다.
도 18은 상기 기판 처리 장치에서 처리가 행해지는 모습을 도시하는 공정도이다.
도 19는 상기 기판 처리 장치에서 처리가 행해지는 모습을 도시하는 공정도이다.
도 20은 기판 처리 장치에서의 다른 처리의 예를 도시하는 공정도이다.
도 21은 기판 처리 장치에서의 다른 처리의 예를 도시하는 공정도이다.
도 22는 기판 처리 장치에서의 다른 처리의 예를 도시하는 공정도이다.
도 23은 다른 기판 처리 장치의 구성을 도시하는 평면도이다.
도 24는 기판 처리 장치의 다른 반송 기구의 예를 도시하는 평면도이다.
도 25는 상기 반송 기구에 대응하는 배치대를 도시하는 사시도이다.
도 26은 상기 반송 기구에 있어서의 반송예를 도시하는 공정도이다.
도 27은 상기 플라즈마 에칭 모듈에 설치된 다른 배치대의 종단 측면도이다.
도 28은 상기 배치대의 평면도이다.
도 29는 상기 배치대의 종단 측면도이다.
도 30은 상기 배치대의 평면도이다.
도 31은 또 다른 배치대의 종단 측면도이다.
도 32는 상기 배치대의 종단 측면도이다.
(제1 실시형태)
본 발명의 실시형태에 따른 기판 처리 장치(1)의 구성에 관해서 도 1의 평면도를 참조하면서 설명한다. 기판 처리 장치(1)는, 반도체 장치 제조용의 기판인 웨이퍼(W)를 상기 기판 처리 장치(1)에 반입하기 위한 대기 반송실(11)과, 로드록실(12, 12)과, 진공 반송실(13)과, 예컨대 4개의 플라즈마 에칭 모듈(4)을 갖추고 있다. 대기 반송실(11)은, 로드록실(12, 12)을 통해 진공 반송실(13)에 접속되어 있다. 진공 반송실(13)에는, 로드록실(12, 12)로부터 구획되도록 플라즈마 에칭 모듈(4)이 접속되어 있다.
대기 반송실(11)은 대기 분위기로 구성되고, 그 정면에는 예컨대 25장의 웨이퍼(W)를 수납하는 캐리어(C)가 배치되는 캐리어 배치대(14)가 설치된다. 대기 반송실(11)의 정면벽에는, 상기 캐리어(C)가 접속되어 캐리어(C)의 덮개와 함께 개폐되는 게이트 도어(GT)가 설치된다. 대기 반송실(11)의 한쪽의 측면에는 보관부인 스토커(2)가 설치되고, 다른 쪽의 측면에는 정렬 기구를 이루는 얼라인먼트실(3)이 설치된다. 이들 스토커(2) 및 얼라인먼트실(3)에 대해서는 후술한다.
또한, 대기 반송실(11)에는 제1 반송 기구(15)가 설치되어 있고, 캐리어(C), 로드록실(12), 얼라인먼트실(3) 및 스토커(2)의 사이에서 웨이퍼(W)와 후술의 정전 척(51)과 포커스 링(52)을 전달한다. 제1 반송 기구(15)는, 베이스부(15a)와, 다관절의 아암(15b)과, 지지부(15c)를 구비한다. 아암(15b)의 기단측은 베이스부(15a)에 접속되고, 아암(15b)의 선단측은 지지부(15c)에 접속되어 있다. 베이스부(15a)는 가로 방향으로 이동 가능하고 또한 승강 가능하게 구성된다. 지지부(15c)는 평면도로 보아 U자형으로 형성되고, 웨이퍼(W), 정전 척(51) 및 포커스 링(52)을 지지한다.
로드록실(12)에는, 웨이퍼(W)가 배치되는 스테이지와, 승강 가능한 지지핀이 설치되고, 상기 지지핀에 의해 상기 제1 반송 기구(15)와, 후술의 제2 반송 기구(16)의 사이에서 웨이퍼(W)를 전달할 수 있다. 또한, 로드록실(12)에는, 도시하지 않는 진공 펌프와 리크 밸브가 설치되어, 대기 분위기와 진공 분위기가 전환된다. 즉, 대기 반송실(11)의 분위기가 대기 분위기로, 진공 반송실(13)의 분위기가 진공 분위기로 각각 유지되어 있기 때문에, 로드록실(12, 12)은, 이들 반송실 사이에서 웨이퍼(W)를 반송하기 위해서 분위기가 전환되게 된다.
진공 반송실(13)은 전술한 바와 같이 진공 분위기로 유지되고, 제2 반송 기구(16)를 갖추고 있다. 제2 반송 기구(16)는, 제1 반송 기구(15)와 대략 동일하게 구성되어 있지만, 하나의 베이스부에 대하여 각 2개의 아암 및 지지부가 설치된다. 제2 반송 기구(16)의 베이스부, 아암, 지지부는 각각 16a, 16b, 16c로서 나타내고 있다.
도면의 G는, 각 실 사이 및 플라즈마 에칭 모듈(4)과 진공 반송실의 사이를 구획하는 개폐 가능한 게이트 밸브(구획 밸브)이다. 통상, 게이트 밸브(G)는 폐쇄되어 있고, 각 실 사이 및 각 모듈과 진공 반송실(13)의 사이에서 웨이퍼(W)를 반송할 때에 개방된다.
계속해서, 스토커(2)에 관해서, 도 2의 종단 측면도 및 도 3의 횡단 평면도를 참조하면서 설명한다. 스토커(2)는 케이스(21)를 구비하고, 케이스(21)에는 제1 반송 기구(15)가 진입하기 위한 개구부(22)와, 이 개구부(22)를 개폐하는 셔터(23)가 설치된다. 상기 케이스(21) 내에는 플라즈마 에칭 모듈(4)에 있어서 웨이퍼(W)의 배치대(43)를 구성하는 정전 척(51)과, 포커스 링(52)이 각각 복수 수납되어 있다. 또한, 케이스(21)를 구성하며, 대기 반송실(11)과 반대측에 설치된 측벽(21a)은 예컨대 사용자가 정전 척(51) 및 포커스 링(52)의 상태를 육안으로 확인할 수 있도록 투명하게 구성되어 있다. 또한, 측벽(21a)은 착탈 가능하게 구성되며, 케이스(21) 내의 정전 척(51) 및 포커스 링(52)의 교환을 행할 수 있도록 되어 있다.
여기서, 도 4의 사시도도 참조하면서 정전 척(51) 및 포커스 링(52)의 구성에 관해서 설명한다. 정전 척(51)은, 플라즈마 에칭 모듈(4)에서의 처리 시에 웨이퍼(W)를 배치하여 흡착 유지하고 웨이퍼(W)에 열을 전달하는 역할을 하고, 원판형으로 형성되어 있다. 그리고 그 표면에는 단차가 형성되고, 중앙부(511)가 주연부(512)보다 높게 형성되어 있다. 중앙부(511)에는, 후술하는 지지핀(27)이 관통하는 구멍(513)과, 웨이퍼(W)의 처리 시에 웨이퍼(W)의 이면에 가스를 유통시키기 위한 구멍(514)이 정전 척(51)의 두께 방향으로 형성되어 있다. 주연부(512)에는 후술하는 지지핀(28)이 관통하는 구멍(515)이, 상기 두께 방향으로 마련된다. 이들 각 구멍(513, 515)은 3개씩 정전 척(51)의 주위 방향으로 배열되어 있다. 또한, 상기 구멍(514)은 다수 설치되어 있다. 도면 중 516은, 정전 척(51)의 외주부로부터 내측을 향해서 형성된 노치이다.
포커스 링(52)은 예컨대 웨이퍼(W)와 마찬가지로 실리콘에 의해 구성되고, 플라즈마 에칭 모듈(4)에서의 처리 시에 웨이퍼(W)의 주연부와 중앙부에서 플라즈마의 상태가 치우치는 것을 막는 역할을 하고, 링형으로 형성되어 있다. 포커스 링(52)의 표면에는 단차가 형성되고, 내주부(521)보다 외주부(522)가 높게 형성되어 있다. 포커스 링(52)의 재질로서는, 실리콘으로 한정되지 않고, 예컨대 이산화실리콘(SiO2)이나 탄화실리콘(SiC)에 의해 구성하여도 좋다. 포커스 링(52)은, 상기 정전 척(51)의 주연부(512)에 배치될 수 있도록 구성된다. 또한, 포커스 링(52)의 외주부(522)는 웨이퍼(W)의 외주를 둘러쌀 수 있는 크기로 구성되어 있다.
다시 스토커(2)를 설명하면, 케이스(21)의 상부측에는, 복수의 각 정전 척(51) 및 포커스 링(52)을 적층하여 지지하기 위한 선반(24)이 설치된다. 도 5는, 케이스(21)의 상부측을 이 케이스(21)의 개구부(22)측에서 본 종단면을 나타내고 있다. 도 5에 도시한 바와 같이, 선반(24)은 개구부(22)로부터 보아 좌우에 설치되고, 정전 척(51) 및 포커스 링(52)의 가장자리를 지지한다. 개구부(22)를 통하여 진입한 제1 반송 기구(15)는, 이들 정전 척(51) 및 포커스 링(52)의 이면을 지지하고, 해당 선반(24)으로부터 이들 정전 척(51) 및 포커스 링(52)을 수취할 수 있다.
선반(24)의 아래쪽에는, 도 2∼도 4에 도시한 바와 같이 원형의 유지부(25)가 설치된다. 상기 정전 척(51) 및 포커스 링(52)과, 캐리어(C)로부터 반송된 웨이퍼(W)는, 상기 유지부(25)에 반송되어 일체로 된다. 그리고 그와 같이 일체로 된 상태로 상기 제1 반송 기구(15) 및 제2 반송 기구(16)에 의해, 플라즈마 에칭 모듈(4)에 반송된다. 유지부(25)에는 이 유지부(25)의 두께 방향으로 형성된 3개의 구멍(26a; 도 2에서는 편의상 2개만 나타냄)이, 상기 유지부(25)의 주위 방향으로 배치되어 있다. 각 구멍(26a)에는 정전 척(51)의 이면을 지지하는 지지핀(26)이 설치되고, 각 지지핀(26)은 도 2에 도시하는 구동 기구(26b)에 의해 승강 가능하게 구성되어 있다.
구멍(26a)보다 유지부(25)의 중심측에, 3개의 구멍(27a)이 구멍(26a)과 마찬가지로 배치되어 있다. 각 구멍(27a)에는 지지핀(27)이 설치되고, 각 지지핀(27)은 구동 기구(27b)에 의해 승강 가능하게 구성되어 있다. 도 4에 도시한 바와 같이, 상기 지지핀(26)은, 정전 척(51)의 구멍(513)을 통해 웨이퍼(W)의 이면을 지지한다. 또한, 구멍(26a)보다 유지부(25)의 외측에는, 3개의 구멍(28a)이 구멍(26a)과 마찬가지로 배치되어 있다. 각 구멍(28a)에는 지지핀(28)이 설치되고, 각 지지핀(28)은 구동 기구(28b)에 의해 승강 가능하게 구성되어 있다. 도 4에 도시한 바와 같이, 상기 지지핀(28)은, 정전 척(51)의 구멍(515)을 통해 포커스 링(52)의 이면을 지지한다.
계속해서 도 6, 도 7을 참조하면서, 얼라인먼트실(3)의 구성에 관해서 설명한다. 얼라인먼트실(3)에는 웨이퍼(W), 정전 척(51) 및 포커스 링(52)이 각각 배치되는 수평의 회전 스테이지(31)가 설치된다. 이 회전 스테이지(31)는 이들 웨이퍼(W), 정전 척(51) 및 포커스 링(52)을 진공 흡착하여, 수평으로 지지한다. 도 6은 회전 스테이지(31)에 정전 척(51)을 배치한 상태를, 도 7은 회전 스테이지(31)에 포커스 링(52)을 배치한 상태를 각각 나타내고 있다.
회전 스테이지(31)는 구동 기구(32)에 의해, 수평을 유지한 채로 수직축 주위에서 회전한다. 회전 스테이지(31)의 아래쪽에는 회전 스테이지(31)의 주위 방향으로, 예컨대 3개(도면에서는 편의상 2개만 도시)의 지지핀(33)이 설치된다. 지지핀(33)은 승강 기구(34)에 의해 승강하여, 회전 스테이지(31)의 두께 방향으로 마련된 구멍(35)을 통해 회전 스테이지(31) 상으로 돌출한다. 이 지지핀(33)에 의해 회전 스테이지(31)와 제1 반송 기구(15)의 사이에서, 웨이퍼(W), 정전 척(51) 및 포커스 링(52)의 전달이 실행된다.
회전 스테이지(31)의 외측 상부에는 투광부(36)가 설치되고, 그 아래쪽에는 수광부(37)가 설치된다. 도 6에 도시한 바와 같이, 정전 척(51)을 배치한 회전 스테이지(31)가 회전하면서, 투광부(36)로부터 수광부(37)로의 투광이 행해진다. 그리고 정전 척(51)의 주연부로 차단되지 않고 수광부(37)에 비추어진 광량의 변화에 기초하여, 후술의 제어부(100)는, 회전 스테이지(31) 상의 정전 척(51)의 중심 위치와 노치(516)의 방향을 검출하고, 회전 스테이지(31)를 회전시켜 노치(516)를 소정의 방향으로 되게 한다. 웨이퍼(W)에 대해서도 동일한 처리를 행함으로써, 제어부(100)는, 웨이퍼(W)의 중심 위치를 검출할 수 있다. 포커스 링(52)에 대해서도 동일한 처리를 행하여, 제어부(100)는 포커스 링(52)의 중심 위치를 검출한다.
제1 반송 기구(15)는, 상기한 바와 같이 중심 위치의 검출 및 방향의 조정이 행해진 각 부재를, 검출된 중심 위치가 제1 반송 기구(15)의 지지부(15c)에 대하여 소정의 위치에 위치하도록 수취한다. 정전 척(51)을 그와 같이 전달함으로써, 정전 척이 유지부(25)에 배치된 때에 전술한 각 구멍의 위치와 상기 유지부(25)의 각 지지핀의 위치가 정렬된다. 또한, 플라즈마 에칭 모듈(4)에 반송된 때에는, 정전 척(51)에 있어서의 구멍(513)의 위치, 후술하는 정전 척(51)의 하면의 전극(518)의 위치, 가스가 유통하기 위한 구멍(514)의 위치를, 후술하는 본체부(44)의 지지핀(46)의 위치, 표면 전극(531)의 위치, 가스 토출구(48)의 위치에 대하여 각각 정렬할 수 있다. 즉, 이 지지부(15c)에 대하여 정렬되면, 유지부(25) 및 플라즈마 에칭 모듈(4)에 대해서도 정렬되게 된다. 포커스 링(52) 및 웨이퍼(W)도 상기한 바와 같이 중심 위치에 기초하여 전달함으로써, 정전 척(51)에 정확히 배치될 수 있다.
계속해서, 플라즈마 에칭 모듈(4)에 관해서 도 8의 종단 측면도를 참조하면서 설명한다. 플라즈마 에칭 모듈(4)은, 마그네트론 방식의 반응성 이온 에칭 장치이다. 플라즈마 에칭 모듈(4)은, 기밀한 처리 용기(41)를 구비한다. 처리 용기(41)에는, 에칭을 행하기 위한 처리 가스를 도입하는 가스 샤워 헤드를 겸한 상부 전극(42)과 하부 전극을 겸한 배치대(43)가 서로 대향하여 설치된다.
배치대(43)는 예컨대 원형으로 형성된 본체부(44)와, 전술한 정전 척(51) 및 포커스 링(52)으로 구성되어 있고, 정전 척(51) 및 포커스 링(52)은 본체부(44)의 표면에 설치된다. 본체부(44)에는 3개의 구멍(45a)이 상기 본체부(44)의 두께 방향으로 형성되어 있고(편의상 도 8에서는 2만 도시함), 각 구멍(45a)은 본체부(44)의 주위 방향으로 배열되어 있다. 각 구멍(45a)에는 지지핀(45)이 설치되고, 처리 용기(41)의 아래쪽에 설치되는 승강 기구(45b)에 의해 승강 가능하게 구성되어 있다. 이에 의하여, 도 9에 도시한 바와 같이, 제2 반송 기구(16)와 본체부(44)의 사이에서, 상기 스토커(2)의 유지부(25)와 일체로 된 웨이퍼(W), 정전 척(51) 및 포커스 링(52)이 전달된다. 이후, 이 일체로 된 웨이퍼(W), 정전 척(51) 및 포커스 링(52)을 피반송체(50)로 기재한다.
또한, 본체부(44)에는 그 두께 방향으로 3개의 구멍(46a)이 형성되어 있고, 이 구멍(46a)은 구멍(45a)보다 본체부(44)의 내측에, 상기 본체부(44)의 주위 방향으로 배치되어 있다. 각 구멍(46a)에는 지지핀(46)이 설치되고, 처리 용기(41)의 아래쪽에 설치되는 승강 기구(46b)에 의해 승강 가능하게 구성되어 있다. 정전 척(51) 및 포커스 링(52)이 본체부(44)에 배치된 상태로, 이 지지핀(46)에 의해 웨이퍼(W)를 밀어 올려, 제2 반송 기구(16)와 배치대(43)의 사이에서 해당 웨이퍼(W)를 전달할 수 있다. 도 8의 47은 처리 용기(41) 내의 기밀성을 유지하기 위한 벨로우즈이다.
본체부(44)에는 도시하지 않은 히터가 설치되어 있고, 정전 척(51)을 통해 웨이퍼(W)가 해당 히터의 열에 의해 온도 조절된다. 또한, 본체부(44)에는 전열용 가스 공급부(48a)에 접속된 가스 토출구(48)가 설치된다. 이 가스 토출구(48)로부터 토출되는, 예컨대 헬륨 가스로 이루어지는 전열용 가스는, 정전 척(51)의 구멍(514)을 통해 해당 정전 척(51)과 웨이퍼(W) 사이의 미세한 간극에 공급되어 웨이퍼(W)로의 전열을 행한다. 또한, 본체부(44)에는 정합기(49a)를 통해 바이어스용의 전력을 인가하는 고주파 전원부(49b)가 접속되어 있다.
여기서, 상기 정전 척(51)의 구성에 관해서 보충하면서, 본체부(44)의 구성에 관해서 설명한다. 정전 척(51)의 표면은 예컨대 세라믹스에 의해 구성되고, 그 내부에는 평판형의 주전극(517; main electrode)이 설치된다. 이 주전극(517)으로부터 아래쪽을 향하도록 인출 전극(518)이 설치된다. 이 인출 전극(518)은 정전 척(51)의 하면에 노출되어 있다. 본체부(44)의 표면에는, 상기 인출 전극(518)에 대응하는 위치에 표면 전극(531)이 설치되고, 표면 전극(531)은 직류 전원(532)에 접속되어 있다. 정전 척(51)이 본체부(44)에 배치된 때에는, 인출 전극(518)과 표면 전극(531)이 중첩되고, 직류 전원(532)으로부터 주전극(517)에 직류 전압이 인가되어, 정전력에 의해 웨이퍼(W)가 정전 척(51)의 표면에 정전 흡착된다.
또한 본체부(44)의 측방에는 서로 쌍을 이루는 압박 부재(534, 534)가 상기 본체부(44)를 사이에 유지하도록 설치된다. 이 압박 부재(534)는, 정전 척(51)을 사이에 유지하여, 전술한 전열용 가스의 압력에 의한 부상(浮上)을 막는다. 압박 부재(534)는, 본체부(44)의 측부 둘레를 따라서 설치된 기립판의 상부측이 본체부(44)측으로 90° 굴곡되도록 형성된다. 상기 상부측은 압박부(535)로서 도시되어 있다. 본체부(44)의 측부 둘레에는, 해당 본체부(44)의 직경 방향으로 연장하여 압박 부재(534)를 지지하는 지지 부재(536)가 설치된다. 본체부(44)에 설치된 도시되지 않는 구동 기구에 의해, 상기 압박부(535)가 상기 지지 부재(536)를 통해 본체부(44)의 직경 방향으로 이동하여, 정전 척(51)을 좌우로부터 압박하여 고정한다.
계속해서, 처리 용기(41)에 관해서 설명한다. 처리 용기(41)의 바닥부에는 배기관(53)이 접속되어, 진공 펌프(54)에 의해 처리 용기(41) 내가 진공 배기된다. 또한, 처리 용기(41)의 측벽에는, 피반송체(50)를 반송하는 반송구가 설치되고, 전술한 게이트 밸브(G)에 의해 개폐된다. 또한, 처리 용기(41)의 외주측에는, 처리 분위기에 소정의 자장을 형성하기 위해서, 예컨대 다수의 영구 자석을 링형으로 배열하여 이루어지는 자석부(55, 55)가 상하로 설치된다.
상기 상부 전극(42)의 하면측에는 다수의 가스 토출구(56)가 형성되고, 상부 전극(42) 내의 버퍼실(56a)에 연통하고 있다. 가스 공급부(57)로부터 버퍼실(56a)에 공급된 각종의 가스는, 가스 토출구(56)로부터 웨이퍼(W)를 향해서 토출된다. 또한, 상부 전극(42)에는, 정합기(58a)를 통해 고주파 전력을 공급하기 위한 고주파 전원부(58)가 접속되어 있다. 도면의 41b는 절연 부재(41b)이며, 상부 전극(42)과 처리 용기(41)의 측벽 부분을 절연한다.
상기 기판 처리 장치(1)는, 각 부의 동작을 제어하는 제어부(100)를 갖추고 있다. 제어부(100)는, 예컨대 도시하지 않은 CPU와 프로그램을 구비한 컴퓨터로 이루어진다. 상기 프로그램에는, 제1 반송 기구(15) 및 제2 반송 기구(16)에 의한 웨이퍼(W), 정전 척(51) 및 포커스 링(52)의 반송과, 얼라인먼트실(3)에서의 이들 각 부재의 정렬과, 각 모듈에서의 웨이퍼(W)의 에칭 처리 등의, 후술하는 기판 처리 장치(1)의 동작을 행하기 위해서 기판 처리 장치(1)의 각 부에 제어 신호를 송신하도록 스텝(명령)군이 짜여져 있다. 이 프로그램은, 예컨대 하드디스크, 콤팩트디스크, 광자기 디스크, 메모리 카드 등의 기억 매체에 저장되고, 이로부터 컴퓨터에 인스톨된다.
전술한 기판 처리 장치(1)의 작용에 관해서 설명한다. 우선, 진공 반송실(13) 내 및 각 플라즈마 에칭 모듈(4)의 처리 용기(41) 내가 진공 배기되어, 진공 분위기로 유지된다. 제1 반송 기구(15)가 스토커(2)의 선반(24)으로부터 정전 척(51)을 수취하고, 얼라인먼트실(3)의 회전 스테이지(31)에 반송한다. 그리고 전술한 바와 같이, 정전 척(51)의 중심과, 노치(516)의 방향이 검출되며, 노치(516)가 소정의 방향을 향하고, 검출된 중심이 소정의 위치에 위치하도록 제1 반송 기구(15)의 지지부(15c)에 정전 척(51)이 전달된다.
제1 반송 기구(15)가 스토커(2)의 유지부(25) 상에 정전 척(51)을 반송하면, 도 10에 도시한 바와 같이 지지핀(26)이 상승하여, 정전 척(51)의 이면을 지지한다. 상기 지지부(15c)가 유지부(25) 상으로부터 후퇴하면, 지지핀(26)이 하강하여, 유지부(25)의 표면에 정전 척(51)이 배치된다. 계속해서, 제1 반송 기구(15)가 스토커(2)의 선반(24)으로부터 포커스 링(52)을 수취하여, 얼라인먼트실(3)의 회전 스테이지(31)에 반송한다. 전술한 바와 같이, 포커스 링(52)의 중심이 검출되고, 이 중심이 제1 반송 기구(15)의 지지부(15c)에 대하여 소정의 위치에 위치하도록, 상기 지지부(15c)에 전달된다.
계속해서, 제1 반송 기구(15)가 스토커(2)의 유지부(25) 상으로 포커스 링(52)을 반송하며, 도 11에 도시한 바와 같이 정전 척(51)의 구멍(515)을 통해 지지핀(28)이 정전 척(51) 상에 돌출하여, 포커스 링(52)의 이면을 지지한다. 상기 지지부(15c)가 유지부(25) 상으로부터 후퇴하면, 지지핀(28)이 하강하여, 정전 척(51)의 주연부(512)의 표면에 포커스 링(52)이 배치된다.
계속해서, 캐리어(C)가 캐리어 배치대(14)에 배치되고, 대기 반송실(11)에 접속된다. 다음으로, 게이트 도어(GT) 및 캐리어(C)의 덮개가 열리고, 캐리어(C) 내의 웨이퍼(W)는 제1 반송 기구(15)에 의해서 대기 반송실(11)을 통해 얼라인먼트실(3)에 반입된다. 그리고 전술한 바와 같이, 웨이퍼(W)의 중심 위치가 검출된다. 그리고 웨이퍼(W)는 검출된 중심이 제1 반송 기구(15)의 지지부(15c)에 대하여 소정의 위치에 위치하도록 전달된다.
그리고 제1 반송 기구(15)의 지지부(15c)가 스토커(2)의 유지부(25) 상에 웨이퍼(W)를 반송하면, 도 12에 도시한 바와 같이 정전 척(51)의 지지핀(27)이 상승하여 웨이퍼(W)의 이면을 지지한다. 상기 지지부(15c)가 유지부(25) 상으로부터 후퇴하면, 지지핀(27)이 하강하여, 정전 척(51)의 중앙부(511) 상에 웨이퍼(W)가 배치되어, 피반송체(50)가 형성된다.
계속해서, 도 14에 도시한 바와 같이, 지지핀(26)이 피반송체(50)의 이면을 밀어 올려, 피반송체(50)가 제1 반송 기구(15)에 전달된다. 제1 반송 기구(15)는 대기 분위기로 유지된 로드록실(12)에 피반송체(50)를 반송한다. 그리고 이 로드록실(12)의 압력이 조정되어 실 내가 진공 분위기로 되면, 제2 반송 기구(16)의 지지부(16c)가 피반송체(50)를 수취하고, 진공 반송실(13)을 통해 플라즈마 에칭 모듈(4)의 본체부(44) 상에 반송한다. 도 15에 도시한 바와 같이, 지지핀(45)이 상승하여, 피반송체(50)의 이면을 지지한 후, 제2 반송 기구(16)가 플라즈마 에칭 모듈(4) 내로부터 후퇴한다. 지지핀(45)이 하강하여 본체부(44) 상에 피반송체(50)가 배치되어 배치대(43)가 형성된다. 압박 부재(534)가 피반송체(50)의 정전 척(51)을 사이에 유지하고, 그 압박력에 의해 해당 정전 척(51)이 본체부(44)에 고정되며, 정전 척(51)에 전압이 인가되어 웨이퍼(W)가 정전 척(51)에 흡착되어 고정된다.
처리 용기(41) 내가 소정의 진공도로 유지되고, 상부 전극(42)으로부터 처리 가스, 예컨대 C4F8 가스, CO 가스, O2 가스 및 Ar 가스로 이루어지는 혼합 가스를 공급한다. 그리고 상부 전극(42) 및 배치대(43)에 각각 고주파가 인가되어, 공급된 처리 가스가 플라즈마화되고, 도 16에 화살표로 도시한 바와 같이 웨이퍼(W)에 인입되어, 웨이퍼(W)의 표면의 피에칭막, 예컨대 이산화실리콘(SiO2)막이 에칭된다.
소정 시간의 에칭이 행해지면, 고주파의 인가 및 처리 가스의 공급이 정지되고, 정전 척(51)의 구멍(513)을 통해 돌출된 지지핀(47)에 의해 웨이퍼(W)의 이면이 밀어 올려지며, 제2 반송 기구(16)의 지지부(16c)에 전달된다(도 17). 웨이퍼(W)가 진공 분위기로 유지된 로드록실(12)에 반입됨에 따라, 로드록실(12)의 압력이 상승하여 대기 분위기로 된다. 그리고 상기 웨이퍼(W)는 제1 반송 기구(15)에 전달되고, 캐리어(C)에 복귀된다.
캐리어(C)로부터는 후속의 웨이퍼(W)가 인출되고, 후속의 웨이퍼(W)는 피반송체(50)로서 반송된 전술한 웨이퍼(W)와 마찬가지로 얼라인먼트실(3)에 반송되고, 그 중심의 위치가 조정되어 제1 반송 기구(15)에 전달된다. 그리고 스토커(2)를 통하지 않고 로드록실(12) 및 진공 반송실(13)을 통해 플라즈마 에칭 모듈(4)에 반송되어, 전술한 바와 같이 에칭 처리된다. 처리 후에는, 선행의 웨이퍼(W)와 마찬가지로 캐리어(C)에 복귀된다.
예컨대 플라즈마 에칭 모듈(4)에서 소정 매수의 웨이퍼(W)가 처리되어, 웨이퍼(W)가 반출되면, 상부 전극(42)으로부터 예컨대 클리닝용 가스로서 O2 가스가 공급된다. 그리고 상부 전극(42) 및 배치대(43)에 각각 고주파가 인가되어, 공급된 클리닝용 가스가 플라즈마화되어 배치대(43)에 인입된다(도 18). 이 플라즈마에 의해 배치대(43)나 처리 용기(41)의 내벽에 퇴적된 퇴적물이 제거되며, 소정 시간 동안 플라즈마의 생성이 행해지면, 고주파의 인가 및 클리닝용 가스의 공급이 정지된다. 이 클리닝 처리는, 예컨대 소정 로트를 처리한 후에, 다음 로트를 처리하기 전에 행해진다.
그리고 예컨대 미리 설정한 매수의 웨이퍼(W)가 처리되면, 도 19에 도시한 바와 같이 압박 부재(534)에 의한 정전 척(51)의 본체부(44)에 대한 고정이 해제되고, 지지핀(45)이 피반송체(50)를 밀어 올린다. 피반송체(50)는 진공 반송실(13) 및 로드록실(12)을 통해 대기 반송실(12)에 전달되고, 스토커(2)의 유지부(25)에 배치된 후에, 조립 시와는 반대의 동작으로 웨이퍼(W), 정전 척(51) 및 포커스 링(52)으로 분해된다. 그리고 웨이퍼(W)는 캐리어(C)에 복귀되고, 정전 척(51) 및 포커스 링(52)은 선반(24)에 복귀된다.
그 후는, 스토커(2)에 유지되어 있던 새로운 정전 척(51)과 포커스 링(52)이 유지부(25)에 반송되고, 새롭게 장치 내에 반입된 웨이퍼(W)와 일체로 되어 피반송체(50)를 구성하며, 플라즈마 에칭 모듈(4)에 반송되어, 플라즈마 에칭 모듈(4)에 의한 처리가 재개된다. 이 플라즈마 에칭 모듈(4)에 있어서의 정전 척(51) 및 포커스 링(52)의 교환은, 예컨대 상기 클리닝 처리와 마찬가지로 소정 로트의 처리 후에, 다음 로트를 처리하기 전에 행해진다. 이와 같이 새로운 정전 척(51) 및 포커스 링(52)에 의해 처리가 행해지고 있는 사이에, 사용자는 플라즈마 에칭 모듈(4)로부터 스토커(2)에 복귀된 정전 척(51) 및 포커스 링(52)의 형상을 확인하여, 필요에 따라 교환한다.
상기 기판 처리 장치(1)에 따르면, 정전 척(51) 및 포커스 링(52)이 플라즈마 에칭 모듈(4)의 배치대(43)에 대하여 착탈 가능하게 구성되고, 사용되지 않을 때에는 대기 분위기의 스토커(2)에 반송된다. 따라서 이들 정전 척(51) 및 포커스 링(52)의 표면 상태를 확인하기 위해서 플라즈마 에칭 모듈(4)의 처리 용기(41) 내를 대기 개방할 필요가 없으므로, 기판 처리 장치(1)의 스루풋의 저하를 막을 수 있다. 또한, 정전 척(51) 및 포커스 링(52)이 처리 용기(41)의 외부로 반출되기 때문에, 상기 표면 상태를 용이하게 확인할 수 있다. 결과적으로, 정밀도 높게 형상 관리를 행하여, 교환 시기를 정밀도 높게 파악할 수 있으므로, 이들의 형상이 허용 레벨을 넘은 상태로 사용되는 것을 막고, 그 결과로서 웨이퍼(W)의 에칭 특성의 열화를 막을 수 있다.
상기한 예에서는, 웨이퍼(W), 정전 척(51), 포커스 링(52)을 각각 개별적으로 플라즈마 에칭 모듈(4)에 반송하여 에칭 처리가 행해지도록 하여도 좋다. 다만, 상기한 바와 같이, 이들을 피반송체(50)로서 일괄적으로 반송하는 쪽이, 제1 반송 기구(15) 및 제2 반송 기구(16)의 동작 공정이 적어지고, 로드록실(12)의 분위기의 교체 횟수도 적어지기 때문에, 스루풋(throughput)을 향상시킬 수 있다.
상기한 예에 있어서, 정전 척(51)과 포커스 링(52)의 반송 빈도를 서로 다르게 할 수도 있다. 예컨대 플라즈마 에칭 모듈(4)의 배치대(43)에, 스토커(2)의 지지핀(28)에 상당하는, 포커스 링(52)을 정전 척(51)과 독립적으로 밀어 올리는 지지핀을 설치한다. 그리고 소정 매수의 웨이퍼(W)를 처리한 후, 상기 지지핀에 의해, 정전 척(51)을 본체부(44)에 고정한 채로 포커스 링(52)만을 밀어 올려, 제2 반송 기구(16)에 전달하여, 포커스 링(52)이 스토커(2)에 복귀된다. 그리고 스토커(2)로부터는 새로운 포커스 링(52)이, 플라즈마 에칭 모듈(4)에 반송되고, 상기 지지핀에 전달된다. 그리고 소정 매수의 웨이퍼(W)를 처리한 후에는, 상기한 바와 같이 피반송체(50)를 플라즈마 에칭 모듈(4)로부터 반출한다. 이와 같이, 정전 척(51)과 포커스 링(52)에 대해서 반송 빈도를 개별적으로 설정함으로써, 얼라인먼트실(3)에 있어서의 얼라인먼트의 횟수나 제1 반송 기구(15)에 있어서의 피반송체(50)를 분해하기 위한 동작 공정을 억제할 수 있기 때문에, 스루풋의 향상을 꾀할 수 있다.
상기한 예에 있어서, 스토커(2)의 내부를 육안으로 볼 수 있게 구성하는 대신에, 스토커(2)에 정전 척(51) 및 포커스 링(52)의 형상을 검출하기 위한 센서를 설치하더라도 좋다. 이러한 센서는, 플라즈마 에칭 모듈(4)의 처리 용기(41)의 외부에 설치되기 때문에, 해당 처리 용기(41) 내에서의 플라즈마 에칭 처리를 방해하는 일이 없으므로, 그 설치가 용이하다. 이 센서로서는, 광간섭, 원자간력, 전자선, X선 또는 전자력(電磁力) 등을 이용한 센서가 설치될 수 있다. 또한, 스토커(2)의 케이스(21) 내에 카메라를 설치하고, 촬상된 화상이 제어부(100)를 구성하는 표시부에 표시되도록 구성하며, 그 화상에 기초하여 예컨대 사용자가 교환 시기를 판정하도록 하여도 좋다. 센서와 마찬가지로, 상기 카메라도 처리 용기(41)의 외부에 설치되기 때문에, 그 설치가 용이하다.
또한, 정전 척(51) 및 포커스 링(52) 등의 부품에는 처리 조건마다 적절한 형상이나 상태가 있지만, 각 처리에 특화된 형상, 상태의 정전 척(51) 및 포커스 링(52)을 스토커(2)에 수납해두고, 처리 용기(41)에 공급되는 가스나 처리 용기 내의 압력 등의 처리 조건을 바꿀 때마다, 그 처리 조건에 따른 정전 척(51) 및 포커스 링(52)을 선택하여, 플라즈마 에칭 모듈(4)에 반송하더라도 좋다. 이에 의해서, 종래보다도 좋은 에칭 특성을 얻을 수 있다. 구체적으로는, 예컨대 포커스 링(52)의 외주부(522)의 높이나 직경의 크기, 재질이 각각 다른 것을 스토커에 저장해 둔다. 제어부(100)를 구성하는 메모리에는, 각 포커스 링(52)이 놓여진 선반(24)의 위치와, 처리 조건이 대응하여 기억되어 있다. 그리고 사용자가 웨이퍼의 로트에 대해서 처리 조건을 지정하면, 제1 반송 기구(15)가, 그 처리 조건에 대응하는 선반(24)의 포커스 링(52)을 수취하여, 전술한 바와 같이 피반송체(50)가 형성되어, 플라즈마 에칭 모듈(4)에서 처리가 행해진다.
(제1 실시형태의 변형예)
상기한 실시형태에서는, 정전 척(51) 및 포커스 링(52)이 스토커(2)의 수납 시에 분리되어 있지만, 이들을 미리 접합하여 일체로 한 표면부(61)로서 구성하고, 이 표면부(61)를 스토커(2)의 선반(24)에 저장해 두더라도 좋다. 이 경우에도, 표면부(61)는, 마찬가지로 유지부(25) 상에서 웨이퍼(W)와 일체로 된다. 또한, 표면부(61)의 외주에는, 예컨대 제1 실시형태에 있어서의 정전 척(51)의 노치(516)에 해당하는 도시하지 않은 노치가 마련된다. 이 노치에 의해, 얼라인먼트실(3)에서 제1 반송 기구(15)에 표면부(61)가 전달될 때에, 상기 표면부(61)의 방향이 조정된다.
얼라인먼트실(3)에서 제1 반송 기구(15)의 지지부(15c)에 대하여 정렬된 표면부(61; 도 20)가 지지핀(26)을 통해 스토커(2)의 유지부(25)에 전달된 후에, 제1 실시형태와 마찬가지로 웨이퍼(W)가 스토커(2)에 반송되어(도 21), 피반송체(50)가 형성된다. 피반송체(50)는, 제1 실시형태와 마찬가지로 지지부(15c)에 전달되고(도 22), 플라즈마 에칭 모듈(4)에 반송된다. 플라즈마 에칭 모듈(4)에서의 처리 후에는, 제1 실시형태와 마찬가지로, 피반송체(50)는, 상기 유지부(25)에 복귀된다. 그리고 웨이퍼(W)가 표면부(61)로부터 분리되어 캐리어(C)에 복귀되고, 표면부(61)는 스토커(2)의 선반(24)에 복귀된다. 이 변형예에서는, 제1 실시형태보다도 피반송체(50)를 형성하기 위해서 행하는 제1 반송 기구(15)의 동작 및 얼라인먼트실(3)의 얼라인먼트의 횟수를 적게 할 수 있기 때문에, 보다 높은 스루풋을 얻을 수 있다.
(제2 실시형태)
제2 실시형태로서, 스토커(2)를 진공 반송실(13)에 접속한 예를 도 23에 도시한다. 도 23의 기판 처리 장치(6)에서는, 스토커(2)가 2개 설치된다. 각 스토커(2)는, 제1 실시형태와 동일하게 구성되어 있지만, 셔터(23) 대신에, 플라즈마 에칭 모듈(4)과 마찬가지로 게이트 밸브(구획 밸브; G)를 갖추고 있다. 또한, 케이스(21) 내에는 해당 케이스(21) 내를 진공 배기하여 진공 분위기로 유지하는 배기구와, 케이스(21) 내를 진공 분위기로부터 대기 분위기로 복귀시키기 위해서 에어를 공급하는 에어 공급구가 설치된다.
제2 실시형태에서는, 얼라인먼트실(3)이 진공 반송실(13)에 접속되어 설치된다. 얼라인먼트실(3)은, 제1 실시형태와 대략 동일하게 구성되어 있지만, 그 내부가 진공 분위기로 유지되어 있다. 또한, 진공 분위기 속에서 정전 척(51)이나 포커스 링(52)을 흡착할 수 있도록, 회전 스테이지(31)는 이들을 진공 흡착하는 대신에, 정전 흡착하도록 구성되어 있다. 다만, 이와 같이 정전 흡착을 하는 대신에, 회전 스테이지(31)의 표면 전체 혹은 표면의 일부를, 예컨대 고무 등과 같이, 포커스 링(52), 정전 척(51) 및 웨이퍼(W)의 각 부재에 대한 마찰 계수가 높은 재질로 피복하여, 회전 스테이지(31)의 회전 시의 원심력에 의한 위치 어긋남을 막아도 좋다. 또한, 이들의 위치 어긋남을 막기 위한 기구나 부재를 설치하는 대신에, 상기 원심력에 의한 위치 어긋남이 일어나지 않도록 하는 낮은 속도로 회전 스테이지(31)를 회전시켜도 좋다.
제2 실시형태에 있어서의 처리는, 정전 척(51) 및 포커스 링(52)의 반송 경로가 스토커(2) → 얼라인먼트실(3) → 스토커(2)로 되는 것과, 캐리어(C)로부터 반송된 웨이퍼(W)가, 로드록실(12) → 진공 반송실(13) → 얼라인먼트실(3) → 스토커(2)로 되는 것과, 스토커(2)에서 형성된 피반송체(50)의 반송 경로가 진공 반송실(13) → 플라즈마 에칭 모듈(4)로 되는 것을 제외하고는 제1 실시형태와 동일하다.
제2 실시형태의 기판 처리 장치(6)에서는, 2개 설치된 스토커(2) 중 한쪽씩, 내부의 정전 척(51) 및 포커스 링(52)의 형상의 확인이나 이들의 교환이 행해진다. 한쪽의 스토커(2)의 게이트 밸브(G)가 폐쇄되어, 다른 각 실의 진공도에 끼치는 영향을 억제한 상태로, 이 한쪽의 스토커(2)의 케이스(21) 내의 진공 배기를 정지하는 것과 함께 케이스(21) 내에 대기를 공급하여, 케이스(21) 내를 대기 분위기로 복귀시킨다. 그리고 케이스(21)의 측벽(21a)을 분리하여, 상기 형상의 확인이나 교환을 행한다. 그 후, 다시 케이스(21) 내를 진공 배기하여 진공 분위기로 복귀시킨다. 이와 같이 한쪽의 스토커(2)에서 정전 척(51) 및 포커스 링(52)의 확인 및 교환을 행하는 동안에, 다른 쪽의 스토커(2)의 정전 척(51) 및 포커스 링(52)을 이용하여 처리가 행해진다.
제2 실시형태에 있어서는 정전 척(51) 및 포커스 링(52)을 플라즈마 에칭 모듈(4) 내로부터 반출하여, 이들의 형상을 확인할 수 있기 때문에, 제1 실시형태와 마찬가지로 플라즈마 에칭 모듈(4)의 처리 용기(41) 내를 대기 개방할 필요가 없다. 따라서 장치의 생산 효율의 저하를 억제할 수 있다. 또한, 스토커(2)를 2기 설치하여, 한쪽의 스토커(2)를 대기 개방하는 사이에, 다른 쪽의 스토커(2)에서는 피반송체(50)의 형성과 반송을 계속 실행함으로써 보다 확실하게 장치의 생산 효율의 저하를 억제할 수 있다. 다만, 스토커(2)를 하나만 진공 반송실(13)에 접속하는 경우도, 플라즈마 에칭 모듈(4)에서 처리를 행하는 사이에, 스토커(2) 내를 대기 개방하여 상기 형상의 확인이나 교환을 행할 수 있기 때문에, 유효하다.
그런데, 각 실시형태에서 나타낸 구성은 서로 조합하여 이용될 수 있다. 예컨대, 제2 실시형태에서도, 스토커(2)에는 각종의 센서나 카메라를 설치할 수 있으며, 정전 척(51) 및 포커스 링(52)을 일체로 하여 스토커(2)에 저장해 두어도 좋다. 또한, 대기 반송실(11)에 하나의 스토커(2)를 설치하고, 또한 다른 스토커(2)를 진공 반송실(13)에 접속되도록 설치하여도 좋다.
여기서 제1 반송 기구(15) 및 제2 반송 기구(16)는, 특허청구의 범위에서 말하는 반송 기구에 해당한다. 이러한 반송 기구는 각 실 내에 분할되어 설치되어 각 부재를 반송하여도 좋고, 각 실 사이를 이동하여 각 부재를 반송하여도 좋다. 또한, 각 실시형태의 반송 기구의 지지부에 대해서는, 피반송체(50)를 반송하는 지지부와, 정전 척(51), 포커스 링(52) 및 웨이퍼(W)를 반송하는 지지부가 서로 다르도록 구성하더라도 좋다. 도 24는, 제1 실시형태에 있어서의 제1 반송 기구(15)의 다른 구성예를 도시한 것으로, 이 예에서는 베이스부(15a)에 다관절의 아암(15b)이 2기 설치된다. 한쪽의 아암(15b)의 선단에는 전술한 지지부(15c)가 설치되고, 다른 쪽의 아암(15b)의 선단측에는 지지부(15d)가 설치된다. 지지부(15d)는, 직사각형 판형으로 형성되어 있다. 지지부(15c)는, 제1 실시형태와 마찬가지로 정전 척(51), 포커스 링(52) 및 웨이퍼(W)를 반송한다. 지지부(15d)는 피반송체(50)를 반송한다.
또한, 스토커(2)의 유지부(25)에도 상기 지지부(15d)에 대응한 전달 기구를 설치할 수 있다. 도 25는, 그러한 유지부(25)를 나타내고 있으며, 이 유지부(25)의 표면에는 서로 병행하여 형성된 2개의 슬릿(71)이 마련된다. 그리고 이 슬릿(71)을 따라서 형성된 직선 부재(72, 72)가 승강 가능하게 설치되며, 유지부(25)의 표면에서 돌출하거나 함몰된다. 전술한 바와 같이, 유지부(25)에서 피반송체(50)가 형성된 후, 도 26에 도시한 바와 같이 직선 부재(72)가 상승하여 피반송체(50)를 밀어 올려, 상기 지지부(15d)에 전달한다. 플라즈마 에칭 모듈(4)로부터 복귀된 피반송체(50)를 유지부(25)에 전달할 때에도, 이와 같이 지지부(15d) 및 직선 부재(72)가 이용된다.
이와 같이 피반송체(50)와, 웨이퍼(W), 정전 척(51) 및 포커스 링(52)을 서로 다른 형상의 지지부(15c, 15d)에 의해 각각 반송하는 것은, 피반송물의 형상이나 무게에 따라서 적절한 형상의 지지부를 이용함으로써 이들 피반송물이 지지부로부터 낙하하는 것을 막기 위한 것이다. 또한, 제2 반송 기구(16)에 있어서도, 2개 설치되는 지지부(16c) 중의 한쪽을 지지부(15d)와 같은 형상으로 구성하여, 피반송체(50)를 반송하기 위한 전용의 지지부로서 구성하여도 좋다.
제1 실시형태에 있어서, 진공 반송실(13)이 설치되지 않고, 로드록실(12)에 플라즈마 에칭 모듈(4)이 직접 접속되어 있더라도 좋다. 이 경우, 예컨대 로드록실(12)에 제1 반송 기구(15)와 같은 반송 기구를 설치하여, 대기 반송실(11)과 플라즈마 에칭 모듈(4)의 사이에서 웨이퍼(W)를 전달한다. 또한, 스토커(2)에 수납되는 부재는, 정전 척(51) 및 포커스 링(52)으로 한정되지 않는다. 도시는 생략하고 있지만, 배치대(43)에는, 그 외주부가 에칭되는 것을 막기 위해서 보호 부품이 설치된다. 예컨대 해당 부품을 배치대(43)로부터 착탈할 수 있게 구성하고, 스토커(2)에 수납할 수 있도록 하여도 좋다. 또한, 스토커(2)는 로드록실(12)에 설치될 수도 있다. 또한, 진공 반송실(13)에 접속되는 모듈은 플라즈마 에칭 모듈로 한정되지 않고, 예컨대 처리 가스를 플라즈마화하여 웨이퍼(W)에 성막을 행하는 성막 모듈이라도 좋다.
계속해서, 플라즈마 에칭 모듈(4)에 있어서 정전 척(51)을 고정하는 다른 방법에 관해서 설명한다. 도 27 및 도 28에 도시하는 예에서는, 플라즈마 에칭 모듈(4)의 본체부(44)의 지지 부재(536)에 수직판(541)이 설치되고, 이 수직판(541)의 상부에는, 수평의 삽입판(542)이 본체부(44)측을 향하여 연장하도록 설치된다. 그리고 정전 척(51)의 측부 둘레에는, 이 삽입판(542)에 대응하여 홈부(543)가 마련된다. 본체부(44)에 피반송체(50)가 배치되면, 도 29 및 도 30에 도시한 바와 같이, 삽입판(542)의 단부가 홈부(543)에 삽입되어, 본체부(44)에 정전 척(51)이 고정된다.
또한, 도 31에는 그 표면에 오목부(540)가 마련된 본체부(44)에 대해서 도시하고 있다. 정전 척(51)의 하면에는 하측으로 연장되는 봉(544)이 설치되고, 본체부(44)에 피반송체(50)가 배치될 때에, 이 봉(544)이 오목부(540)에 진입하도록 구성되어 있다. 각 오목부(540)에는 봉(544)을 사이에 두고 대향하는 압박 부재(545)가 설치되어 있고, 이 압박 부재(545)가 봉(544)의 중심 방향을 향해서 이동하여, 봉(544)을 눌러 본체부(44)에 정전 척(51)이 고정된다.
C 캐리어
W 웨이퍼
1 기판 처리 장치
11 대기 반송실
12 로드록실
13 진공 반송실
2 스토커
21 케이스
24 선반
25 유지부
3 얼라인먼트실
4 플라즈마 에칭 모듈

Claims (8)

  1. 기판이 반송되는 상압 분위기의 상압 반송실과,
    상기 상압 반송실과 로드록실을 통해 접속되어, 기판에 대하여 진공 처리를 행하는 진공 처리실과,
    상기 진공 처리실에 설치되고, 본체부와, 이 본체부에 대하여 착탈 가능한 표면부를 갖는 기판 배치대와,
    상기 표면부를 수납하기 위한 보관부로서, 상기 보관부는 측벽을 구비하는 케이스를 포함하고, 상기 측벽은 상기 케이스에 대해 착탈 가능하게 구성되며 상기 케이스 내에 수납된 상기 표면부의 확인이 가능하도록 투명하게 구성된 것인, 상기 보관부와,
    상압 반송실로부터 로드록실을 통해 진공 처리실에 기판을 반송하고, 상기 보관부와 상기 진공 처리실의 본체부의 사이에서 상기 표면부를 반송하기 위한 반송 기구와,
    상기 표면부 및 기판을, 반송하기 전에 정렬시키는 정렬 기구가 설치된 얼라인먼트실과,
    상기 로드록실과 상기 진공 처리실 사이에 개재되는 진공 분위기의 진공 반송실을 포함하고,
    상기 보관부와 상기 얼라인먼트실은 상기 로드록실 및 진공 처리실과 구획되어 상기 진공 반송실에 접속되는 것을 특징으로 하는 기판 처리 장치.
  2. 제1항에 있어서, 상기 진공 반송실이 진공 분위기인 상태 그대로 상기 보관부의 내부를 진공 분위기로부터 상압 분위기로 할 수 있도록, 진공 반송실에 대한 상기 보관부의 개방 및 차단을 전환하는 게이트 밸브
    를 더 구비하는 것을 특징으로 하는 기판 처리 장치.
  3. 제2항에 있어서, 상기 보관부는, 서로 구획된 제1 보관부와, 제2 보관부를 구비하고,
    상기 게이트 밸브는 제1 보관부 및 제2 보관부에 각각 설치되고, 서로 독립적으로 개폐될 수 있도록 구성되는 것을 특징으로 하는 기판 처리 장치.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 표면부는 기판이 배치되는 배치면을 갖고,
    상기 보관부는, 상기 표면부를 유지하기 위한 유지부를 갖고,
    상기 반송 기구는, 기판을 상기 표면부에 배치된 상태로 보관부로부터 진공 처리실로 반송하는 것을 특징으로 하는 기판 처리 장치.
  5. 제4항에 있어서, 상기 정렬 기구는 상기 배치면의 미리 설정된 위치에 기판을 배치하기 위하여, 표면부 및 기판을 상기 유지부에 반송하기 전에 상기 유지부에 대한 정렬을 행하는 것을 특징으로 하는 기판 처리 장치.
  6. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 진공 처리실은, 기판에 플라즈마 처리를 행하기 위한 것인 것을 특징으로 하는 기판 처리 장치.
  7. 제6항에 있어서, 상기 표면부는, 기판을 흡착하기 위한 정전 척과, 기판의 외주를 포위하고, 플라즈마의 상태를 제어하기 위한 포커스 링 중 적어도 어느 하나를 포함하는 것을 특징으로 하는 기판 처리 장치.
  8. 삭제
KR1020120032177A 2011-03-31 2012-03-29 기판 처리 장치 KR101901460B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011079859A JP6003011B2 (ja) 2011-03-31 2011-03-31 基板処理装置
JPJP-P-2011-079859 2011-03-31

Publications (2)

Publication Number Publication Date
KR20120112164A KR20120112164A (ko) 2012-10-11
KR101901460B1 true KR101901460B1 (ko) 2018-09-21

Family

ID=46925688

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120032177A KR101901460B1 (ko) 2011-03-31 2012-03-29 기판 처리 장치

Country Status (5)

Country Link
US (4) US9799542B2 (ko)
JP (1) JP6003011B2 (ko)
KR (1) KR101901460B1 (ko)
CN (1) CN102738048B (ko)
TW (1) TWI509725B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102652834B1 (ko) 2020-08-07 2024-04-02 세메스 주식회사 용기 및 기판 처리 장치

Families Citing this family (203)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
JP5849232B2 (ja) * 2012-09-20 2016-01-27 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
CN103019045A (zh) * 2012-12-11 2013-04-03 清华大学 一种具有防撞功能的硅片台
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
KR101876501B1 (ko) * 2013-08-05 2018-07-10 어플라이드 머티어리얼스, 인코포레이티드 인-시츄 제거 가능한 정전 척
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP6213079B2 (ja) * 2013-09-09 2017-10-18 シンフォニアテクノロジー株式会社 Efem
TWI514501B (zh) * 2013-09-17 2015-12-21 Hon Tech Inc Electronic component moving mechanism and its application of the picking methods and test equipment
WO2015042302A1 (en) 2013-09-20 2015-03-26 Applied Materials, Inc. Substrate carrier with integrated electrostatic chuck
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
JP6224428B2 (ja) * 2013-11-19 2017-11-01 東京エレクトロン株式会社 載置台にフォーカスリングを吸着する方法
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
CN104743351B (zh) * 2013-12-30 2016-09-07 基准精密工业(惠州)有限公司 加工室
US9287151B2 (en) * 2014-01-10 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd Systems and method for transferring a semiconductor substrate
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6251124B2 (ja) * 2014-06-09 2017-12-20 株式会社荏原製作所 基板ホルダ用の基板着脱部及びこれを備えた湿式基板処理装置
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP2016046451A (ja) * 2014-08-26 2016-04-04 株式会社アルバック 基板処理装置及び基板処理方法
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
KR101598465B1 (ko) 2014-09-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 방법
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
DE202016104588U1 (de) * 2015-09-03 2016-11-30 Veeco Instruments Inc. Mehrkammersystem für chemische Gasphasenabscheidung
US20170115657A1 (en) * 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US9881820B2 (en) * 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10186438B2 (en) 2015-11-05 2019-01-22 Infineon Technologies Ag Method and apparatus for use in wafer processing
JP6539929B2 (ja) * 2015-12-21 2019-07-10 昭和電工株式会社 ウェハ支持機構、化学気相成長装置およびエピタキシャルウェハの製造方法
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP6799395B2 (ja) * 2016-06-30 2020-12-16 株式会社荏原製作所 基板ホルダ、電子デバイス製造装置において基板を搬送する搬送システム、および電子デバイス製造装置
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10541168B2 (en) * 2016-11-14 2020-01-21 Lam Research Corporation Edge ring centering method using ring dynamic alignment data
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP6812264B2 (ja) * 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP2018174186A (ja) * 2017-03-31 2018-11-08 東京エレクトロン株式会社 基板処理装置
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10763139B2 (en) * 2017-05-23 2020-09-01 Tokyo Electron Limited Vacuum transfer module and substrate processing apparatus
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
KR102423761B1 (ko) 2017-06-23 2022-07-20 어플라이드 머티어리얼스, 인코포레이티드 인덱서블 측면 저장 포드 장치, 가열식 측면 저장 포드 장치, 시스템들, 및 방법들
US10388547B2 (en) 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP2019053924A (ja) 2017-09-15 2019-04-04 東京エレクトロン株式会社 プラズマ処理装置
US11075105B2 (en) * 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
JP7029914B2 (ja) * 2017-09-25 2022-03-04 東京エレクトロン株式会社 基板処理装置
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10755955B2 (en) * 2018-02-12 2020-08-25 Applied Materials, Inc. Substrate transfer mechanism to reduce back-side substrate contact
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
WO2019208439A1 (ja) * 2018-04-26 2019-10-31 京セラ株式会社 フォーカスリング搬送部材およびこれを備えるプラズマ処理装置
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7090469B2 (ja) 2018-05-15 2022-06-24 東京エレクトロン株式会社 基板処理装置
JP7193933B2 (ja) * 2018-06-22 2022-12-21 株式会社ディスコ 被加工物の搬送方法
KR102433436B1 (ko) * 2018-07-04 2022-08-17 삼성전자주식회사 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN109192696B (zh) * 2018-08-10 2021-06-08 北京北方华创微电子装备有限公司 升降针系统、真空反应腔室以及半导体加工设备
JP7115942B2 (ja) * 2018-09-06 2022-08-09 東京エレクトロン株式会社 載置台、基板処理装置、エッジリング及びエッジリングの搬送方法
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
JP7210960B2 (ja) * 2018-09-21 2023-01-24 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US10790466B2 (en) * 2018-12-11 2020-09-29 Feng-wen Yen In-line system for mass production of organic optoelectronic device and manufacturing method using the same system
JP7154986B2 (ja) * 2018-12-11 2022-10-18 平田機工株式会社 基板搬送装置及び基板搬送システム
JP7126466B2 (ja) * 2018-12-12 2022-08-26 東京エレクトロン株式会社 基板処理システム、搬送方法、および搬送プログラム
JP7129325B2 (ja) * 2018-12-14 2022-09-01 東京エレクトロン株式会社 搬送方法及び搬送システム
CN111326387B (zh) * 2018-12-17 2023-04-21 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体刻蚀设备
JP7163764B2 (ja) * 2018-12-27 2022-11-01 株式会社Sumco 気相成長装置
JP7147551B2 (ja) * 2018-12-27 2022-10-05 株式会社Sumco 気相成長装置及びこれに用いられるキャリア
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7217636B2 (ja) * 2019-01-16 2023-02-03 東京エレクトロン株式会社 チャックトップ、検査装置、およびチャックトップの回収方法
JP7188250B2 (ja) * 2019-04-11 2022-12-13 株式会社Sumco 気相成長装置及びこれに用いられるキャリア
JP7188256B2 (ja) * 2019-04-18 2022-12-13 株式会社Sumco 気相成長方法及び気相成長装置
JP7099398B2 (ja) * 2019-04-18 2022-07-12 株式会社Sumco 気相成長方法及び気相成長装置
US20200354828A1 (en) * 2019-05-10 2020-11-12 Veeco Instruments Inc. Deposition system with integrated carrier cleaning modules
US20200373190A1 (en) * 2019-05-20 2020-11-26 Applied Materials, Inc. Process kit enclosure system
US10964584B2 (en) * 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
JP7345289B2 (ja) 2019-06-18 2023-09-15 東京エレクトロン株式会社 基板処理装置、基板処理システム及び基板搬送方法
KR102211252B1 (ko) * 2019-06-26 2021-02-04 세메스 주식회사 기판 처리 장치
US10916464B1 (en) 2019-07-26 2021-02-09 Applied Materials, Inc. Method of pre aligning carrier, wafer and carrier-wafer combination for throughput efficiency
JP2019186579A (ja) * 2019-07-31 2019-10-24 東京エレクトロン株式会社 プラズマ処理システム及びフォーカスリング交換方法
JP6719629B2 (ja) * 2019-07-31 2020-07-08 東京エレクトロン株式会社 プラズマ処理システム及び搬送方法
JP7349845B2 (ja) * 2019-08-13 2023-09-25 東京エレクトロン株式会社 基板処理システムにおける搬送方法
US11823937B2 (en) * 2019-08-19 2023-11-21 Applied Materials, Inc. Calibration of an aligner station of a processing system
US11469123B2 (en) * 2019-08-19 2022-10-11 Applied Materials, Inc. Mapping of a replacement parts storage container
JP7412124B2 (ja) * 2019-10-18 2024-01-12 東京エレクトロン株式会社 基板処理システム及びエッジリングを交換する方法
JP7192756B2 (ja) * 2019-12-19 2022-12-20 株式会社Sumco 気相成長装置及び気相成長方法
JP7365924B2 (ja) * 2020-02-13 2023-10-20 東京エレクトロン株式会社 ティーチング方法
JP7418241B2 (ja) 2020-02-27 2024-01-19 東京エレクトロン株式会社 位置決め装置、処理システム及び位置決め方法
JP7378318B2 (ja) 2020-02-28 2023-11-13 東京エレクトロン株式会社 部品交換方法
JP7454959B2 (ja) * 2020-03-03 2024-03-25 東京エレクトロン株式会社 基板搬送システムおよび大気搬送モジュール
US11766782B2 (en) 2020-03-17 2023-09-26 Applied Materials, Inc. Calibration of an electronics processing system
DE102020110570A1 (de) 2020-04-17 2021-10-21 Aixtron Se CVD-Verfahren und CVD-Reaktor mit austauschbaren mit dem Substrat Wärme austauschenden Körpern
CN111554601B (zh) * 2020-04-27 2021-12-28 上海果纳半导体技术有限公司 晶圆前端传送系统
US11380573B2 (en) 2020-06-04 2022-07-05 Tokyo Electron Limited Structure for automatic in-situ replacement of a part of an electrostatic chuck
JP6824461B2 (ja) * 2020-06-05 2021-02-03 東京エレクトロン株式会社 処理システム
JP7409976B2 (ja) * 2020-06-22 2024-01-09 東京エレクトロン株式会社 プラズマ処理システム、プラズマ処理装置及びエッジリングの交換方法
TW202232624A (zh) 2020-10-26 2022-08-16 日商東京威力科創股份有限公司 處理系統及搬運方法
KR102649714B1 (ko) * 2020-10-27 2024-03-21 세메스 주식회사 기판 처리 장치 및 기판 반송 방법
JP7157127B2 (ja) * 2020-12-16 2022-10-19 東京エレクトロン株式会社 ピック、搬送装置及びプラズマ処理システム
JP2022154234A (ja) 2021-03-30 2022-10-13 東京エレクトロン株式会社 プラズマ処理システム、搬送アーム及び環状部材の搬送方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100239254B1 (ko) * 1995-12-07 2000-03-02 가네꼬 히사시 반도체 장치 제조기 및 동일한 제조기를 사용함으로써 반도체 장치를 제조하는 방법
US20050205209A1 (en) * 2004-03-18 2005-09-22 Aelan Mosden Replacing chamber components in a vacuum environment
JP2011054933A (ja) * 2009-08-07 2011-03-17 Tokyo Electron Ltd 基板処理装置及び位置決め方法並びにフォーカスリング配置方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4534389A (en) * 1984-03-29 1985-08-13 Hewlett-Packard Company Interlocking door latch for dockable interface for integrated circuit processing
US6409896B2 (en) * 1999-12-01 2002-06-25 Applied Materials, Inc. Method and apparatus for semiconductor wafer process monitoring
JP5057647B2 (ja) * 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
JP2008226509A (ja) * 2007-03-09 2008-09-25 Hitachi High-Technologies Corp 走査電子顕微鏡
JP4924245B2 (ja) 2007-07-02 2012-04-25 東京エレクトロン株式会社 半導体製造装置、半導体装置の製造方法及び記憶媒体
JP2009152434A (ja) * 2007-12-21 2009-07-09 Tokyo Electron Ltd 基板処理装置
US20090181553A1 (en) * 2008-01-11 2009-07-16 Blake Koelmel Apparatus and method of aligning and positioning a cold substrate on a hot surface
JP2009200142A (ja) * 2008-02-20 2009-09-03 Nuflare Technology Inc 成膜装置および成膜方法
JP5025576B2 (ja) * 2008-06-13 2012-09-12 新光電気工業株式会社 静電チャック及び基板温調固定装置
JP5317278B2 (ja) * 2009-04-28 2013-10-16 大陽日酸株式会社 気相成長装置、気相成長装置における対向面部材またはサセプタ上面カバー取外し方法
US8409995B2 (en) * 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
GB2476476B (en) * 2009-12-23 2013-05-22 Nanobeam Ltd Charged particle beam system

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100239254B1 (ko) * 1995-12-07 2000-03-02 가네꼬 히사시 반도체 장치 제조기 및 동일한 제조기를 사용함으로써 반도체 장치를 제조하는 방법
US20050205209A1 (en) * 2004-03-18 2005-09-22 Aelan Mosden Replacing chamber components in a vacuum environment
JP2011054933A (ja) * 2009-08-07 2011-03-17 Tokyo Electron Ltd 基板処理装置及び位置決め方法並びにフォーカスリング配置方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102652834B1 (ko) 2020-08-07 2024-04-02 세메스 주식회사 용기 및 기판 처리 장치

Also Published As

Publication number Publication date
KR20120112164A (ko) 2012-10-11
TWI509725B (zh) 2015-11-21
US20220230857A1 (en) 2022-07-21
CN102738048B (zh) 2014-12-03
CN102738048A (zh) 2012-10-17
US9799542B2 (en) 2017-10-24
TW201301428A (zh) 2013-01-01
US11328910B2 (en) 2022-05-10
US10224226B2 (en) 2019-03-05
US20120247671A1 (en) 2012-10-04
JP6003011B2 (ja) 2016-10-05
JP2012216614A (ja) 2012-11-08
US20190109031A1 (en) 2019-04-11
US20180019145A1 (en) 2018-01-18

Similar Documents

Publication Publication Date Title
KR101901460B1 (ko) 기판 처리 장치
JP7383665B2 (ja) 正面開口式リングポッド
TWI745308B (zh) 末端作用器機構及大氣轉移模組
TWI734705B (zh) 藉由介接腔室進行之易損零件的自動更換
KR101731003B1 (ko) 플라즈마 처리 장치
CN111599744B (zh) 用于处理基板的装置和方法
TWI668791B (zh) 基板處理設備及使用該基板處理設備的基板處理方法
KR101993975B1 (ko) 피처리 기판의 탑재 위치의 설정 방법 및 성막 시스템
KR101706735B1 (ko) 반송 유닛, 이를 포함하는 기판 처리 장치 및 기판 처리 방법
JP2004200219A (ja) 処理装置及び処理方法
KR102363678B1 (ko) 기판 처리 장치 및 기판 처리 방법
TW202234562A (zh) 基板搬送裝置、基板搬送方法、及基板處理系統
JP2023069172A (ja) 基板の搬送を行う装置、及び基板を搬送する方法
KR20210030917A (ko) 기판 처리 장치 및 기판 처리 방법
US20220319819A1 (en) Substrate processing system and substrate processing method
JP2001110872A (ja) 基板処理装置
KR101649303B1 (ko) 기판처리시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant