TW200813245A - Method of forming dielectric films, new precursors and their use in the semi-conductor manufacturing - Google Patents

Method of forming dielectric films, new precursors and their use in the semi-conductor manufacturing Download PDF

Info

Publication number
TW200813245A
TW200813245A TW096119873A TW96119873A TW200813245A TW 200813245 A TW200813245 A TW 200813245A TW 096119873 A TW096119873 A TW 096119873A TW 96119873 A TW96119873 A TW 96119873A TW 200813245 A TW200813245 A TW 200813245A
Authority
TW
Taiwan
Prior art keywords
mecp
nme2
etcp
formula
group
Prior art date
Application number
TW096119873A
Other languages
English (en)
Other versions
TWI525210B (zh
Inventor
Christian Dussarrat
Nicolas Blasco
Audrey Pinchart
Christophe Lachaud
Original Assignee
Air Liquide
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=37192518&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=TW200813245(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Air Liquide filed Critical Air Liquide
Publication of TW200813245A publication Critical patent/TW200813245A/zh
Application granted granted Critical
Publication of TWI525210B publication Critical patent/TWI525210B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/003Compounds containing elements of Groups 4 or 14 of the Periodic System without C-Metal linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02159Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing zirconium, e.g. ZrSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium

Description

200813245
V
九、發明說明: 【發明所屬之技術領域】 物的係關於—種形成諸如給㈣之氧化物或氮氧化 物的局k介電膜之方法及其用於製造半導體之用途。 【先前技術】 ' 需要引 CMOS Si〇2, 隨著未來產生之半導體裝置的臨界尺寸減小, 入新材料,尤其是具有高介電常數之新穎材料。在 架構中’需要高k介電體以替換達到其物理極限之 該等介電體通常具有約lnm之Si〇2等效厚度。 一、々也在RAM應用之金屬-絕緣體_金屬架構中亦需 =k介電體1種金屬組合物已被認為滿足材料需求(二 電常數、_電流、結晶溫度、電荷收集)及整合需求(界 面處之熱穩定性、乾式蝕刻可行性…)。 最有希望之材料為基於第Iv族之材料,諸如幵⑺^、 HfSi〇4、Zr〇2、ZrSl〇4、肌a、HfLn〇x ( Ln 係選自由銳、 釔及稀土元素所組成之群組)及更通常HfMOx及ZrMOX, M為選自第π族、第nia族及第IIIb族之元素或過渡金屬。 此外第1 V族金屬組合物亦可考慮用於電極及/或cu擴 政I1早壁應用,諸如用於中間能隙金屬閘極之TiN及用於mim 電極之 HfN、ZrN、HfSi、ZrSi、HfSiN、ZrSiN、TiSiN。 使得能狗沈積具有合理產量及可接受純度之該等薄膜 之主要工業選擇為氣相沈積技術,諸如MOCVD (金屬-有 機化學氣相沈積)或ALD (原子層沈積)。該等沈積方法 需要必須滿足適當工業用途之極端需求之金屬前驅物。該 7 200813245 等方法需要金屬-有機物或金屬-画化物前驅物。多種銓及 锆之金屬-有機化合物已被視為能夠實現此類沈積之前驅 物。 諸如HfCl4、ZrCl4之鹵化物為最常見Hf/Zr前驅物且 已得到廣泛描述。Kim等人揭示了 HfCl4在以ALD使Hf02 沈積上之用途(Kim 等人,Electrochem Soc Proceedings 2005-05, 3 97,2005 )。然而,沈積過程中所產生諸如HC1 或Cl2之一些副產物可引起會對最終特性有害之表面/界面 粗糙度。視所用氧源而定,其他可能的副產物亦可能有害。 舉例而言,已偵測出根據QMS經由OC1片段產生之0C12 為HfCl4與03之間反應之副產物。此外,在高k氧化物之 情形下,C1或F雜質對最終電學特性極其有害。
Triyoso等人及Chang等人分別研究了 Hf (OtBu)4用 於 Hf02 MOCVD 及 ALD 之用途[Triyoso 等人;J. Electrochem. Soc.,152 (3),G203-G209 (2005) ; Chang 等 人;Electrochem. Solid. State Let·,7 (6),F42-F44 (2004)] 〇 Williams等人已評估了用於Hf02 MOCVD之Hf (mmp)4及 Hf (OtBu)2 (mmp)2。在 W02003035926 中,Jones 等人揭示 了經供體官能化烷氧基配位基(1-甲氧基-2-甲基-丙醇酸鹽 [OCMe2CH2OMe,mmp])改良之固體 Ti、Hf、Zr 及 La 前 驅物,該烷氧基配位基有助於抑制Zr及Hf醇鹽化合物之 寡聚化且增加其對抗濕氣之穩定性。然而,如Potter等人 所建議,所有彼等醇鹽前驅物均具有無法在ALD方法中實 現自我限制型沈積之缺點(R· J· Potter,P. R· Chalker,T. D_ 8 200813245 v
Manning,H. C· Aspinall,Υ· F. Loo, A. C. Jones,L. M. Smith, G. W. Critchlow, M. Schumacher, Chem. Vap. Deposition, 2005, 11, N0 3, 159-167) °
文獻中已廣泛揭示諸如Hf (NEtMe)4、Hf (NMe2)4、Hf (NEt2)4之烧基酸胺前驅物[Senzaki等人,J· Vac. Sci· Technol· A 22 (4),2004 年 7 月 /8 月;Haussmann 等人,Chem. Mater. 2002,14,4350-4353 ; Kawahara 等人,J. Appl· Phys·, 第 43 卷,N°7A,2004,第 4129-4134 頁;Hideaki 等人,JP • 2002-093804; Metzner 等人,US 6,858,547; Dip 等人,US 2005/0056219 Al]。第 IV 族烷基醯胺對 ALD 及 MOCVD 方法均適合。此外,一些烷基醯胺在室溫下為液體(Hf (NEt2)4及Hf (NEtMe)4 )且具有足夠揮發性,且其允許在 低溫下發生自我限制型ALD以用於受限熱預算之程序。然 而,第IV族烷基醯胺(尤其Zr化合物之烷基醯胺)亦具 有多種缺陷,其中:其可能會在分布期間分解至導致進料 管線或蒸發器可能堵塞之程度,其可能會在沈積期間產生 ® 顆粒,其可能會在深溝槽沈積過程期間產生非均一組合 物,及其僅允許狹窄的自我限制型ALD溫度窗口,因此縮 減程序窗口。詳言之,Zr (NEtMe)4可在分布管線中分解且 在常用分布溫度170°C以上產生顆粒。Hf (NEtMe)4更具熱 穩定性,然而因熱分解而無法在300°C以上提供自我限制 型原子層沈積。 在WO 2007/055088中,Thenappan等人揭示脈基給及 鍅錯合物及其氣相沈積應用。Hf (NEt2)2 [(NiPr-CNEt2)2作 9 200813245 為貫例給出。然而,胍基銓及錘通常為具有極其有限揮發 性之固體。如熱解重量分析所例示,在無熱分解及後續顆 粒產生之風險下,無法獲得氣相Hf (NEt2)2 [(NiPr-CNEt2)2。
Lehn 等人(chem· Vap. Deposition,2006, 12, 280-284) 揭不肆(三甲基肼基)锆[Z]: (NMeN]v[e2)4]及銓以及其用於 低Λ CVD之用途。該等例示化合物具有可接受之揮發性 (據報導,在〇·06托(T〇rr) 、9{rc下昇華),但其在室 溫下為固體。
Carta等人揭示雙(環戊二烯基)雙二甲基铪[HfCp2Me2] (Carta 等人揭示於 Electrochem Soc Proceedings,260, 2005_09, 2005 中)’且多名作者(codato 等人,chem Vapor
Deposition,159, 5, 1995; Putkcmen 等人,J Mater Chem,3 141, 11,2001 ; Niinisto 等人,Langmuir,7321,21,2005 )提議 將一類新穎Zr及Hf化合物用作銓及锆烷基醯胺之替代 物:雙(環戊二烯基)雙二甲基铪、雙(環戊二烯基)雙 二甲基鍅,其允許ALD窗口高達400°C之有效ALD沈積 程序且在以H2〇作為共反應物之最適化條件下獲得具有小 於0.2% C之薄膜。然而,HfCp2Me2及ZrCp2Me2均具有在 室溫下為固體產物之缺點(HfChM^熔點為57.51)。此 使得1C製造者無法以工業方式使用彼等前驅物(即,使 用非定域谷為填充)’且會帶來簡便性與製程方面的問題。 在 US 6,743,473 中,Parkhe 等人揭示將(Cp(R)JxMHy. ,用於製造金屬及/或金屬氮化物層之用途,其中M係選自 钽、釩、鈮及铪,CP為環戊二烯基,R為有機基團。僅揭 200813245 示環戊二烯基钽及鈮化合物之實例。然而,並未揭示液體 前驅物或熔點低於5〇。(:之前驅物。
Heys等人近來已在w〇 2006/131751 A1中提議液體雙 (%:戊一細基)衍生物。然而,其亦呈現有限揮發性之缺 點且亦可能存在可能會使所達成生長速率受到限制之大的 位阻。 現今’需要提供液體或低熔點(< 50°C)第IV族前驅 物化合物’且詳言之及Zr化合物,其將同時允許適當 _ 分布(在分布溫度下之物理狀態、熱穩定性)、寬的自我 限制型ALD窗口及藉由ALD或MOCVD來沈積純薄膜。 【發明内容】 根據本發明,已發現某些基於環戊二烯基或戊二烯基 之第IV族金屬-有機前驅物適於藉由ALD或MOCVD方法 來沈積含第IV族金屬之薄膜,且具有下列優勢: -其在室溫下為液體或具有低於50°C之熔點; -其為熱穩定的,而能夠在無顆粒產生下適當的分布 ® (氣相或直接液體注射); -其為熱穩定的以允許寬的自我限制型ALD窗口; 4) 允許藉由使用共反應物(選自由H2、NH3、02、H20、〇3、 SiH4、Si2H6、Si3H8、TriDMAS、BDMAS、BDEAS、TDEAS、 TDMAS、TEMAS、(SiH3)3 N、(SiH3)2 Ο、TMA 或含鋁前 驅物、TBTDET、TAT-DMAE、PET、TBTDEN、PEN、含 鑭系前驅物,諸如Ln (tmhd)3···所組成之群組)之一或其 組合來沈積多種含第IV族金屬之薄膜,包括三級材料或 11 200813245
V 四級材料。 根據第一具體實例,本發明係關於一種將含至少一種 金屬之介電膜沈積於基板上之方法,該介電膜包含式(J) 化合物: (MS.a M2a) ObNc5 ⑴ 其中: 〇 < a < 1 ; 〇 < b $ 3,較佳 1·5 £ b $ 2.5 ; ® 〇 < c < 1 ; M1表示選自铪(Hf)、锆(Zr)及鈦(Ti)之金屬; 且 Μ2表示選自下列各物之金屬原子:鎂(Mg )、鈣(Ca )、 辞(zn)、硼(B)、鋁(A)、銦(In)、矽(si)、鍺 (G〇、錫(Sn)、給(Η〇、鍅(Zr)、鈦(Ti)、釩 (V)、鈮(仙)、钽(Ta);及鑭系原子,更特定言之, _ 銳(Sc)、釔(Y)及鑭(La)及稀土金屬原子; 該方法包含下列步驟: 步驟a):提供基板於反應腔室中; 步驟b):蒸發含有至少一種1^1金屬之式(11)前驅 物以形成第一氣相金屬源; (VyOpUR'CiOzM1 R,〜 (π) 其中: M1係如上文所定義; 〇 S X $ 3,較佳 X = 〇 或 1 ; 12 200813245 〇Sz$3,較佳 z=l 或 2; 1 < (x + z) < 4 ; 〇 S y S 7,較佳 y = 2 ; 0 $ t $ 5,較佳 t =工·, (RiyOp )表示未經取代或經一或多個R1基團取代之 戊一烯基(Op)配位基’ y表示該戊二稀基配位基上取代 性R1基團的數目; (R2tCp )表示未經取代或經一或多個R2基團取代之 壞戊二烯基(Cp )配位基’ ί表示該環戊二烯基配位基上 _ 之取代性R1基團的數目; R1及R2相同或不同,且係獨立地選自由下列各物組 成之群:氯;具有1至4個碳原子之直鏈或支鏈烷基;Ν_ 烧基fe基,其中該烧基為直鏈或支鏈且具有丨至4個碳原 子,N,N-二烷基胺基,其中各烷基彼此相同或不同,為直 鏈或支鏈且具有1至4個碳原子;具有丨至4個碳原子之 直鏈或支鏈烧氧基;烧基石夕烧基酸胺基;脒基;及魏基,· R表示獨立地選自由下列各物組成之群之配位基:氫、 _ 氟、氯、溴或碘原子;具有1至4個碳原子之直鏈或支鏈 炫基;N-烷基胺基,其中該烷基為直鏈或支鏈且具有1至 4個碳原子;N,N_二烷基胺基,其中各烷基彼此相同或不 同’為直鏈或支鏈且具有1至4個碳原子;具有1至4個 石炭原子之直鏈或支鏈烷氧基;烷基矽烷基胺基,其中該烷 基為直鏈或支鏈且具有1至4個碳原子;二烷基矽烷基胺 基’其中各烷基彼此相同或不同,為直鏈或支鏈且具有1 至4個碳原子;三烷基矽烷基胺基,其中各烷基彼此相同 13 200813245 或不同,為直鏈或支鏈且具有1至4個碳原子;脉基;及 羰基;應瞭解,若該式(II)包含一個以上R,基團,則各 R1可彼此相同或不同, 視情況,步驟b’):蒸發含至少一種μ2金屬之前驅 物,M2係如上文所定義;以形成視情況需要之第二氣相金 屬源; 步驟c):將該第一氣相金屬源及該視情況需要之第 二氣相金屬源引入反應腔室中,以促使其與該基板接觸, _ 從而在該基板上產生包含如上文所定義之式(〗)化合物的 含金屬介電膜之沈積; 其限制條件在於,若欲形成之含至少一種金屬之介電 膜包含式(Γ)化合物·· M1 丨 〇2 (Γ), 其對應於如上文所定義之式(1),其中a = 0,b = 2 且C = 〇;且若步驟b)中所涉及之含_金屬之前驅物為 式(ΙΓ)化合物: ^ (R2tCp)2 M1 r,2 (ιγ) 其對應於如上文所定義之式(II),其中\ ==0且2 = 2, 則在該式(II’)中,在兩個(R2tCp )配位基中之至少一者 中 t > 0 〇 ^在如上文所定義之方法中,含至少一種金屬之式(II) il驅物及必要日寸含至少一種M2金屬之前驅物具有通常低 於50°c、較佳低於饥线點,且其在室溫下較佳為液體。 根據如上文所定義之方法之一特定具體實例,蒸發步 14 200813245 驟b)及必要時蒸發步冑b,)係、藉由將栽氣引入經加熱之 容器中而實現’該容器含有該含至少—種1^1金屬之式(11) 前驅物: (R^Op), (R2tCp)z Μ1 (π) 及必要時也含至少一種Μ2金屬之前驅物。該容器較 佳在允許獲得該等液相金屬源之溫度下及在足夠蒸氣壓力 下經加熱。必要時,可將一種或該兩種金屬前驅物混合至 溶劑或溶劑混合物及/或穩定劑中。舉例而言,該溶劑係選 自辛烷、己烷、戊烷或四甲基矽烷。溶劑或溶劑混合物中 金屬说驅物之濃度通常在〇·〇1 Μ與〇·5 Μ之間,且更特定 言之為約0.05 Μ。載氣係選自(但不限於)Ar、取、Η2、 &或其混合物。必要時,可在介於8〇_U(rc範圍内之溫度 下加熱合為。熟習此項技術者應考慮,可調節容器溫度以 控制所欲蒸發之前驅物之量。 載氣/瓜里通系h於1〇 sccm(標準立方公分)與5⑽sccln 之間。較佳地,載氣流量介於50sccm與2〇〇sccm之間。 根據如上文所定義之方法之另一特定具體實例,蒸發 步驟b)及必要時蒸發步驟b,)係藉由將液體形式的含 金屬之式(II)前驅物: (Rly°P)x (R2tCp)z M1 Rf4.x.z ( II) 及必要時含M2金屬之前驅物引入使其蒸發之蒸發器 中而貫現。必要時,可將一種或該兩種金屬前驅物混合至 溶劑或溶劑混合物及/或穩定劑中。舉例而言,該溶劑係選 自辛k己少兀、戊烷或四甲基矽烷。溶劑或溶劑混合物中 15 200813245 金屬前驅物之濃度通常在〇·01 Μ與0.5 Μ之間,且更特定 言之為約0·05 Μ。 根據一更特定具體實例,將蒸發步驟b )及蒸發步驟b,) 組合於兩種金屬源之一個蒸發步驟b ”)中。 在如上文所定義之方法之步驟c)中,將經蒸發之含 金屬前驅物引入使其與基板接觸之反應腔室中。 在本發明之上下文中,基板意謂半導體製造中所用之 任何基板,其因技術功能而需要經含金屬之薄膜塗覆。舉 _ 例而言,該等基板不僅選自矽基板(Si)、矽石基板(Si02 )、 氮化石夕基板(SiN)或氮氧化矽基板(si〇N),且亦選自 鎢基板(W)或貴金屬基板,諸如鉑基板(pt)、鈀基板 (Pd)、鍺基板(Rh)或金基板(Au)。 將基板加熱至所需溫度以獲得具有足夠生長速率及所 要物理狀態與組成之所要薄膜。 步驟c)期間之溫度通常在15〇。〇至60(rc之範圍内。 該溫度較佳低於或等於4 5 〇 °C。 _ 控制反應腔室中之壓力以獲得具有足夠生長速率的所 要含金屬薄膜。步驟c)期間之壓力通常在約i毫托(mT〇rr) ( 0.1333224 Pa)至約 100 托( 13332.24 pa)範圍内。 在本發明之上下文中,含M2金屬之前驅物係選自由 下列各物組成之群: 其鍺同系物,諸如: 一矽氧烷、三矽烷基胺、二矽烷、三矽烷、式(HI) 之烧氧基秒烧: 16 200813245 (III】) 至6個碳原子之直鍵
SiHx(OR3)4_x, 其中:〇Sx$3且R3表示具有 或支鏈烴基; 式(III2)之矽烷醇衍生物:
Si,)x(〇RVx (1„2) 其令:15x^3且Μ表示具有4 6個碳原子之直鍵 或支鏈烷基,較佳為Si (0H) (OR4)3且更佳為si (〇h) (0tBu)3 ;
式(III3)之胺基碎烧衍生物:
SiHx(NR5R6)“ (III3) 其中:〇 S X $ 3,且R5及R6相同或不同且獨立地表 示氫原子或具有1至6個碳原子之直鏈或支鏈烷基,較佳 為 SiH (NMe2)3 (THDMAS)、SiH2 (NHtBu)2 ( BTBAS )、SiH2 (NEt2)2 (BDEAS)及其混合物; 2 物,.諸如三甲基鋁[A1 (CH3)3]、氫化二甲基紹 [A1H (CH3)2]、式(ιν!)之烷氧基鋁烷: A1R8X (〇R7)3-x (IVi) 其中·0$Χ$3,且R7表示具有1至6個碳原子之直 鏈或支鏈烷基,且R8與R7相同或不同且表示氫原子或較 佳A1R9R10(OR7),其中R9及Rl〇相同或不同且獨立地表示 具有1至6個碳原子之直鏈或支鏈烷基,最佳為aim^ (OiPr) ; 2 式(IV2)之酸胺基1呂烧(arnid〇alane): AlRnx (NR12R13)3 x 17 200813245 其中:〇Sx$3,且反^及以3相同或不同且表示氫原 子或具有1至6個碳原子之直鏈或支鏈烷基,且^^與汉7 相同或不同且表示氫原子或具有i至6個碳原子之直鏈或 支鏈烷基; 丝_衍生物,諸如 Ta (0Me)5、Ta (〇Et)5、Ta (NMe2)5、 Ta(NEt2)5、1^(湘认、式(Vi)之钽衍生物:
Ta (OR14)4 [0-C (R15) (R16)-CH2-OR17]
八中R R 、尺6及R17相同或不同且獨立地表示氡 原子或具有1至6個碳原子之直鏈或支鏈烷基,較佳為U (OEt)4 (〇CMe2CH2-〇Me) (TAT-DMAE);式(V2)之鈕衍 生物:
Ta (OR18)4 [O-C (R19) (R20)-CH2-N (R21) (R22)] 其中R18、R19、R2〇、汉2!及R22相同或不同且獨立地表 示氫原子或具有1至6個碳原子之直鏈或支鏈烷基;式 (V3 )之鈕衍生物··
Ta 卜 NR24) (NR25R26)3 ( v } 其中R24、R25及R26相同或不同且獨立地表示氫原子 或具有1至6個碳原子之直鏈或支鏈烷基; 起Jt±.物,諸如則(〇Me)5、Nb (OEt)5、Nb (NMe2)5 ' Nb (NEq)4、Nb (NEtJ5、式(VI〗)之銳衍生物:
Nb (OR27)4 (O-C (R28) (R29).CH2-OR30) ( V、) 其中r27、r28、r29& R3〇相同或不同且獨立地表^氫 原子或具有1至6個碳原子之直鏈或支鏈烷基,較佳為Nb (OEt)4 (〇CMe2CH2-OMe) (NBT-DMAE);式(vi2)之鈮衍 200813245 生物: (VI2)
Nb (0R31)4[0-C (R32) (R33)-CH2-N (R34) (R35)] 其中R31、R32、R33、R34及R35相同或不同且獨立地表 示氫原子或具有1至6個碳原子之直鏈或支鏈烷基;式 (VI3)之鈮衍生物: (VI3)
Nb (= NR36) (NR37R38)3 其中R36、R3?及r38相同或不同且獨立地表示氫原子 或具有1至6個碳原子之直鏈或支鏈烧基;
麵__系衍生物.二諸如銃衍生物、釔衍生物、鈽衍生物、 镨(praseodinum)衍生物、釓衍生物、鏑衍生物、铒衍生 物、鑭衍生物、具有至少一個β_二酮酸酯基(diket〇nate) 配位基或至少-個經—或多個具有! i 6個碳原子的直鍵 或支鏈烷基取代之環戊二烯基配位基之衍生物: 二仏*屬衍生物’諸如具有至少一個β _二酮酸酯基配 位基或至少一個視情況經一或多個具有i至6個碳原子的 直鏈或支鏈烷基取代之環戊二烯基配位基之鋰(Sr)、鋇 (Ba)、鎮(Mg)、鈣(Ca)或辞(Zn)衍生物; 甚生物丄諸如鎢(W)、鉬(Mo)、姶(Hf) 或鍅(Zr)衍生物,例如烷氧基衍生物、胺基衍生物或含 該等物質之加成物,應瞭解該等衍生物並非如上文所定義 之式(II )化合物。 根據另一特定具體實例,如上文所定義之方法包含: -步驟d),其中在步驟c)之前將至少一種含M1金 屬之式(II)前驅物及必要時至少—種含m2金屬之前驅物 19 200813245 與至少一種反應物物質混合。 在本發明之上下文中’關於基於目標金屬之薄膜來選 擇該至少一種反應物物質。 根據另一具體實例’該反應物物質為氧源且更特定言 之為氧氣(〇2)、含氧自由基0·或〇Η·(例如,由遠端; 聚產生)、臭氧(〇3)、濕氣(h2〇)&H2〇2及其混合物。 根據另一具體實例,該反應物物質為氮源且更特定言 之為氮氣(N2)、含氮自由基(例如N·、nh,、NH , > 、 ♦氨(簡3)、肼(則2丽2)及其燒基或芳基衍生物丄其混 合物。 根據另一具體實例,該反應物物質為氮源及氧源兩者, 且更特定言之為助、助2、咐、乂〇5、〜〇4及其混合物。 視所需N/O比率而定,必要時用於如上文所定義之方 中之反應物物質可為氧源、氧源混合物及氮源混合物、 氧及氮源或其混合物。 # 入根據本發明之另一具體實例,若基於目標金屬之薄膜 有炭例如(但不限於)金屬碳化物或金屬碳氮化物時, 、 種反應物物質為碳源,更特定言之為甲烷、乙烷、 丙烷丁力元、乙烯、丙烯、第三丁烯。 入梦根據本發明之另一具體實例,若基於目標金屬之薄膜 山」如(但不限於)金屬矽化物、石夕氮化物、石夕酸鹽 ,二氮化物枯,則至少一種反應物物質為矽源,諸如·· 夕氧烷、二矽烷基胺、二矽烷(Si2H6 )、三矽烷 ^ )、如上玄% 上文所疋義之式(ΙΠι) 、(IIl2)或(IIl3) 20 200813245 之烷氧基矽烷(例如 SiH (NMe2)3 (TriDMAS)、SiH2 (NHtBu)2 (BTBAS)、SiH2(NEt2)2(BDEAS))及其混合物。 根據另一特定具體實例,如上文所定義之方法包含: 步驟df ),其中在反應腔室中將至少一種含M1金屬 之式(II )前驅物及必要時至少一種含M2金屬之前驅物與 至少一種反應物種混合。
將至少一種含M1金屬之式(Π )前驅物及必要時至少 一種含M2金屬之前驅物與至少一種反應物種引入反應腔 室中之模式通常視將薄膜沈積於基板上之模式而定。通 常’同時將含金屬之前驅物及反應物種引入化學氣相沈積 方法中,或相繼將其引入原子層沈積方法中,或根據若干 組合將其引入(例如)脈衝改良原子層沈積方法中,其中 將至少一種含M1金屬之式(π )前驅物及必要時至少一種 含M2金屬之前驅物一起引入一脈衝中,且將至少一種反 應物種引人另-脈衝中;或將其弓丨人脈衝化學氣相沈積方 法中’其中藉由脈衝引入至少一種含^金屬之式(π)前 驅物及必要時至少一種+ Μ2金屬之前驅物,且持續引入 至少一種反應物種。 具體實例,使至少一種反應物種經 電漿系統且分解為自由基。 根據本發明之另一 過位於反應腔室遠端之 根據另一具體實例,如卜-V α 一 Μ 貝』如上文所定義之方法之步驟(b) 係由下列步驟組成:步驟f ,將至少一種第一含金屬 之式(II )前驅物與下列前 卜到别驅物中之至少一種第二前驅物 混 a 在一起:m1 (NMe 彳、αλί 2)4 M (聰2)4、M1 (NMeEt)4、M1 21 200813245 (mmp)4 μ (〇tBu)4、M1 (〇tBu)2 (mmp)2 及其混合物;及 步驟(匕),蒸發該混合物。 更特定具體實例,本發 沈積 —^ 卜丁、肿J 斯上人广疋我 匕έ式(I )化合物的含金屬介電膜之方法,其中含以1 金屬之别驅物為式(II i )前驅物: (R2tCp) Ml [N (R39) (R4〇)]3 (11〇, 其對應於式(II),其中乂=〇,2=1且以,表示基團N (R39) (R )其中R及R40相同或不同且獨立地表示氫原子;
具有1至4個碳原子之直鏈或支鏈烷基;烷基矽烷基,其 中該烧基為直鏈或支鏈且具有1至4個碳原子;二烷基矽 烧基’其中各烧基彼此相同或不同,為直鏈或支鏈且具有 1至4個碳原子;或三烷基矽烷基,其中各烷基彼此相同 或不同,為直鏈或支鏈且具有1至4個碳原子。 根據一更特定具體實例,本發明係關於如上文所定義 沈積包含式(I!)化合物的含金屬介電膜之方法: M1〇2 ( 1\ ) 其對應於式(I),其中a = 0,b = 2且c = 0,其中含 金屬之式(II )前驅物係選自由下列各物組成之群: HfCp2Cl2、Hf (MeCp)2 Me2、HfCp (MeCp) Cl2、Hf (MeCp)2
Cl2、HfCp (MeCp) Me2、Hf (EtCp) (MeCp) Me2、Hf (EtCp)2 Me2、Hf (MeCp)2 (CO)2、ZrCp2Cl2、Zr (MeCp)2 Me2、ZrCp (MeCp) Cl2、Zr (MeCp)2 Cl2、ZrCp (MeCp) Me2、Zr (EtCp) (MeCp) Me2、Zr (EtCp)2 Me2、Zr (MeCp)2 (CO)2、Zr (MeCp) (NMe2)3、Zr (EtCp) (NMe2)3、ZrCp (NMe2)3、Zr (MeCp) 22 200813245 (NEtMe)3、Zr (EtCp) (NEtMe)3、ZrCp (NEtMe)3、Zr (MeCp) (NEt2)3、Zr (EtCp) (NEt2)3、ZrCp (NEt2)3、Zr (iPr2Cp) (NMe2)3、Zr (tBu2Cp) (NMe2)3、Hf (MeCp) (NMe2)3、Hf (EtCp) (NMe2)3、HfCp (NMe2)3、Hf (MeCp) (NEtMe)3、Hf (EtCp) (NEtMe)3、HfCp (NEtMe)3、Hf (MeCp) (NEt2)3、Hf (EtCp) (NEt2)3、HfCp (NEt2)3、Hf (iPr2Cp) (NMe2)3、Hf (tBu2Cp) (NMe2)3及其混合物。 根據一更特定具體實例,本發明係關於如上文所定義 沈積包含式(12)化合物的含金屬介電膜之方法: M1。,Nc5 ( 12) 其對應於式(I),其中 a = 0,1.5$b£2_5 且 0<c£0.5, 其中含金屬之式(II )前驅物係選自由下列各物組成之群: HfCp2Cl2、Hf(MeCp)2Me2、HfCp (MeCp) Cl2、Hf(MeCp)2 Cl2、HfCp (MeCp) Me2、Hf(EtCp') (MeCp) Me2、Hf(EtCp)2 Me2、Hf (MeCp)2 (CO)2、ZrCp2Cl2、Zr (MeCp)2 Me2、Zr (MeCp)2 Cl2、ZrCp (MeCp) Me2、Zr (EtCp) (MeCp) Me2、 Zr (EtCp)2 Me2、Zr (MeCp)2 (CO)2、Zr (MeCp) (NMe2)3、Zr (EtCp) (NMe2)3、ZrCp (NMe2)3、Zr (MeCp) (NEtMe)3、Zr (EtCp) (NEtMe)3、ZrCp (NEtMe)3、Zr (MeCp) (NEt2)3、Zr (EtCp) (NEt2)3、ZrCp (NEt2)3、Zr (iPr2Cp) (NMe2)3、Zr (tBu2Cp) (NMe2)3、Hf (MeCp) (NMe2)3、Hf (EtCp) (NMe2)3、 HfCp (NMe2)3、Hf (MeCp) (NEtMe)3、Hf (EtCp) (NEtMe)3、 HfCp (NEtMe)3、Hf (MeCp) (NEt2)3、Hf (EtCp) (NEt2)3、HfCp (NEt2)3、Hf (iPr2Cp) (NMe2)3、Hf (tBu2Cp) (NMe2)3 及其混 23 200813245 - 合物。 根據一更特定具體實例,本發明係關於如上文所定義 沈積包含式(i3)化合物的含金屬介電膜之方法: (MS., M2a) 0b (I3) 其對應於式(I),其中〇$a<l且c = 〇,其中含金 屬之式(II)前驅物係選自由下列各物組成之群·· HfCp2Cl2、
Hf (MeCp)2 Me2、HfCp (MeCp) Cl2、Hf (MeCp)2 Cl2、HfCp (MeCp) Me2、Hf (EtCp) (MeCp) Me2、Hf (EtCp)2 Me2、Hf _ (MeCp)2 (CO)2、ZrCp2Cl2、Zr (MeCp)2 Me2、ZrCp (MeCp) Cl2、Zr (MeCp)2 ci2、ZrCp (MeCp) Me2、Zr (EtCp) (MeCp) Me2、Zr (EtCp)2 Me2、Zr (MeCp)2 (CO)2、Zr (MeCp) (NMe2)3、 Zr (EtCp) (NMe2)3、ZrCp (NMe2)3、Zr (MeCp) (NEtMe)3、 Zr (EtCp) (NEtMe)3、ZrCp (NEtMe)3、Zr (MeCp) (NEt2)3、 Zr (EtCp) (NEt2)3、ZrCp (NEt2)3、Zr (iPr2Cp) (NMe2)3、Zr (tBu2Cp) (NMe2)3、Hf (MeCp) (NMe2)3、Hf (EtCp) (NMe2)3、 HfCp (NMe2)3、Hf (MeCp) (NEtMe)3、Hf (EtCp) (NEtMe)3、 • HfCp (NEtMe)3、Hf (MeCp) (NEt2)3、Hf (EtCp) (NEt2)3、HfCp (NEt2)3、Hf (iPr2Cp) (NMe2)3、Hf (tBu2Cp) (NMe2)3,且含 M2金屬之前驅物較佳係選自如上文所定義之石夕衍生物或其 鍺同系物、钽衍生物、鑭系衍生物及鎂衍生物。 根據一更特定具體實例,本發明係關於如上文所定義 沈積包含式(I4 )化合物的含金屬介電膜之方法: (MS.a M2a) Ob Nc ( I4) 其對應於式(I),其中〇Sa<l且0<cS0.5,其中 24 200813245 含金屬之式(ιι)前驅物係選自由下列各物組成之群: HfCp2Cl2、Hf (MeCp)2Me2、HfCp (MeCp) Cl2、Hf (MeCp)2 Cl2、HfCp (MeCp) Me2、Hf (EtCp) (MeCp) Me2、Hf (EtCp)2 Me2、Hf (MeCp)2 (CO)2、ZrCp2Cl2、Zr (MeCp)2 Me2、ZrCp (MeCp) Cl2、Zr (MeCp)2 Cl2、ZrCp (MeCp) Me2、Zr (EtCp) (MeCp) Me2、Zr (EtCp)2 Me2、Zr (MeCp)2 (CO)2、Zr (MeCp) (NMe2)3、Zr (EtCp) (NMe2)3、ZrCp (NMe2)3、Zr (MeCp) (NEtMe)3、Zr (EtCp) (NEtMe)3、ZrCp (NEtMe)3、Zr (MeCp) (NEt2)3、Zr (EtCp) (NEt2)3、ZrCp (NEt2)3、Zr (iPr2Cp) (NMe2)3、Zr (tBu2Cp) (NMe2)3、Hf (MeCp) (NMe2)3、Hf (EtCp) (NMe2)3、HfCp (NMe2)3、Hf (MeCp) (NEtMe)3、Hf (EtCp) (NEtMe)3、HfCp (NEtMe)3、Hf (MeCp) (NEt2)3、Hf (EtCp) (NEt2)3、HfCp (NEt2)3、Hf (iPr2CP) (NMe2)3、Hf (tBu2CP) (NMe2)3 ’含m2金屬之前驅物較佳係選自如上文所定義之 秒竹生物或其錯同糸物、组衍生物、鋼系衍生物及鎂衍生 物,且將至少一種含氧前驅物及至少一種含氮前驅物引入 反應器中。 根據另一具體實例,本發明係關於如上文所定義之式 (II )化合物之用途,其係用以製造更特定言之用於積體 電路之介電膜,或用以製備用於隨機存取記憶體(Rand〇m
Access Memories)之金屬絕緣體金屬(Mim)架構。 根據另一具體實例,本發明係關於式(Πι)化合物: (R2tCp) μ1 [N (R39) (R40)]3 (Πι) 其對應於式(II ),其中x = 0, z = i且R,表示基團N (R39) 25 200813245 (R ),其中R39及相同或不同且獨立地表示氫原子; 具有1至4個碳原子之直鏈或支鏈烷基;烷基矽烷基,其 中該烷基為直鏈或支鏈且具有!至4個碳原子;二烷基矽 烷基,其中各烷基彼此相同或不同,為直鏈或支鏈且具有 1至4個碳原子;或三烷基矽烷基,其中各烷基彼此相同 或不同,為直鏈或支鏈且具有1至4個碳原子。 根據一特定具體實例,本發明係關於如上文所定義之 式(II!)化合物,其中R2、R39及R4〇相同或不同且獨立 _ 地表示選自曱基、乙基、丙基、異丙基、丁基、異丁基、 第一丁基及第三丁基之基團,且更特定言之係關於下列化 合物:
Zr (MeCp) (NMe2)3、Zr (EtCp) (NMe2)3、ZrCp (NMe2)3、 Zr (MeCp) (NEtMe)3、Zr (EtCp) (NEtMe)3、ZrCp (NEtMe)3、 Zr (MeCp) (NEt2)3、Zr (EtCp)(NEt2)3、ZrCp (NEt2)3、Zr (iPr2Cp) (NMe2)3、Zr (tBu2Cp) (NMe2)3、Hf (MeCp) (NMe2)3、Hf (EtCp) (NMe2)3、HfCp (NMe2)3、Hf (MeCp) 鲁 (NEtMe)3、Hf (EtCp) (NEtMe)3、HfCp (NEtMe)3、Hf (MeCp) (NEt2)3、Hf (EtCp) (NEt2)3、HfCp (NEt2)3、Hf (iPr2Cp) (NMe2)3、Hf (tBu2Cp) (NMe2)3。 根據一更特定具體實例,本發明係關於下列化合物:
Zr (EtCp) (NMe2)3、Zr (MeCp) (NMe2)3、ZrCp (NMe2)3、 Hf (EtCp) (NMe2)3、Hf (MeCp) (NMe2)3 及 HfCp (NMe2)3。 熟習此項技術者應瞭解,上述金屬-有機化合物可用於 除氣相沈積方法以外之任何其他應用,諸如催化劑或需要 26 200813245 使用金屬-有機化合物之任何其他工業方法或應用。 根據另一具體實例’本發明係關於一種用於製備如上 文所定義之式()化合物之方法,其包含: 免其係由藉由使MWU與(R2tCp) Na反應來製備 式(VIIO化合物組成, (R2tCp) Μπΐ3 ( νΠι) 其中Μ1、R2及t係如上文關於式(η )所定義;
免丄其係由使步驟1中所製備之式(VIIJ化合 物與nh(r39)(r,反應以產生式(ΪΙι)化合物組成。Q 根據一最終具體實例,本發明係關於如上文所定義之 下列式(II)化合物:
Hf (EtCp)2 Me2、Zr (MeCp)2 Me2 或 Zr (EtCp)2 Me2。 【實施方式】 下列實例說明本發明之各種具體實例,而非對其進行 限制。 丁 置例I :沈積金屬氣化物膜τν^ΓΚ,其中μ1妨4 . ^ 所欲沈積之膜包含式(1)化合物,其中a = 〇, b = 2 c = 〇 〇 為使該膜沈積於晶圓表面或沈積於深溝槽中以製造用 於DRAM之MIM結構,需要蒸發如步驟(b )所定義之⑷ 至屬源且將其引入反應器中(較佳為铪或鍅),將氧源(較 =為濕氣、氧氣或臭氧)注入該反應器中,使產物在適當 溫度(較佳在150。〇與35(rc之間)及壓力(較佳在25匕 27 200813245 2 1GGG Pa W )下反應’歷經在基板上達成薄膜沈積或 藉由ALD或脈衝CVD方法(需要連續脈衝注入金屬源以 使氧化物規則沈積於溝槽中,從而逐漸填滿此溝槽且使介 電膜不含空隙,且因此使電容器介電膜無缺陷)來填滿深 溝槽所需之持續時間。 該介電膜應具有所要最終組成(本文中,b值基本上 在2左右變化,從而改變前驅物與氧源之比率)。 • 根據下列三個選項a、b或c來選擇式(„)化合物類 型之三個實例: Ο 式(π)化合物係選自 Zr(MeCp)2Me2、Zr(EtCp)2
Me2、Hf (MeCp)2 Me2 及 Hf (MeCp)2 Me2。 呈液體形式之分子之傳遞通常係藉由將惰性氣體 (N2、He、Ar··.)鼓泡至該液體中且將惰性氣體加液態氣 體混合物提供至反應器中來進行。 b )式(II )化合物係選自Zr (2,心Me2〇p)2仏2及Hf _ (2,4-Me2〇p)2 Me2。 c )式(II )化合物係選自 Zr (MeCp) (2,‘Me2〇p) Μ。 及 Hf (MeCp) (2,4-Me2〇p) Me2。 氧源較佳應為(但不限於)氧氣(〇2 )、氧自由基(例 如Ο或OH,諸如由遠端電漿系統產生之自由基)、臭氧、 NO、N20、N02、濕氣(H20 )及 H,〇。 關於其自身之沈積過程,可將反應物同時(化學氣相 沈和)相^ (原子層沈積)或以不同組合(一例子係將 金屬源及另一金屬源一起引入一脈衝中,且將氧氣引入另 28 200813245 積];另—選項係持續引入氧氣及/ (脈衝化學氣相沈積))引入反應 :沈豬合μ Λ叙h 所欲沈積之膜包含式⑴化合物, 及C不等於0。 、τ 實施例I所給出之戶斤古次
有1訊均可應用於此實施例π 中,除了需要將氮引入反應器中之外。 氮應選自氮源,該氮湄备 Α你係選自包含氮氣(ν2 )、氨、 肼及烧基衍生物、含氮自由基(例如Ν·、ΝΗ·、ΝΗ2·)、 NO、N20、NO〗或其類似物之群。 氧化物膜,:Μ!妨接 為_财或Zr且Μ2鲮隹A1 所欲沈積之膜包含式(〇化合物,其中a # 0, b # 〇 且 c = 0 〇
一脈衝中[改良原子層沈 或藉由脈衝引入金屬源 器中。 且b 貫施例i所給出之所有資訊均可應用於此實施例ΠΙ 中,除了額外需要Μ2金屬源之外。 亦將含Μ之前驅物引入反應器中以產生金屬之μ2 源。此含Μ之别驅物源較佳應為·· a) 矽(或鍺)源,例如 Si (〇H)(〇tBu)3、SiH (NMe2)3 (TriDMAS)、SiH2 (NHtBu)2 (BTBAS)及 SiH2 (NEt2)2 (BDEAS); b) 鋁源,例如A1Me2 (〇iPr);或 29 200813245 c )组(或銳)源,例如 Ta (OMe)5、Ta (OEt)5 及 Ta (〇Et) (OCMe2CH2-OMe) (TATDMAE) o
本發明係、關於使用ALD、CVD、MOCVD、脈衝cVD 方法在反應中將式I之介電膜沈積於諸如晶圓之載體 上。 寛瘅例IV :沈積M1M2金屬氮氣化物膜,糞中Ml輕 隹為Hf或Zr且M2趣钱為Si或Ai 所欲沈積之膜包含式(I)化合物,其中a # 〇,b # 〇 •且c关0。 實施例III所給出之所有資訊均可應用於此情形中, 除了需要將氮氣引入反應器中之外。 氮源應選自包含氮氣(n2 )、氨、肼及烷基衍生物、 含氮自由基(例如Ν·、ΝΗ·、NH2· ) 、NO、Ν,Ο、NO之 群。 JL施例v :合成(乙基環戊二媾基)春(二甲基路 鐘,Zr (EtCpWNMq」 % 以3個步驟製備Zr (EtCp) (NMe2)3。 第一步驟係藉由使(EtCp) Na於ZrCl4上反應來製備 Zr(EtCp)Cl3 〇 第二步驟係使LiNMe2與Zr (EtCp) Cl3反應以產生Zr (EtCp) (NMeD3。所得化合物藉由蒸餾來純化。總產率為 35%。 已發現(乙基環戊二烯基)參(二曱基胺基)锆為穩 定液態淡黃色化合物。 30 200813245
Zx(EtCp) (NMe2h _之 TGA 分析 將熱解重量分析設備儲存於濕氣及氧氣含量均經維持 低於i PPmv之氬氣手套箱中。藉由將35叫樣品置於銘 坩鍋中來執行熱解重量分析。隨後加熱樣品,以i〇ec/min 將溫度自35T:逐漸上升至赋。監測隨坩鍋溫度變化之 質量損失。在26(TC之完全蒸發溫度下,殘餘含量為26%。 所得圖式在圖1中。 fJl 例 VI:使用 Zr 支進行 Zr〇二嫌 m 子層沈葙 — 將Zr (EtCp) (NMe2)3儲存於容器中。在9〇。〇下加熱容 為且將N2用作載氣,流量為50 scem。將容器中之壓力控 制在50托。將A用作氧源。在35〇t下加熱基板。在^ 一步驟期間,在2 s内將Zr (EtCp) (NMe2)3引入反應腔室 中之後’作為弟一步驟來執行5 s之Ν2淨化。作為第三 步驟,隨後在2 s内將〇3脈衝引入反應腔室中,繼而作為 φ 第四步驟來執行2 s之A淨化。將所有四個步驟重複1〇〇 次以獲得Zr〇2膜。獲得自我限制型原于層沈積。 可以Hf類似物來執行類似實驗。亦可以h2〇作為氧 源來執行類似實驗。 复為例VII :使用Zr (EtCp) 來進行ZrO,之 —-_ 一 機化學氩相沈赡 — 將Zr (EtCp) (NMeA儲存於容器中。在9(rc下加熱容 =且將N〗用作載氣,流量為5 0 seem。將容器中之壓力控 制在50托。在反應腔室中將Zr (EtCp) (NMe2)3與〇2/N2氣 31 200813245 體混合物混合。在500°C下加熱基板。將反應腔室内之壓 力設定為10托。獲得鍅氧化物膜。 可以Hf類似物來執行類似實驗。 實施例 VIII :比較 Zr (EtCp) (NMeJ:及 Zr iNEtM% 熱行為 在類似條件下,對 Zr (EtCp) (NMe2)3 及 Zr (NEtMe)4 執行熱解重量分析。將熱解重量分析設備儲存於濕氣及氧 _ 氣含量均經維持低於1 ppmv之氬氣手套箱中。藉由將35 mg 樣品置於紹堆鍋中來執行熱解重量分析。隨後加熱樣品, 以l〇°C/min將溫度自35它逐漸上升至40(rC。監測隨坩鍋 溫度變化之質量損失。以閉杯式組態將穿孔盤(〇 8 ) 置於含有金屬-有機化合物之坩鍋中以減緩蒸發。其表明在 較鬲溫度下具有熱穩定性。結果表明Zr (EtCp) (νμ~)3比 心(NEtMe)4更具熱穩定性,從而使其更適用作氣相前驅 物。結果顯示於圖2中。 • 之合成及熬杆1 藉由使1莫耳HfCl4於EtCpNa上反應易於獲得Hf (EtCp)2 Cl2。 隨後,藉由在-20°c下將2莫耳LiMe緩慢添加於i莫 2 Hf (EtCp)2 Cl2上且增加溫度直至而獲得(价 Μ=。藉由蒸餾使所獲得之透明液態Hf (EtCp)2 與uci 及岭劑分離。在真空條件下進行Hf (EtCp)2 之Τ(}α分 析起始質量為約3〇mg,留下1〇%殘餘物。(見圖3) *^L^LgjL(EtC為也心i行HfO,之原子 32 200813245 層沈精 此實施例係關於給氧化物膜之製造。將石夕晶圓安置於 周緣具有加熱器之沈積腔室巾,且使所要膜形成於石夕晶圓 表面上:以泵排空腔室。將此情形所涉及之金屬前驅物二 曱基二茂铪(dimethylhafnocene)刪、(EtCp)2儲存於經加 由使氮氣流經该液化材料來傳送液體容器中之 。經質量流量控制器將氮氣傳送至蒸發器中。Hf (Etcp^ Me2蒸氣由氮氣載運且一起被供給至腔室中或當將前驅物 引入反應裔中之閥門閉合時,轉向旁路管線。亦經由質量 熱之液體容器中。將氮氣用#Hf (EtCp)2心之載氣。藉
流量控制器將氧氣/臭氧氣體混合物(氧化劑)供給至腔室 中,或供給至旁路管線。從不將一側之氧氣/臭氧與另一侧 之Hf (EtCp)2 Me2 —起引入以避免高可能性CVD反應發 生。其通常依次引入,各反應物脈衝均間隔著僅將乂引入 腔室中之脈衝時間。 使用所述工具’在下列條件下以約〇·7 A/週期產生給 氧化物膜: 壓力=3托,溫度=350°C,Hf (EtCp)2 Me2流動速率=〇·5 seem,〇2流動速率=loo sccm,流動速率^ 8 sccm,>^2流 動速率=100 seem。 以實施例形式給出所得膜之歐傑(Auger )分佈況(見 圖4)。 【圖式簡單說明】 圖1為Zr(EtCp)(NMe2)3之熱解重量分析; 33 200813245 圖2為顯示Zr(EtCp)(NMe2)3比Zi* (NEtMe)4更加熱安 定的圖式, 圖 3 為 Hf(EtCp)2Me2i TGA 分析;及 圖4為根據本發明所得膜之歐傑(Auger)分佈。 【主要元件符號說明】 無
34

Claims (1)

  1. 200813245 十、申請專利範固: 1 · 一種將含至少一 方法,該介電膜包含式 (MlI-a M2J 〇b Ν〇5 其中: 種金屬之介電膜沈積於一基板上之 (1 )化合物: (I), 〇 < a < 1 ; 0 < b S 3,較佳 〇 < c < 1 ;
    M1表示選自給(Hf)、錯(ζ〇及鈦(Ti)之金屬; M2表示選自下列各物 屬原子·鎖(Mg)、鈣(Ca)、 鋅(Zn)、硼(B )、鉋 f Δ 〗、h , 、(Α1)、錮(Ιη)、矽(Si)、鍺 (Ge)、錫(Sn)、銓(Hf)、錯(zr)、欽⑺)、叙
    1,5 ^ b < 2.5 ; 、(V)、rt(Nb)、纽(Ta);及鑭系原子,更特定言之 為銳(Sc )、紀(Y)及鑭(La),及稀土金屬原子· 該方法包含下列步驟: 步驟a ):提供一基板於一反應腔室中; 步驟b) ··蒸發至少一種含M1金屬之★ Γ ττ、, 喝 < 式(Π)前驅物 以形成第一氣相金屬源: (R!y〇p)x (R2tCp)z M1 R\.x.z (II) 其中: M1係如上文所定義; 0 $ x S 3,較佳 X = 0 或 1 ; 0 S z S 3,較佳 z = 1 或 2 ; 35 200813245 1 <(x + z) < 4 ; 〇 S y S 7,較佳 y = 2; 〇 s t $ 5,較佳 t = 1 ; (R^Op )表不未經取代或經一或多個Rl基團取代之 戊二烯基(Op)配位基,y表示該戊二烯基配位基上取代 性R1基團的數目; (R2tCp )表示未經取代或經一或多個R2基團取代之 裱戊二烯基(Cp)配位基,t表示該環戊二烯基配位基上 取代性R1基團的數目; ® R1及R2相同或不同,且係獨立地選自由下列各物組 成之群:氯;具有1至4個碳原子之直鏈或支鏈烷基;… 烷基胺基,其中該烷基為直鏈或支鏈且具有1至4個碳原 子,N,N-二烷基胺基,其中各烷基彼此相同或不同,為直 鍵或支鏈且具有1至4個碳原子;具有1至4個碳原子之 直鏈或支鏈烷氧基;烷基矽烷基醯胺基;脒基;及幾基; R’表示獨立地選自由下列各物組成之群之配位基:氫、 _ 氣氣、>臭或職原子,具有1至4個碳原子之直鏈或支鏈 烷基;N-烷基胺基,其中該烷基為直鏈或支鏈且具有}至 4個碳原子;N,N-二烷基胺基,其中各烷基彼此相同或不 同’為直鏈或支鏈且具有1至4個碳原子;具有1至4個 石厌原子之直鏈或支鏈烧氧基;烧基石夕燒基胺基,其中該炫 基為直鏈或支鏈且具有1至4個碳原子;二烷基矽烷基胺 基’其中各烧基彼此相同或不同,為直鏈或支鏈且具有1 至4個碳原子;三烷基矽烷基胺基,其中各烷基彼此相同 或不同,為直鏈或支鏈且具有1至4個碳原子;脎基;及 36 200813245 羰基;應瞭解,若該式(II)包含一個以上R,基團,則各 可彼此相同或不同; 視情況,步驟b’):蒸發至少一種含M2金屬之前驅 物以形成視情況需要之第二氣相金屬源,m2係如上文所定 義; 步驟C )·將該第一氣相金屬源及該視情況需要之第 二氣相金屬源引入該反應腔室中’以促使其與該基板接 觸,從而在該基板上產生包含如上文所定義之式(1)化合 物的含金屬介電膜沈積; 其限制條件在於,若欲形成之含至少一種金屬之介電 膜包含式(Γ)化合物: u\ 〇2 2 (Γ), 其對應於如上文所定義之式(1),其中a = 0,b = 2 且C = 0 ;且若步驟b)中所涉及之含Ml金屬之前驅物為 式()化合物: (R'Cp)2 M1 R、 (ir), 其對應於如上文所定義之式(11),其中乂 = 〇且2 = 2, 則在該式(ir)中,在兩個(R2tCp)配位基中之至少一者 中 t > 〇 〇 2.如:請專利範圍第1項之方法,其中將該蒸發步驟 b )及该瘵發步,驟b’)組合於該兩個氣相金屬源之一個蒸 發步驟b’’)中。 3:如申請專利範圍第i或2項之方法,其中該含…金 屬之前驅物係選自由下列各物組成之群: 37 200813245 ♦衍生物或其鍺同系物,諸如: 二矽氧烷、三矽烷基胺、二矽烷、三矽烷、式(Πΐι) 之烧氧基碎烧: SiHx (OR3)4.x, (ΠΙι), 其中:〇$χ$3且R3表示具有1至6個碳原子之直鍵 或支鏈烴基; 式(m2)之矽烷醇衍生物:
    Si(〇H)x(OR,4_x (III2), 其中:1 S X S 3且R4表示具有i至6個碳原子之直鍵 或支鏈烷基,較佳為Si (OH) (〇R4)3且更佳為Si (〇H) (OtBu)3 ; 式(III3)之胺基石夕烧衍生物: siH“NR5R6)“ (III3), 其中·· 0 S x S 3,且R5及R6相同或不同且獨立地表 示氫原子或具有1至6個碳原子之直鏈或支鏈烷基,較佳 為 SiH (NMe2)3 (TriDMAS)、SiH2 (NHtBn)2 (BTBAS)、SiH2 (NEt2)2(BDEAS)及其混合物; 鱼JL生物’諸如三甲基銘[A1 (CH3)3]、氫化二甲基鋁 [A1H (CH3)2]、式(IVl)之烷氧基鋁烷·· A1R8x (OR7)3 x 3·χ (IV】), 其中:0<χ<3,主一 θ 丄 1 -- 丑R表不具有1至ό個碳原子之直 鏈或支鏈烧基’且R7相同或不同且表示氯原子,或 較佳為AIR9R,R7),其+ R9& R1。相同或不同且獨立地 表示具有1至6個碳原子之直鏈或支鏈烷基,最佳為紙 38 200813245 (OiPr); 式(IV2)之龜胺基鋁烷: A1R11X (NR12R13)3.x (IV2), 其中·〇£χ$3,且R12及R13相同或不同且表示氫原 子或具有1至6個蚊原子之直鏈或支鏈烧基,且汉u與尺7 相同或不同且表示氫原子或具有1至6個碳原子之直鍵或 支鏈烷基; #旦衍生.物’諸如 Ta (〇Me)5、Ta (OEt)5、Ta (NMe2)5、 _ Ta (NEt2)5、Ta (NEt2)5 ;式(Vi)之鈕衍生物: Ta (OR14)4 [O-C (R15) (R16)-CH2-〇R17] ^ 其中R14、R15、R16及相同或不同且獨立地表示氫 原子或具有1至6個碳原子之直鏈或支鏈烧基,較佳為^ (OEt)4 (OCMe2CH2-OMe) (TAT-DMAE);式(γ2)之釦衍 生物: Ta (OR18)4 [O-C (R19) (R20)-CH2-N (R21) (R22)j ( γ
    其中R18、R19、R2〇、R2i及R22相同或不同且獨立地表 示氫原子或具有1至6個碳原子之直鏈或支鏈烷基;式 (V3)之鈕衍生物: Ta (= NR24) (NR25R^)3 ( V ), 其中R24、R25及R26相同或不同且獨立地表示氫原子 或具有1至6個碳原子之直鏈或支鏈烷基; 星致生物’_諸如勘(〇Me)5、Nb (OEt)5、Nb (NMe2)5、 Nb (膽2)4、Nb (NEq)5,·式(VIl )之鈮衍生物: Nb (OR27)4 (O-C (R28) (R29)-CH2-OR30) (VI!), 39 200813245 其中R R、R及反3〇相同或不同且獨立地表示氯 原子或具有1至6個碳原子之直鏈或支鏈烷基,較佳為= (OEt)4 (〇CMe2CH2-〇Me) (NBT-DMAE);式(VI、夕力, 衍 生物: Nb (OR31)4 [O-C (R32) (R33).CH2-N (R34) (R35)] ( VI ), 其中R31、R32、R33、反34及R35相同或不同且獨立地表 示氫原子或具有1至6個碳原子之直鏈或支鏈烷基;式 (VI3)之鈮衍生物: I
    Nb (= NR36) (NR37R38)3 ( VI ) 其中R36、R37及R38相同或不同且獨立地表示氫原子 或具有1至6個瑗原子之直鏈或支鏈烧基; 系衍-生物’諸如銳衍生物、釔衍生物、鈽衍生物、 镨(praesidium)衍生物、釓衍生物或Nd衍生物、具有至 少一個β _二酮酸酯基(diketonate)配位基或至少一個視 情況經一或多個具有1至6個碳原子之直鏈或支鏈烷基取 代的環戊二烯基配位基之衍生物: 三i賈金屬_衍生物’諸如具有至少一個β -二酮酸酯基配 位基或至少一個視情況經一或多個具有〗至6個碳原子之 直鏈或支鏈烷基取代的環戊二烯基配位基之鳃(Sr )、鋇 (Ba)、鎂(Mg)、鈣(Ca)或鋅(Zn)衍生物; 甚A金屬衍生物,諸如鎢(W )、錮(Mo )、铪(Hf) 或鍅(Zr )衍生物,例如烷氧基衍生物、胺基衍生物或含 該等物質之加合物,應瞭解該等衍生物並非如上文所定義 之式(II )化合物。 200813245 4. 如申請專利範圍第丨至3項中任一項之方法,其進 一步包含: ” 八 V驟d),其中在步驟c)之前將該至少一種含μ1 金屬之式(II)前驅物及必要時該至少一種含M2金屬之前 驅物與至少一種反應物種混合。 5. 如申請專利範圍第丨至4項中任一項之方法,其進 一步包含: 乂驟d’),其中在該反應腔室中將該至少一種含m1 孟屬之式(11)前驅物及必要時該至少一種含Μ2金屬之前 驅物與至少一種反應物種混合。 6. 如申請專利範圍第i至5項中任一項之方法,其中 ”亥步驟(b)係由下列步驟組成:步驟(,將至少 第 '一含今M i b , 、 一 w 之式(II )鈾驅物與下列前驅物中之至少一種 第二前驅物混合在一起:M1 (NMe2)4、M1 (NEt丄、M1 (N^eEt)4、M1 (mmp)4、M1 (〇飯)4、M1 (〇tBu)2 (mmp)2 及 其此*合物;及步驟(t>2 ),蒸發該混合物。 7. 如申請專利範圍第丨至6項中任一項之方法,直 該含W金屬之前驅物具有式(II1): '、 ^R2tcP) Μ1 [N (R39) (R40)]3 ( II ), 4〇其對應於式(Π),其中x = 〇, z = 1且R,表示基團N (R39) ()八中R39及R40相同或不同且獨立地表示氫原子; 具有1至4個碳原子之直鏈或支鏈烷基;烷基矽烷基,其 中該烧基為直鏈或支鏈且具有1纟4個碳原子;二院基石夕 烷基,其中各烷基彼此相同或不同,為直鏈或支鏈且具有 200813245 , 1至4個碳原子;或三烷基矽烷基,其中各烷基彼此相同 或不同,為直鏈或支鏈且具有1至4個碳原子。 8 ·如申請專利範圍第1至7項中任一項之方法,其係 沈積含金屬介電膜,該介電膜包含式(L)化合物: m1〇2 (I!), 其對應於式(I),其中a = 0,b = 2且c = 〇,其中該 含金屬之式(II )前驅物係選自由下列各物組成之群: HfCp2Cl2、Hf (MeCp)2 Me2、HfCp (MeCp) Cl2、Hf (MeCp)2 _ C12、HfCp (MeCp) Me2、Hf (EtCp) (MeCp) Me2、Hf (EtCp)2 Me2、Hf (MeCp)2 (CO)2、ZrCp2Cl2、Zr (MeCp)2 Me2、ZrCp (MeCp) Cl2、Zr (MeCp)2 Cl2、ZrCp (MeCp) Me2、Zr (EtCp) (MeCp) Me2、Zr (EtCp)2 Me2、Zr (MeCp)2 (CO)2、Zr (MeCp) (NMe2)3、Zr (EtCp) (NMe2)3、ZrCp (NMe2)3、Zr (MeCp) (NEtMe)3、Zr (EtCp) (NEtMe)3、ZrCp (NEtMe)3、Zr (MeCp) (NEt2)3、Zr (EtCp) (NEt2)3、ZrCP (NEt2)3、Zr (iPr2Cp) (NMe2)3、Zr _2Cp) (NMe2)3、Hf (MeCp) (NMe2)3、Hf (EtCp) 修 (NMe2)3、HfCp (NMe2)3、Hf (MeCp) (NEtMe)3、Hf (EtCp) (NEtMe)3、HfCp (NEtMe)3、Hf (MeCp) (NEt2)3、Hf (EtCp) (NEt2)3、HfCp (NEt2)3、Hf (iPr2Cp) (NMe2)3、Hf (tBu2Cp) (NMe2)3及其混合物。 9 ·如申請專利範圍第1至7項中任一項之方法,其係 沈積含金屬介電膜,該介電膜包含式(12)化合物: M]〇b Nc? (l2), 其對應於式(I),其中 a = 0,1.5$b$2.5 且 0<c$0.5, 42 200813245 其中該含金屬之式(Π)前驅物係選自由下列各物組成之 群:HfCp2Cl2、Hf (MeCp)2 Me2、HfCp (MeCp) Cl2、Hf (MeCp)2 Cl2、HfCp (MeCp) Me2、Hf (EtCp) (MeCp) Me2、Hf (EtCp)2 Me2、Hf (MeCp)2 (CO)2、ZrCp2Cl2、Zr (MeCp)2 Me2、Zr (MeCp)2 Cl2、ZrCp (MeCp) Me2、Zr (EtCp) (MeCp) Me2、 Zr (EtCp)2 Me2、Zr (MeCp)2 (CO)2、Zr (MeCp) (NMe2)3、Zr (EtCp) (NMe2)3、ZrCp (NMe2)3、Zr (MeCp) (NEtMe)3、Zr (EtCp) (NEtMe)3、ZrCp (NEtMe)3、Zr (MeCp) (NEt2)3、Zr (EtCp) (NEt2)3、ZrCp (NEt2)3、Zr (iPr2Cp) (NMe2)3、Zr (tBu2Cp) (NMe2)3、Hf (MeCp) (NMe2)3、Hf (EtCp) (NMe2)3、 HfCp (NMe2)3、Hf (MeCp) (NEtMe)3、Hf (EtCp) (NEtMe)3、 HfCp (NEtMe)3、Hf (MeCp) (NEt2)3、Hf (EtCp) (NEt2)3、HfCp (NEt2)3、Hf (iPr2Cp) (NMe2)3、Hf (tBu2Cp) (NMe2)3 及其混 合物。 10.如申請專利範圍第1至7項中任一項之方法,其係 沈積含金屬介電膜,該介電膜包含式(13)化合物: (MS., M2a) 〇b (I3), 其對應於式(I),其中〇$a<l且c = 0,其中該含 金屬之式(II )前驅物係選自由下列各物組成之群·· HfCp2Cl2、Hf (MeCp)2 Me2、HfCp (MeCp) Cl2、Hf(MeCp)2 Cl2、HfCp (MeCp) Me2、Hf (EtCp) (MeCp) Me2、Hf (EtCp)2 Me2、Hf (MeCp)2 (CO)2、ZrCp2Cl2、Zr (MeCp)2 Me2、ZrCp (MeCp) Cl2、Zr (MeCp)2 Cl2、ZrCp (MeCp)Me2、Zr (EtCp) (MeCp) Me2、Zr (EtCp)2 Me2、Zr (MeCp)2 (CO)2、Zr (MeCp) 43 200813245 (NMe2)3、Zr (EtCp) (NMe2)3、ZrCp (NMe2)3、Zr (MeCp) (NEtMe)3、Zr (EtCp) (NEtMe)3、ZrCp (NEtMe)3、Zr (MeCp) (NEt2)3、Zr (EtCp) (NEt2)3、ZrCp (NEt2)3、Zr (iPr2Cp) (NMe2)3、Zr (tBu2Cp) (NMe2)3、Hf (MeCp) (NMe2)3、Hf (EtCp) (NMe2)3、HfCp (NMe2)3、Hf (MeCp) (NEtMe)3、Hf (EtCp) (NEtMe)3、HfCp (NEtMe)3、Hf (MeCp) (NEt2)3、Hf (EtCp) (NEt2)3、HfCp (NEt2)3、Hf (iPr2Cp) (NMe2)3、Hf (tBu2Cp) (NMe2)3,且該含M2金屬之前驅物較佳係選自如上文所定 義之矽衍生物或其鍺同系物、鈕衍生物、鑭系衍生物及鎂 衍生物。 11 ·如申請專利範圍第1至7項中任一項之方法,其係 沈積含金屬介電膜,該介電膜包含式(14)化合物·· (MS.a M2a) Ob Nc (I4), 其對應於式(I),其中〇Sa<l且0<c$0.5,其中 該含金屬之式(II )前驅物係選自由下列各物組成之群·· HfCp2Cl2、Hf (MeCp)2 Me2、HfCp (MeCp) Cl2、Hf (MeCp)2 Cl2、HfCp (MeCp) Me2、Hf (EtCp) (MeCp) Me2、Hf (EtCp)2 Me2、Hf (MeCp)2 (CO)2、ZrCp2Cl2、Zr (MeCp)2 Me2、ZrCp (MeCp) Cl2、Zr (MeCp)2 Cl2、ZrCp (MeCp) Me2、Zr (EtCp) (MeCp) Me2、Zr (EtCp)2 Me2、Zr (MeCp)2 (CO)2、Zr (MeCp) (NMe2)3、Zr (EtCp) (NMe2)3、ZrCp (NMe2)3、Zr (MeCp) (NEtMe)3、Zr (EtCp) (NEtMe)3、ZfCp (NEtMe)3、Zr (MeCp) (NEt2)3、Zr (EtCp) (NEt2)3、ZrCp (NEt2)3、Zr (iPr2Cp) (NMe2)3、Zr (tBu2Cp) (NMe2)3、Hf (MeCp) (NMe2)3、Hf (EtCp) 44 200813245 (NMe2)3、HfCp (NMe2)3、Hf (MeCp) (NEtMe)3、Hf (EtCp) (NEtMe)3、HfCp (NEtMe)3、Hf (MeCp) (NEt2)3、Hf (EtCp) (NEt2)3、HfCp (NEt2)3、Hf (iPr2Cp) (NMe2)3、Hf (tBn2Cp) (NMe2)3 ’该含。M2金屬之前驅物較佳係選自如上文所定義 之矽衍生物或其鍺同系物、钽衍生物、鑭系衍生物及鎂衍 生物’且將至少一種含氧前驅物及至少一種含氮前驅物引 入該反應器中。 12·一種如申請專利範圍第1項之式(II)化合物之用 ® 途’其係用以製造更特定言之用於積體電路之介電膜,或 用以製備用於隨機存取記憶體(Randorn Access Memories ) 之金屬絕緣體金屬(MIM )架構。 13·—種式(nj化合物, (R2tCp) Μ1 [N (R39) (R40)]3 (uj , 其對應於如申請專利範圍第丨項之式(n),其中x = , z = 1且R,表示基團N (R39) (R40),其中r39及R40相同或 _ 不同且獨立地表示氫原子;具有1至4個碳原子之直鏈或 支鏈烷基;烷基矽烷基,其中該烷基為直鏈或支鏈且具有 1至4個碳原子;二烷基矽烷基,其中各烷基彼此相同或 不同,為直鏈或支鏈且具有丨至4個碳原子;或三烷基矽 烷基,其中各烷基彼此相同或不同,為直鏈或支鏈且具有 1至4個碳原子。 14.如申請專利範圍第13項之式(Πι)化合物,其中 R2、R39及R4〇相同或不同且獨立地表示選自甲基、乙基、 丙基、異丙基、丁基、異丁基、第二丁基及第三丁基之基 45 200813245 團。 1 5·如申請專利範圍第14項之式(Π!)化合物,其為: Zr (MeCp) (NMe2)3、Zr (EtCp) (NMe2)3、ZrCp (NMe2)3、 Zr (MeCp) (NEtMe)3、Zr (EtCp) (NEtMe)3、ZrCp (NEtMe)3、 Zr (MeCp) (NEt2)3、Zr (EtCp) (NEt2)3、ZrCp (NEt2)3、Zr (iPr2Cp) (NMe2)3、Zr (tBu2Cp) (NMe2)3、Hf (MeCp) (NMe2)3、Hf (EtCp) (NMe2)3、HfCp (NMe2)3、Hf (MeCp) (NEtMe)3、Hf (EtCp) (NEtMe)3、HfCp (NEtMe)3、Hf (MeCp) • (NEt2)3、Hf (EtCp) (NEt2)3、HfCp (NEt2)3、Hf (iPr2Cp) (NMe2)3、Hf (tBu2Cp) (NMe2)3。 16·如申請專利範圍第15項之式(I' )化合物,其為: Zr (EtCp) (NMe2)3、Zr (MeCp) (NMe2)3、ZrCp (NMe2)3、 Hf (EtCp) (NMe2)3、Hf (MeCp) (NMe2)3 及 HfCp (NMe2)3。 17·—種用於製備如申請專利範圍第i3至i6項中任一 項之式(Ili )化合物之方法,其包含: Φ 步# 1 ’其係由藉由使MWl4與(R'Cp) Na反應來製備 式(VIL )化合物所組成, (R2tCp) M^C13 (VII,), 其中Μ1、R2及t係如上文關於式(π )所定義; 其係由使步驟1中所製備之式(VIIi)化合 物與NH(R”)⑻❶)反應以產生式(II1)化合物所組成。 1δ.如申請專利範圍第1項之式(Π)化合物,其為: Hf (EtCp)2 Me2 . Zr (MeCp)2 Me2 Zr (EtCp)2 Me2 〇 46
TW096119873A 2006-06-02 2007-06-01 形成介電膜之方法、新穎前驅物及其在半導體製造上的用途 TWI525210B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/EP2006/062893 WO2007140813A1 (en) 2006-06-02 2006-06-02 Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing

Publications (2)

Publication Number Publication Date
TW200813245A true TW200813245A (en) 2008-03-16
TWI525210B TWI525210B (zh) 2016-03-11

Family

ID=37192518

Family Applications (2)

Application Number Title Priority Date Filing Date
TW099135202A TWI374196B (en) 2006-06-02 2007-06-01 Method of forming dielectric films, new precursors and their use in the semi-conductor manufacturing
TW096119873A TWI525210B (zh) 2006-06-02 2007-06-01 形成介電膜之方法、新穎前驅物及其在半導體製造上的用途

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW099135202A TWI374196B (en) 2006-06-02 2007-06-01 Method of forming dielectric films, new precursors and their use in the semi-conductor manufacturing

Country Status (9)

Country Link
US (6) US8399056B2 (zh)
EP (4) EP2029790A1 (zh)
JP (4) JP2009539237A (zh)
KR (3) KR101106349B1 (zh)
CN (3) CN101460657A (zh)
AT (1) ATE541959T1 (zh)
IL (2) IL195227A (zh)
TW (2) TWI374196B (zh)
WO (2) WO2007140813A1 (zh)

Families Citing this family (447)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7087480B1 (en) * 2002-04-18 2006-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Process to make high-k transistor dielectrics
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
SG171683A1 (en) 2006-05-12 2011-06-29 Advanced Tech Materials Low temperature deposition of phase change memory materials
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
EP1916253A1 (en) * 2006-10-26 2008-04-30 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude New group V metal containing precursors and their use for metal containing film deposition
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
CN101495672B (zh) 2006-11-02 2011-12-07 高级技术材料公司 对于金属薄膜的cvd/ald有用的锑及锗复合物
KR100805018B1 (ko) * 2007-03-23 2008-02-20 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US8071163B2 (en) 2007-04-07 2011-12-06 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition of Ta- or Nb-doped high-k films
CN101680085B (zh) * 2007-05-21 2012-12-05 乔治洛德方法研究和开发液化空气有限公司 用于半导体领域的钴前体
US7951711B2 (en) 2007-05-21 2011-05-31 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal precursors for semiconductor applications
EP2191034B1 (en) 2007-09-14 2013-03-13 Sigma-Aldrich Co. LLC Methods of preparing thin films by atomic layer deposition using monocyclopentadienyl triamino zirconium precursors
EP2201149B1 (en) 2007-09-14 2013-03-13 Sigma-Aldrich Co. Methods of preparing titanium containing thin films by atomic layer deposition using monocyclopentadienyl titanium-based precursors
KR101458953B1 (ko) 2007-10-11 2014-11-07 삼성전자주식회사 Ge(Ⅱ)소오스를 사용한 상변화 물질막 형성 방법 및상변화 메모리 소자 제조 방법
US8834968B2 (en) 2007-10-11 2014-09-16 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
SG178736A1 (en) 2007-10-31 2012-03-29 Advanced Tech Materials Amorphous ge/te deposition process
US20090215225A1 (en) 2008-02-24 2009-08-27 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
CN101959897A (zh) * 2008-02-27 2011-01-26 乔治洛德方法研究和开发液化空气有限公司 使用原子层沉积(ald)法在基底上形成含钛层的方法
JP2011517056A (ja) * 2008-04-07 2011-05-26 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード TaまたはNbドープhigh−kフィルムの堆積
EP2174942B1 (en) 2008-10-07 2011-11-30 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium and vanadium organometallic precursors for thin film deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8330136B2 (en) 2008-12-05 2012-12-11 Advanced Technology Materials, Inc. High concentration nitrogen-containing germanium telluride based memory devices and processes of making
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100290945A1 (en) * 2009-05-13 2010-11-18 Ce Ma Solution based zirconium precursors for atomic layer deposition
SG177399A1 (en) * 2009-07-06 2012-02-28 Linde Ag Solution based precursors
US20110020547A1 (en) * 2009-07-21 2011-01-27 Julien Gatineau High dielectric constant films deposited at high temperature by atomic layer deposition
KR20120088652A (ko) * 2009-07-21 2012-08-08 시그마-알드리치 컴퍼니., 엘엘씨 티타늄-함유 박막을 형성하기 위한 조성물 및 이용 방법
US9045509B2 (en) * 2009-08-14 2015-06-02 American Air Liquide, Inc. Hafnium- and zirconium-containing precursors and methods of using the same
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011057114A2 (en) 2009-11-09 2011-05-12 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods of making and deposition methods using hafnium- or zirconium-containing compounds
US8592606B2 (en) 2009-12-07 2013-11-26 Air Products And Chemicals, Inc. Liquid precursor for depositing group 4 metal containing films
WO2011093203A1 (ja) * 2010-01-29 2011-08-04 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び半導体装置
KR101706809B1 (ko) 2010-03-26 2017-02-15 엔테그리스, 아이엔씨. 게르마늄 안티몬 텔루라이드 물질 및 이를 포함하는 장치
WO2011146913A2 (en) 2010-05-21 2011-11-24 Advanced Technology Materials, Inc. Germanium antimony telluride materials and devices incorporating same
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
JP5587716B2 (ja) * 2010-09-27 2014-09-10 マイクロンメモリジャパン株式会社 半導体装置及びその製造方法、並びに吸着サイト・ブロッキング原子層堆積法
CN102060865B (zh) * 2010-11-15 2013-04-24 南京航空航天大学 酰胺钆配合物的合成方法及其在制备高k材料前驱体的应用
JP5957017B2 (ja) 2011-03-15 2016-07-27 メカロニックス シーオー. エルティディ.Mecharonics Co. Ltd. 新規な4b族有機金属化合物及びその製造方法
JP5675458B2 (ja) * 2011-03-25 2015-02-25 東京エレクトロン株式会社 成膜方法、成膜装置および記憶媒体
JP5732962B2 (ja) * 2011-03-28 2015-06-10 宇部興産株式会社 ジルコニウムアミド化合物の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8574997B2 (en) * 2011-06-06 2013-11-05 Intermolecular, Inc. Method of using a catalytic layer to enhance formation of a capacitor stack
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8927059B2 (en) * 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors
JP5766098B2 (ja) * 2011-11-17 2015-08-19 株式会社アルバック 絶縁膜形成方法及び絶縁膜形成装置
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI554636B (zh) * 2012-04-25 2016-10-21 應用材料股份有限公司 由金屬脒鹽前驅物製造介電膜的方法
TW201408679A (zh) * 2012-05-25 2014-03-01 Air Liquide 用於蒸氣沈積之含鈦前驅物
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014070682A1 (en) 2012-10-30 2014-05-08 Advaned Technology Materials, Inc. Double self-aligned phase change memory device structure
US9663538B2 (en) 2012-12-25 2017-05-30 Adeka Corporation Aluminum compound, thin-film forming raw material, and method for producing thin film
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102123996B1 (ko) 2013-02-25 2020-06-17 삼성전자주식회사 알루미늄 전구체, 이를 이용한 박막 형성 방법 및 커패시터 형성 방법
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR101993355B1 (ko) * 2013-03-13 2019-09-30 삼성전자주식회사 반도체 장치의 제조 방법
WO2014140672A1 (en) * 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
WO2014168312A1 (ko) * 2013-04-08 2014-10-16 주식회사 유피케미칼 4 족 전이금속-함유 전구체 화합물 및 이를 이용하는 박막의 증착 방법
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10106887B2 (en) 2013-11-13 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015193878A (ja) * 2014-03-31 2015-11-05 東京エレクトロン株式会社 TiSiN膜の成膜方法および成膜装置
NZ725495A (en) * 2014-04-02 2020-05-29 Franck Natali Doped rare earth nitride materials and devices comprising same
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
KR102193623B1 (ko) 2014-06-05 2020-12-21 삼성전자주식회사 커패시터 및 그 제조 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9663547B2 (en) * 2014-12-23 2017-05-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
US9499571B2 (en) * 2014-12-23 2016-11-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Germanium- and zirconium-containing compositions for vapor deposition of zirconium-containing films
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9875890B2 (en) * 2015-03-24 2018-01-23 Lam Research Corporation Deposition of metal dielectric film for hardmasks
CN113652672B (zh) * 2015-05-27 2023-12-22 Asm Ip 控股有限公司 用于含钼或钨薄膜的ald的前体的合成和用途
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10858379B2 (en) * 2015-11-11 2020-12-08 Korea Research Institute Of Chemical Technology Metal precursor for making metal oxide
KR20170058820A (ko) * 2015-11-19 2017-05-29 주식회사 유진테크 머티리얼즈 유기 4족 화합물을 포함하는 전구체 조성물 및 이를 이용한 박막 형성 방법
KR20160105714A (ko) 2015-11-26 2016-09-07 김현창 지르코늄 금속을 함유하는 신규한 유기금속 화합물 및 그 제조 방법, 그리고 이를 이용한 박막의 제조 방법
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
JP6691009B2 (ja) * 2016-07-05 2020-04-28 株式会社Adeka 金属炭化物含有薄膜形成用原料及び金属炭化物含有薄膜の製造方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10358407B2 (en) 2016-10-12 2019-07-23 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10106568B2 (en) 2016-10-28 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) * 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10465289B2 (en) * 2016-12-30 2019-11-05 L'Air Liquide, Société Anonyme pour l'Etude at l'Exploitation des Procédés Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US11193206B2 (en) * 2017-03-15 2021-12-07 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11631580B2 (en) 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11081337B2 (en) * 2017-03-15 2021-08-03 Versum Materials U.S., LLC Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP7000882B2 (ja) * 2017-03-31 2022-01-19 Tdk株式会社 酸窒化物薄膜および容量素子
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10479732B2 (en) 2017-03-31 2019-11-19 Tdk Corporation Oxynitride thin film and capacitance element
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102357946B1 (ko) 2017-08-17 2022-02-08 어플라이드 머티어리얼스, 인코포레이티드 올레핀 분리기가 없는 Li-이온 배터리
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
JP7235466B2 (ja) * 2018-01-26 2023-03-08 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ランタノイド化合物、ランタノイド含有薄膜、および該ランタノイド化合物を用いたランタノイド含有薄膜の成膜方法
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102235869B1 (ko) * 2018-02-07 2021-04-05 주식회사 유피케미칼 4 족 금속 원소-함유 화합물, 이의 제조 방법, 이를 포함하는 막 형성용 전구체 조성물, 및 이를 이용하는 막의 형성 방법
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20210041104A (ko) * 2018-09-03 2021-04-14 어플라이드 머티어리얼스, 인코포레이티드 박막 증착을 위한 직접 액체 주입 시스템
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020122506A2 (ko) * 2018-12-12 2020-06-18 에스케이트리켐 주식회사 금속막 형성용 전구체 조성물, 이를 이용한 금속막 형성 방법 및 상기 금속막을 포함하는 반도체 소자.
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11462398B2 (en) 2019-07-17 2022-10-04 International Business Machines Corporation Ligand selection for ternary oxide thin films
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202136571A (zh) 2020-02-10 2021-10-01 荷蘭商Asm Ip 控股公司 高深寬比孔內的氧化鉿之沉積
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220205099A1 (en) * 2020-12-29 2022-06-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Group iv element containing precursors and deposition of group iv element containing films
KR102309466B1 (ko) * 2021-04-06 2021-10-07 삼성엔지니어링 주식회사 암모니아 분해촉매 및 이를 이용한 암모니아 분해방법
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
KR20220157741A (ko) * 2021-05-21 2022-11-29 주식회사 아이켐스 신규한 하프늄 함유 화합물, 이를 함유하는 하프늄 전구체 조성물, 상기 하프늄 전구체 조성물을 이용한 하프늄 함유 박막 및 이의 제조방법.
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102649530B1 (ko) * 2021-12-23 2024-03-20 연세대학교 산학협력단 Ald 공정을 이용한 산화지르코늄 결정 박막 저온 증착 방법
WO2024030616A1 (en) * 2022-08-05 2024-02-08 Dow Global Technologies Llc Asymmetrical hafnium metallocenes

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01501487A (ja) 1987-06-17 1989-05-25 ザ ダウ ケミカル カンパニー シンジオタクチックポリスチレンの製造のための触媒および方法
BR9104067A (pt) 1990-09-20 1992-05-26 Dow Chemical Co Composto,processo para preparacao de compostos cataliticos e processo para polimerizacao de um ou mais monomeros
US6428623B2 (en) 1993-05-14 2002-08-06 Micron Technology, Inc. Chemical vapor deposition apparatus with liquid feed
ES2149379T3 (es) 1994-11-29 2000-11-01 Idemitsu Petrochemical Co Polimero de estireno y articulos moldeados.
IT1272939B (it) 1995-02-01 1997-07-01 Enichem Spa Catalizzatore metallocenico supportato per la (co)polimerizzazione delle olefine
KR100326744B1 (ko) 1995-02-28 2002-06-20 로데릭 더블류 루이스 가공물의표면상에막의화학적증착을수행하는방법
US5527752A (en) 1995-03-29 1996-06-18 Union Carbide Chemicals & Plastics Technology Corporation Catalysts for the production of polyolefins
US5587439A (en) 1995-05-12 1996-12-24 Quantum Chemical Corporation Polymer supported catalyst for olefin polymerization
US5665818A (en) 1996-03-05 1997-09-09 Union Carbide Chemicals & Plastics Technology Corporation High activity staged reactor process
IT1283010B1 (it) 1996-05-15 1998-04-03 Enichem Spa Complesso metallocenico supportato e procedimento per la sua prepa- razione
US5693727A (en) 1996-06-06 1997-12-02 Union Carbide Chemicals & Plastics Technology Corporation Method for feeding a liquid catalyst to a fluidized bed polymerization reactor
US5986533A (en) 1996-06-18 1999-11-16 Dale Electronics, Inc. Monolithic thick film inductor
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
TW383427B (en) 1998-04-03 2000-03-01 United Microelectronics Corp Method for etching tantalum oxide
ES2216586T3 (es) 1998-06-12 2004-10-16 Univation Technologies Llc Procedimiento de polimerizacion de olefinas que usa complejos activados de acido-base de lewis.
US6069109A (en) 1998-07-01 2000-05-30 Union Carbide Chemicals & Plastics Technology Corporation Process for the production of half-sandwich transition metal based catalyst precursors
FI108375B (fi) 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
CA2248463A1 (en) * 1998-09-28 2000-03-28 Scott Collins Iminophosphonamide complexes for olefin polymerization
US6445023B1 (en) * 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
US6238734B1 (en) * 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
JP3862900B2 (ja) 1999-10-01 2006-12-27 株式会社トリケミカル研究所 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法
FI117942B (fi) * 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
JP4868639B2 (ja) 2000-06-12 2012-02-01 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
EP1313744A4 (en) 2000-08-28 2004-03-31 Advanced Tech Materials REAGENT COMPOSITION AND METHOD FOR FORMING METAL LAYERS ON SUBSTRATES BY MEANS OF CHEMICAL GAS PHASE DEPOSITION
JP4693970B2 (ja) 2000-09-14 2011-06-01 株式会社トリケミカル研究所 ゲート酸化膜形成方法
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
US6884719B2 (en) * 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6642131B2 (en) * 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6669990B2 (en) * 2001-06-25 2003-12-30 Samsung Electronics Co., Ltd. Atomic layer deposition method using a novel group IV metal precursor
DE02772548T1 (de) 2001-10-26 2004-11-11 Epichem Ltd., Wirral Vorlaeuferverbindungen für chemische dampfphasenabscheidung
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
JP2005533390A (ja) 2002-07-18 2005-11-04 アヴィザ テクノロジー インコーポレイテッド 混合成分を有する薄膜の分子層蒸着
JP2005534173A (ja) * 2002-07-19 2005-11-10 アヴィザ テクノロジー インコーポレイテッド 金属酸窒化物及び金属シリコン酸窒化物の金属・有機化学気相成長法及び原子層蒸着法
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6689675B1 (en) * 2002-10-31 2004-02-10 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
JP4290421B2 (ja) * 2002-12-27 2009-07-08 Necエレクトロニクス株式会社 半導体装置及びその製造方法
US20040144980A1 (en) 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
JP2004296887A (ja) * 2003-03-27 2004-10-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US6844271B2 (en) 2003-05-23 2005-01-18 Air Products And Chemicals, Inc. Process of CVD of Hf and Zr containing oxynitride films
US20050056219A1 (en) 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
JP2005104994A (ja) 2003-09-26 2005-04-21 Sekisui Chem Co Ltd 無機薄膜成膜方法
KR101012950B1 (ko) 2003-10-15 2011-02-08 삼성전자주식회사 유기 절연체 형성용 조성물 및 이를 이용하여 제조된 유기절연체
JP2005171291A (ja) 2003-12-09 2005-06-30 Tosoh Corp チタン含有薄膜およびその製造方法
JP2005187356A (ja) * 2003-12-25 2005-07-14 Mitsubishi Materials Corp 有機金属化合物及びその溶液原料並びに該化合物を用いた金属含有膜の形成方法
JP2005209766A (ja) * 2004-01-21 2005-08-04 Mitsubishi Materials Corp ハフニウム含有酸化膜の製造方法
US20060062910A1 (en) 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP4666339B2 (ja) 2004-05-14 2011-04-06 株式会社トリケミカル研究所 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
JP2005351450A (ja) 2004-06-14 2005-12-22 Atsuyoshi Mantani 転がり球免震支承の小径球強制循環転動路構造及び小径球循環路構造
US7250367B2 (en) 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
KR100728962B1 (ko) 2004-11-08 2007-06-15 주식회사 하이닉스반도체 지르코늄산화막을 갖는 반도체소자의 캐패시터 및 그 제조방법
US7514119B2 (en) 2005-04-29 2009-04-07 Linde, Inc. Method and apparatus for using solution based precursors for atomic layer deposition
US20070001231A1 (en) * 2005-06-29 2007-01-04 Amberwave Systems Corporation Material systems for dielectrics and metal electrodes
WO2007005088A2 (en) 2005-07-01 2007-01-11 Honeywell International Inc. Vaporizable metalorganic compounds for deposition of metals and metal-containing thin films
US8748361B2 (en) 2005-07-19 2014-06-10 Exxonmobil Chemical Patents Inc. Polyalpha-olefin compositions and processes to produce the same
JP2007131288A (ja) 2005-11-11 2007-05-31 Hideo Sunaga 省エネルギー型ジェット機
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
JP5128289B2 (ja) 2005-12-06 2013-01-23 株式会社トリケミカル研究所 ハフニウム系化合物、ハフニウム系薄膜形成材料、及びハフニウム系薄膜形成方法
EP2029790A1 (en) * 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
KR100804413B1 (ko) 2006-06-21 2008-02-20 (주)디엔에프 지르코늄 산화물 박막 증착용 유기금속 선구물질 및 이의제조 방법
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US7666752B2 (en) 2007-01-19 2010-02-23 Qimonda Ag Deposition method for a transition-metal-containing dielectric
US7833913B2 (en) * 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
KR20080101040A (ko) 2007-05-15 2008-11-21 주식회사 유피케미칼 금속 박막 또는 세라믹 박막 증착용 유기 금속 전구체화합물 및 이를 이용한 박막 증착 방법
KR101353824B1 (ko) * 2007-06-12 2014-01-21 삼성전자주식회사 유기 절연체 형성용 조성물 및 이를 이용하여 제조된 유기절연체
EP2201149B1 (en) 2007-09-14 2013-03-13 Sigma-Aldrich Co. Methods of preparing titanium containing thin films by atomic layer deposition using monocyclopentadienyl titanium-based precursors
CN101959897A (zh) 2008-02-27 2011-01-26 乔治洛德方法研究和开发液化空气有限公司 使用原子层沉积(ald)法在基底上形成含钛层的方法
JP5428702B2 (ja) 2009-09-24 2014-02-26 日本電気株式会社 ストリーム通信システム、サーバ装置及びクライアント装置
KR101598485B1 (ko) 2014-06-20 2016-02-29 주식회사 유진테크 머티리얼즈 성막용 전구체 조성물 및 이를 이용한 박막 형성 방법

Also Published As

Publication number Publication date
US20110207337A1 (en) 2011-08-25
JP2009539237A (ja) 2009-11-12
TWI525210B (zh) 2016-03-11
EP2540861A1 (en) 2013-01-02
WO2007140813A1 (en) 2007-12-13
IL195227A0 (en) 2009-08-03
CN101460657A (zh) 2009-06-17
CN101896638A (zh) 2010-11-24
KR20130027578A (ko) 2013-03-15
CN101982562A (zh) 2011-03-02
JP2014039045A (ja) 2014-02-27
JP2011071528A (ja) 2011-04-07
US20140242812A1 (en) 2014-08-28
US8668957B2 (en) 2014-03-11
EP2029790A1 (en) 2009-03-04
TW201116643A (en) 2011-05-16
IL195227A (en) 2014-06-30
KR20100122962A (ko) 2010-11-23
US20180151354A1 (en) 2018-05-31
US20170125242A1 (en) 2017-05-04
US8399056B2 (en) 2013-03-19
IL208360A0 (en) 2010-12-30
EP2261389A3 (en) 2010-12-22
US8470402B2 (en) 2013-06-25
WO2007141059A2 (en) 2007-12-13
KR101502251B1 (ko) 2015-03-12
JP5539153B2 (ja) 2014-07-02
WO2007141059A3 (en) 2010-06-17
EP2261389B1 (en) 2012-01-18
US9911590B2 (en) 2018-03-06
US10217629B2 (en) 2019-02-26
KR20090018080A (ko) 2009-02-19
KR101106349B1 (ko) 2012-01-18
ATE541959T1 (de) 2012-02-15
IL208360A (en) 2014-05-28
TWI374196B (en) 2012-10-11
CN101982562B (zh) 2013-02-27
EP2029791A2 (en) 2009-03-04
CN101896638B (zh) 2014-05-28
US20090311879A1 (en) 2009-12-17
US9583335B2 (en) 2017-02-28
US20090203222A1 (en) 2009-08-13
JP2010506378A (ja) 2010-02-25
EP2261389A2 (en) 2010-12-15
EP2540861B1 (en) 2015-01-14

Similar Documents

Publication Publication Date Title
TW200813245A (en) Method of forming dielectric films, new precursors and their use in the semi-conductor manufacturing
US10914001B2 (en) Volatile dihydropyrazinly and dihydropyrazine metal complexes
TWI461562B (zh) 使用ald方法於基板上形成含鈦層的方法
JP5275243B2 (ja) 新規なv族金属含有前駆体および金属含有膜の堆積のためのその使用
TW201229286A (en) Metal-enolate precursors for depositing metal-containing films
CN115279940B (zh) 铝前体化合物、其制备方法和使用其形成含铝膜的方法
TW202337892A (zh) 用於含鉍氧化物薄膜的烷基及芳基異配位鉍前驅物
TW201241224A (en) Hafnium-containing or zirconium-containing precursors for vapor deposition

Legal Events

Date Code Title Description
MC4A Revocation of granted patent