KR20200000477A - 고압 처리에 의한 텅스텐 탈플루오린화 - Google Patents

고압 처리에 의한 텅스텐 탈플루오린화 Download PDF

Info

Publication number
KR20200000477A
KR20200000477A KR1020197038099A KR20197038099A KR20200000477A KR 20200000477 A KR20200000477 A KR 20200000477A KR 1020197038099 A KR1020197038099 A KR 1020197038099A KR 20197038099 A KR20197038099 A KR 20197038099A KR 20200000477 A KR20200000477 A KR 20200000477A
Authority
KR
South Korea
Prior art keywords
chamber
gas
pressure
high pressure
tungsten film
Prior art date
Application number
KR1020197038099A
Other languages
English (en)
Other versions
KR102362626B1 (ko
Inventor
키스 태츤 웡
토마스 종완 퀑
션 강
엘리 와이. 예
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020227004404A priority Critical patent/KR102467700B1/ko
Publication of KR20200000477A publication Critical patent/KR20200000477A/ko
Application granted granted Critical
Publication of KR102362626B1 publication Critical patent/KR102362626B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

작업부재 상의 텅스텐 막을 처리하는 공정들과 관련된 방법들 및 시스템들은, 챔버 내에 작업부재를 지지하는 것, 챔버 내로 수소 가스를 도입하는 것, 적어도 5 기압의 압력을 설정하는 것, 및 챔버 내의 압력이 적어도 5 기압인 동안 작업부재 상의 텅스텐 막을 수소 가스에 노출시키는 것을 포함한다.

Description

고압 처리에 의한 텅스텐 탈플루오린화
본 발명은 반도체 웨이퍼와 같은 작업부재 상의 텅스텐 막의 고압 처리에 관한 것이다.
마이크로전자 회로들 및 다른 마이크로규모 디바이스들은 일반적으로 기판 또는 웨이퍼, 이를테면, 규소 또는 다른 반도체 물질 웨이퍼 상에서의 다수의 층들의 순차적 증착 및 패터닝에 의해 제조된다. 일부 응용들의 경우, 마이크로전자 또는 다른 마이크로규모 구성요소들을 형성하거나 전기 상호연결부들을 제공하기 위해 금속 막, 예컨대 텅스텐이 기판 상에 증착된다.
일부 층들의 경우, 원하는 물질 특성들을 달성하기 위해, 기판은 전형적으로 어닐링 공정을 거치게 되며, 어닐링 공정에서, 기판은 일반적으로 약 200 - 500 ℃로, 그리고 더 전형적으로는 약 300 - 400 ℃로 신속하게 가열된다. 기판은 비교적 짧은 시간, 예컨대 60 - 300 초 동안 이러한 온도들에서 유지될 수 있다. 그런 다음, 기판이 급속하게 냉각되는데, 전체 공정은 일반적으로 수 분만을 소요한다. 어닐링은 기판 상의 층들의 물질 특성들을 변화시키는 데 사용될 수 있다. 어닐링은 또한, 도펀트들을 활성화시키거나, 기판 상의 막들 사이로 도펀트들을 유도하거나, 막 간 또는 막과 기판 간 계면들을 변화시키거나, 증착된 막들을 치밀화하거나, 또는 이온 주입으로부터의 손상을 복구하는 데 사용될 수 있다.
마이크로전자 디바이스들 및 상호연결부들에 대한 피쳐 크기들이 더 작아짐에 따라, 허용가능한 결함률이 실질적으로 감소된다. 일부 결함들은 층들 중 하나 이상에 매립된 오염물들에 기인한다.
일 양상에서, 작업부재 상의 텅스텐 막을 처리하는 것은, 챔버 내에 작업부재를 지지하는 것, 챔버 내로 수소 가스를 도입하는 것, 챔버 내에 적어도 5 기압의 압력을 설정하는 것, 및 챔버 내의 압력이 적어도 5 기압인 동안 작업부재 상의 텅스텐 막을 수소 가스에 노출시키는 것을 포함한다.
이러한 양상의 다른 실시예들은, 컴퓨터 저장 디바이스들 상에 인코딩된, 방법들의 동작들을 수행하도록 구성되는 대응하는 시스템들, 장치, 및 컴퓨터 프로그램들을 포함한다.
이들 및 다른 실시예들은 각각, 다음의 특징들 중 하나 이상을 임의적으로 포함할 수 있다.
텅스텐 막의 온도는 250 - 600 ℃로 상승될 수 있다. 텅스텐 막의 온도는, 챔버 내의 작업부재에 대한 지지부를 상승된 온도로 유지함으로써 상승될 수 있다. 텅스텐 막의 온도는, 적어도 5 기압의 챔버 내의 압력을 설정하기 전에 상승될 수 있다.
챔버 내의 압력을 설정하는 것은, 챔버 내에 가스 혼합물을 제공하기 위해 수소 가스 및 불활성 가스를 도입하는 것을 포함할 수 있다. 챔버 내의 가스 혼합물 중의 수소 가스는 가스 혼합물의 1 - 4 부피%일 수 있다. 챔버 내의 가스 혼합물 중의 불활성 가스는 질소 및/또는 아르곤을 포함할 수 있다. 텅스텐 막은, 수소 가스가 1 - 10 bar의 분압을 갖는 동안 수소 가스에 노출될 수 있다.
텅스텐 막은 제조된 3차원 NAND(3D NAND) 구조의 일부분일 수 있다.
다른 양상에서, 작업부재 상에 텅스텐을 형성하는 방법은, 텅스텐 및 플루오린을 함유하는 전구체 가스를 사용하여 화학 기상 증착에 의해 작업부재 상에 텅스텐 막을 증착하는 단계, 및 챔버 내의 압력이 적어도 5 기압인 동안 작업부재 상의 텅스텐 막을 챔버 내의 수소 가스에 노출시키는 단계를 포함한다.
텅스텐 막은 제조 시 3차원 NAND(3D NAND)의 일부분일 수 있다. 전구체 가스는 육플루오린화텅스텐을 포함할 수 있다. 텅스텐 막은 250 - 600 ℃의 온도로 상승된다. 챔버 압력은, 챔버 내에 가스 혼합물을 제공하기 위해 수소 가스 및 불활성 가스(예컨대, 아르곤 및/또는 질소)를 도입함으로써 설정될 수 있다.
다른 양상에서, 어닐링 시스템은, 챔버를 정의하는 챔버 몸체, 작업부재의 외측 표면이 챔버 내의 환경에 노출되게 작업부재를 유지하기 위한 지지부, 챔버 내에 작업부재를 삽입하기 위한 로봇, 수소 가스를 제공하기 위한 제1 가스 공급부, 챔버 내의 압력을 적어도 5 기압으로 상승시키기 위해 챔버에 결합되는 압력 소스, 및 로봇, 제1 가스 공급부, 및 압력 소스에 결합되는 제어기를 포함한다. 제어기는, 로봇으로 하여금, 상부에 텅스텐 막을 갖는 작업부재를 챔버 내로 운반하게 하고, 가스 공급부로 하여금, 수소 가스를 챔버에 공급하게 하고, 압력 소스로 하여금, 작업부재가 챔버 내의 지지부 상에 유지되는 동안 챔버 내의 압력을 적어도 5 기압으로 상승시키게 하도록 구성된다.
어닐링 시스템은, 지지부 상의 작업부재의 온도를 250 - 600 ℃로 상승시키기 위해 가열기를 포함할 수 있다. 가열기는, 지지부에 매립된 저항성 가열기를 포함할 수 있고/거나 가열기는, 지지부 상의 작업부재를 조사(irradiate)하도록 위치되는, 챔버 몸체의 벽에 있는 방사성 가열기일 수 있다. 압력 소스는 펌프를 포함할 수 있다.
어닐링 시스템은, 챔버에 불활성 가스(예컨대, 아르곤 및/또는 질소)를 공급하기 위한 제2 가스 공급부를 포함할 수 있고, 제어기는, 제2 가스 공급부에 결합될 수 있고, 챔버 내에 가스 혼합물을 제공하기 위해, 제1 가스 공급부로 하여금 수소 가스를 도입하게 하고 제2 가스 공급부로 하여금 불활성 가스를 도입하게 하도록 구성될 수 있다.
본 명세서에 설명된 주제의 특정 실시예들은, 다음의 이점들 중 하나 이상을 실현하도록 구현될 수 있다. 텅스텐 막들의 증착 후 어닐링은 텅스텐 막들에서의 플루오린의 존재를 감소시킴으로써 막 품질을 개선할 수 있다. 플루오린을 감소시키는 것은 결함들의 가능성을 감소시킬 수 있고, 수율을 증가시킬 수 있다. 탈플루오린화를 위해 고압 가스를 사용하는 것은, 층들로의 가스의 확산을 개선하고, 작업부재의 사후 처리에 대해 비교적 낮은 열 비용을 유지하고, 전체 층 구조 품질을 보존함으로써, 어닐링 동안 더 낮은 온도들을 허용한다. 부가적으로, 증착에 대한 더 낮은 온도들이 텅스텐 막들을 증착하는 데 사용될 수 있으며, 그에 의해, 더 높은 온도의 증착들에 기인한 층 상호혼합이 감소된다.
본 발명의 하나 이상의 실시예의 세부사항들은, 첨부된 도면들 및 아래의 설명에서 기재된다. 본 발명의 다른 특징들, 목적들 및 이점들은, 설명 및 도면들로부터, 그리고 청구항들로부터 명백할 것이다.
도 1은 고압 기판 처리 시스템의 블록도이다.
도 2는 고압 기판 처리 시스템에서의 고압 처리에 의한 텅스텐 탈플루오린화에 대한 예시적인 공정 흐름의 흐름도이다.
도 3은 예시적인 고압 기판 처리 시스템을 도시한다.
도 4는 고압 기판 처리 시스템의 다른 예를 도시한다.
다양한 도면들에서 동일한 참조 기호들은 동일한 요소들을 표시한다.
도입부
일반적으로, 작업부재 상에 증착된 층들, 예컨대, 반도체 웨이퍼, 예컨대, 3D NAND 구조의 제조에 사용되는 반도체 웨이퍼 상의 증착된 텅스텐 막의 결함 밀도들을 감소시키는 것이 바람직하다. 결함 밀도들은, 텅스텐 막의 증착 공정에 사용되는 전구체 가스(예컨대, 육플루오린화텅스텐)로부터의 잔류물을 비롯하여 다양한 방식들로 발생할 수 있다. 증착된 텅스텐 막에서 잔류 플루오린을 감소시키는 것은, 인접한 층들에서의 결함들 및 텅스텐 막에 인접하게 증착된 게이트 산화물들에서의 감소된 k-값을 야기하는 의도치 않은 산화물 식각들과 같은 유해한 효과들을 감소시킬 수 있다.
고압 어닐링을 사용하여 텅스텐 막을 탈플루오린화하기 위한 고압 처리를 위한 시스템들 및 방법들이 아래에 설명된다. 작업부재 상에 증착된 텅스텐 막은, 상승된 온도들(예컨대, 300 - 500 ℃)에서 수 분 내지 한 시간 동안 유지되는 동안 고압(예컨대, 적어도 5 기압)의 형성 가스(예컨대, 불활성 가스와 혼합된 4 % 수소 가스)에 노출된다.
시스템
도 1은 고압 기판 처리 시스템(100)의 블록도이다. 고압 기판 처리 시스템(100)은 고압 챔버(102)를 포함한다. 고압 챔버(102)는, 적어도 5 atm, 예컨대 적어도 10 atm의 압력들을 갖도록 구성되며, 최대 10^-3 토르의 진공 수준들을 유지하는 것이 가능할 수 있다. 일부 구현들에서, 고압 기판 처리 시스템(100)은, 작업부재가 처리 챔버들 사이에서(예컨대, 다른 처리 챔버로부터 고압 챔버(102) 내로) 이송될 때를 위해, 저압 환경(104), 예컨대 진공 챔버를 포함한다. 고압 챔버(102)와 저압 챔버(104) 내의 상대적인 압력들은 서로 독립적으로 제어될 수 있다.
고압 챔버(102) 안팎으로, 예컨대, 다중 챔버 기판 처리 툴의 챔버들 사이에서 작업부재를 이송하기 위해 로봇식 암을 포함하는 로봇(도 1에 도시되지 않음)이 사용될 수 있다.
고압 챔버(102)는, 고압 챔버(102)에서 작업부재를 지지하기 위한 지지부, 예컨대 페디스털(106)을 포함한다. 페디스털(106)은, 다양한 지지 메커니즘들을 사용하여 하나 이상의 작업부재를 지지하는데, 예컨대, 페디스털(106)이 잠금 핀들 및 스프링들로 작업부재를 지지할 수 있고/거나 작업부재가 페디스털(106)의 최상부 상에 직접 놓일 수 있다.
일부 구현들에서, 고압 챔버(102)는 하나 이상의 가열 요소(108)를 포함한다. 예컨대, 가열 요소(108a)는 저항성 가열기이고, 작업부재를 가열하기 위해 페디스털(106) 내에 통합된다. 일부 구현들에서, 고압 챔버(102)는 가열 요소(108b)를 포함하며, 가열 요소(108b)는 고압 챔버(102) 내에서 선택된 온도로 가열하여 그 온도를 유지할 수 있다. 가열 요소(108b)는, 고압 챔버 몸체의 벽에 매립되고 페디스털(106) 상의 작업부재를 조사하도록 위치되는 방사성 가열기일 수 있다. 가열 요소들(108)로부터의 열은, 작업부재가 페디스털(106) 상에 지지되고 (사용되는 경우) 가스가 고압 챔버(102) 내로 도입되었을 때 작업부재를 어닐링하기에 충분할 수 있다. 가열 요소들(108)은 저항성 가열 요소들일 수 있고, 작업부재를 전도성으로 그리고/또는 방사성으로 가열할 수 있다. 부가적으로, 가열 요소들(108)은 이산 가열 코일 또는 방사성 가열기(예컨대, 적외선 램프)를 포함할 수 있다.
가스 전달 시스템(110)은 고압 챔버(102)를 가압 및 감압하도록 동작가능하다. 가스 전달 시스템(110)은, 가스 혼합물을 고압 챔버(102)에 제공하여 고압, 예컨대 적어도 5 기압의 압력을 설정한다. 일부 구현들에서, 가스 전달 시스템(110)은, 고압 챔버(102)로부터 가스를 배기함으로써 고압 챔버(102)를 감압시키기 위한 배기 시스템(112)을 포함한다. 가스 전달 시스템은 챔버(102) 내의 압력을 고압으로 상승시키기 위한 압력 소스를 포함한다. 압력 소스는, 원하는 압력에 도달할 때까지 챔버(102) 내로 가스를 펌핑하도록 구성되는 펌프, 예컨대, 회전식 펌프, 스크롤 펌프, 및/또는 나사 펌프를 포함하고/거나 가스 실린더가 유체공학적으로 챔버(102)에 연결된 후에 등화된 압력이 원하는 압력에 도달할 충분한 압력으로의 압축된 가스 실린더를 포함할 수 있다.
펌핑 시스템(114)은, 고압 챔버(102) 및/또는 진공 챔버(104) 내의 압력들을 감소시키기 위한 하나 이상의 펌프를 포함한다. 펌프들은, 회전식 펌프, 스크롤 펌프, 및/또는 나사 펌프를 포함할 수 있다. 예컨대, 펌핑 시스템(114)은, 진공 챔버(104) 내의 압력을, 진공 또는 거의 진공의 압력, 예컨대 1 밀리토르 미만이도록 낮추는 데 사용될 수 있다. 다른 예에서, 펌핑 시스템(114)은, 공정 동작 전에 고압 챔버(102) 내의 오염물들의 존재를 감소시키기 위해, 고압 챔버(102)에서 펌프 및 퍼지 사이클 동안 사용될 수 있다.
일부 구현들에서, 밸브 조립체(116)는, 고압 챔버(102)와 진공 챔버(104) 사이의 상대적인 압력들을 격리시킨다. 따라서, 고압 챔버(102) 내의 고압 환경은 진공 챔버(104) 내의 저압 환경으로부터 분리 및 밀봉될 수 있다. 밸브 조립체(116)는, 작업부재가 고압 챔버(102)와 진공 챔버(104) 사이에서 직접 이송될 수 있게 하도록 동작가능하다.
일부 구현들에서, 고압 기판 처리 시스템(100)은, 진공 챔버(104)에 연결되고 외부 환경에 연결되는 포어라인(118)을 포함한다. 격리 밸브(120)는, 진공 챔버(104) 내의 압력을 외부 환경의 압력으로부터 격리시키도록 포어라인(118)을 따라 배열된다. 격리 밸브(120)는, 진공 챔버(104) 내의 압력을 조정하고 진공 챔버(104) 내의 가스들을 방출하도록 동작될 수 있다. 격리 밸브(120)는, 펌핑 시스템(114)과 함께 동작되어 진공 챔버(104) 내의 압력을 조절할 수 있다.
고압 기판 처리 시스템(100)의 하나 이상의 동작은 하나 이상의 제어기(122)에 의해 제어될 수 있다. 제어기(122), 예컨대 범용 프로그래밍가능 컴퓨터는, 고압 기판 처리 시스템(100)의 다양한 구성요소들 중 일부 또는 그 전부에 연결되고 그를 제어하도록 동작가능하다. 제어기(122)에 의해 제어되는 동작들은, 예컨대, 고압 챔버(102) 내의 가열 요소들(108)의 온도 조절, 고압 챔버(102) 내의 압력 조절, 진공 챔버(104) 내의 진공 조절, 가스 전달 시스템(110)에 의한 유량들 및 가스 전달, 및 펌핑 시스템(114)의 하나 이상의 펌프의 동작을 포함할 수 있다. 예컨대, 제어기(122)는, 고압 기판 처리 시스템(100)의 구성요소들로 하여금 도 2를 참조하여 아래에 설명되는 공정을 수행하게 하는 제어 신호들을 생성하도록 프로그래밍될 수 있다.
텅스텐 막의 고압 처리
도 2는 고압 기판 처리 시스템(100)에서의 고압 처리에 의한 작업부재 상의 텅스텐 막의 탈플루오린화에 대한 예시적인 공정 흐름(200)의 흐름도이다. 일 예에서, 작업부재는, 기판 상에 텅스텐 막이 증착된 반도체 기판(예컨대, 규소)을 포함한다. 일부 구현들에서, 텅스텐 막은 기판 상에 제조되는 3D NAND 구조의 일부를 형성하며, 작업부재는 또한 다른 물질들(예컨대, SiN, TiN)의 층들을 포함할 수 있다. 텅스텐 막은, 별개의 처리 단계에서 화학 기상 증착(CVD)을 사용하여 작업부재 상에 증착될 수 있다. 일부 구현들에서, 텅스텐 막은 원자 층 증착(ALD)을 사용하여 증착된다.
작업부재는, 예컨대 로봇에 의해 챔버 내에 삽입되고, 그런 다음, 예컨대, 고압 챔버(102) 내의 페디스털(106) 상에서 챔버 내에 지지된다(202). 일부 구현들에서, 고압 챔버(102) 및/또는 페디스털(106)은, 하나 이상의 가열 요소(108)를 사용하여 특정 온도(예컨대, 300 - 500 ℃)에서 유지된다. 고압 챔버(102) 및/또는 페디스털(106)의 온도는, 고압 챔버(102) 내에 작업부재를 도입하기 전에 설정될 수 있다. 또한, 작업부재(예컨대, 기판 상의 텅스텐 막)의 온도는, 작업부재가 페디스털(106)에 의해 고압 챔버(102) 내에 지지되는 동안 하나 이상의 가열 요소(108)의 사용을 통해 특정 온도(예컨대, 250 - 600 ℃)로 설정될 수 있다. 일부 구현들에서, 작업부재(예컨대, 기판 상의 텅스텐 막)의 온도는, 적어도 5 기압의 고압 챔버(102) 내의 압력을 설정하기 전에 상승된다.
수소 가스가 고압 챔버(102) 내로 도입된다(204). 수소 가스는 H2 또는 중수소 가스(D2)의 형태를 가질 수 있다. 수소 가스는, 하나 이상의 불활성 가스(예컨대, 질소 및/또는 아르곤)를 포함하는 형성 가스의 일부분일 수 있다. 일부 구현들에서, 형성 가스 내의 수소 가스의 백분율은, 적어도 1 부피% 및 최대 4.5 부피%이다. 불활성 가스는, 가스 전달 시스템(110)에 의해 고압 챔버(102) 내로 전달되기 전에 수소 가스와 혼합될 수 있거나, 불활성 가스 및 수소 가스가 가스 전달 시스템(110)의 별개의 노즐들에 의해 고압 챔버(102) 내로 전달되어 고압 챔버(102)에서 혼합될 수 있다.
가스 전달 시스템(110)은, 고압 챔버(102) 내에 5 내지 50 기압의 전압력(불활성 가스 및 수소 가스)을 설정할 수 있다(206). 일부 구현들에서, 고압 챔버(102) 내의 전압력은 적어도 10 기압이다. 고압 챔버(102) 내의 압력은 정압력으로서 설정될 수 있다. 일부 구현들에서, 고압 챔버 내의 압력은, 고압 챔버(102) 내로의 가스 전달 시스템(110)의 유입구/유출구를 통한 형성 가스의 유동에 설정된다. 일부 구현들에서, 텅스텐 막은, 수소 가스가 1 - 10 bar의 분압을 갖는 동안 수소 가스에 노출된다.
고압 챔버(102) 내에 원하는 압력이 설정된 후에, 작업부재 상의 텅스텐 막은, 고압 챔버(102)가 상승된 압력에서 유지되는 동안 수소 가스에 노출된다(208). 노출 시간은 수 분 내지 몇 시간(예컨대, 적어도 5 분, 및 1 시간 이하)을 포함한다. 일부 구현들에서, 어닐링 온도(예컨대, 어닐링 공정 동안의 작업부재의 온도), 고압 챔버(102) 내의 수소 분압, 및 탈플루오린화 공정 동안의 노출 시간들은, 전술된(및 다른) 변수들을 조정함으로써 최적 동작 파라미터들이 발견될 수 있도록 상호관련될 수 있다.
특정 이론에 제한됨이 없이, 분자 수소 가스는 가열된 텅스텐 막의 표면 상에서 원자 수소로 분해(crack)되고, 그런 다음, 텅스텐 막의 결정입계들을 따라 확산된다. 텅스텐 막 내로의 반응물들(예컨대, 분해된 수소)의 확산은, 탈플루오린화 공정이 발생하는 속도에 대한 제한 인자일 수 있다. 분해된 수소가 텅스텐 막 내로 확산됨에 따라, 분해된 수소가 표면 상의 또는 텅스텐 막 내에 매립된 플루오린과 결합된다. 결합된 수소와 플루오린은 플루오린화수소를 형성하며, 이는 이후, 텅스텐 막 밖으로 확산될 수 있다. 원자 수소는 부가적으로, 텅스텐 막에서 플루오린과 텅스텐 사이의 결합들을 약화시키고 깨뜨리도록 기능할 수 있다.
일부 구현들에서, 작업부재의 가열 공정 전에 또는 그 동안에, 수소 가스가 가스 전달 시스템(110)에 의해 고압 챔버(102) 내로 도입된다. 예컨대, 고압의 수소 가스는, 가열 요소들(108)이 페디스털(106) 상의 작업부재를 특정 원하는 온도에 이르게 하는 동안 고압 챔버(102) 내로 도입될 수 있다.
일부 구현들에서, 작업부재는, 작업부재가 진공 챔버(104) 내에 있는 동안 특정 온도로 가열된 다음, 후속하여, 수소 가스가 도입될 수 있는 고압 챔버(102)로 로봇(도시되지 않음)에 의해 이송될 수 있다.
일부 구현들에서, 텅스텐 막이 작업부재 상에 증착되며, 텅스텐 막은 이어서, 본원에 설명된 고압 처리를 겪을 수 있다. 예컨대, 텅스텐 막은, 텅스텐 및 플루오린(예컨대, 육플루오린화텅스텐)을 함유하는 전구체 가스를 사용하여 화학 기상 증착(CVD)에 의해 작업부재 상에 증착될 수 있다. 일부 구현들에서, 육염화텅스텐이 전구체 가스로서 사용되어 텅스텐 막을 증착할 수 있다. 증착된 텅스텐 막 내에 포획되는 잔류 플루오린의 양은, 증착 온도에 부분적으로 의존할 수 있다(예컨대, 증착이 낮을수록 잔류 플루오린의 농도들이 높아지는 것을 초래함). 이어서, 텅스텐 막은, 고압 챔버(102) 내의 압력이 적어도 5 기압인 동안 고압 챔버(102) 내의 수소 가스에 노출될 수 있다.
고압 기판 처리 시스템들의 실시예들
도 3 및 도 4는 고압 기판 처리 시스템들의 2개의 실시예를 도시한다. 도 3은, 제1 챔버(302)(예컨대, 고압 챔버(102)), 페디스털(304), 제2 챔버(306)(예컨대, 진공 챔버(104)), 및 제어기(예컨대, 제어기(122))를 포함하는 예시적인 고압 기판 처리 시스템(300)을 도시한다. 고압 기판 처리 시스템(300)은, 펌핑 시스템(114)과 유사한 펌핑 시스템(도시되지 않음), 및 도 1과 관련하여 설명된 가스 전달 시스템(110)과 유사한 가스 전달 시스템(307)을 더 포함한다. 예컨대, 가스 전달 시스템(307)은 입력 라인(307a) 및 배기 라인(307b)을 포함한다. 전구체 가스는 입력 라인(307a)을 통해 제1 챔버(302) 내로 도입되고, 전구체 가스는 배기 라인(307b)을 통해 제1 챔버(302)로부터 배기된다.
페디스털(304)은, 상부의 물질 막(예컨대, 텅스텐 막)이 고압 처리를 통해 탈플루오린화될 작업부재(314)를 지지한다. 페디스털(304)은 제1 챔버(302) 내에 위치되거나 위치가능하다. 일부 구현들에서, 기판(314)은 페디스털의 평평한 최상부 표면 상에 직접 놓인다. 일부 구현들에서, 기판(314)은 페디스털로부터 돌출된 핀들(330) 상에 놓인다.
고압 기판 처리 시스템(300)은 내측 벽(320), 베이스(322), 및 외측 벽(324)을 포함한다. 제1 챔버(302)는 내측 벽(320) 내의, 예컨대 내측 벽(320)과 베이스(322) 사이의 용적에 의해 제공된다. 제2 챔버(304)는 내측 벽(320) 외부의, 예컨대 내측 벽(320)과 외측 벽(324) 사이의 용적에 의해 제공된다.
고압 기판 처리 시스템(300)은, 도 1의 밸브 조립체(116)의 기능성을 제공하는, 즉, 제1 챔버(302)를 제2 챔버(306)로부터 격리시키도록 동작될 수 있는, 제1 챔버(302)와 제2 챔버(306) 사이의 밸브 조립체(316)를 더 포함한다. 예컨대, 밸브 조립체(316)는, 내측 벽(320), 베이스(322), 및 내측 벽(320)에 대해 베이스(322)를 이동시키기 위한 액추에이터(323)를 포함한다. 액추에이터(323)는, 베이스(322)가 수직으로, 예컨대, 제1 챔버(302)를 정의하는 벽들(320)을 향하여 또는 그로부터 멀어지도록 이동하게 구동하도록 제어될 수 있다. 베이스(322)가 수직으로 이동하는 것을 허용하면서 외부 대기로부터 제2 챔버(306)를 밀봉하기 위해 벨로우즈(328)가 사용될 수 있다. 벨로우즈(328)는 베이스(322)의 최하부로부터 외측 벽(324)에 의해 형성되는 제2 챔버(306)의 바닥까지 연장될 수 있다.
밸브 조립체(316)가 폐쇄 위치에 있을 때, 베이스(322)는, 베이스(322)와 벽들(320) 사이에 밀봉이 형성되도록 벽들(320)과 접촉하며, 그에 따라, 외측 챔버(306)가 내측 챔버(302)로부터 분리된다. 액추에이터(323)는, 밀봉을 형성하기에 충분한 힘으로 베이스(322)를 내측 벽들(320)을 향해 구동하도록 동작된다. 밀봉은, 제1 고압 챔버(302)로부터의 공기가 저압 제2 챔버(306) 내로 배기되는 것을 억제한다.
밸브 조립체(316)가 개방 위치에 있을 때, 베이스(322)는 벽들(320)로부터 이격되며, 그에 의해, 제1 및 제2 챔버들(302, 306) 사이에서 공기가 통할 수 있게 되며, 또한, 기판(314)이 다른 챔버로 접근 및 이송될 수 있게 된다.
페디스털(304)이 베이스(322) 상에 지지되기 때문에, 그에 따라, 페디스털(304)은 또한 내측 벽들(320)에 대해 이동가능하다. 페디스털(304)은, 기판(314)이 이송 로봇에 의해 더 용이하게 접근가능할 수 있게 하도록 이동될 수 있다. 예컨대, 이송 로봇(도시되지 않음)의 암은 외측 벽(324)의 애퍼쳐(326)를 통해 연장될 수 있다. 밸브 조립체(316)가 개방 위치에 있을 때, 로봇 암은 기판(314)에 접근하기 위해 내측 벽(320)과 베이스(322) 사이의 갭을 통과할 수 있다.
일부 구현들에서, 고압 기판 처리 시스템(300)은, 기판(314)에 열을 가하도록 구성되는 하나 이상의 가열 요소(318)를 포함한다. 가열 요소들(318)로부터의 열은, 기판(314)이 페디스털(304) 상에 지지되고, (사용되는 경우) 전구체 가스가 제1 챔버(302) 내로 도입되었을 때 기판(314)을 어닐링하기에 충분할 수 있다. 가열 요소들(318)은 저항성 가열 요소들일 수 있다. 하나 이상의 가열 요소(318)가, 제1 챔버(302)를 정의하는 내측 벽들(320)에 위치될 수 있는데, 예컨대, 매립될 수 있다. 이는 내측 벽(320)을 가열하여, 방사열이 기판(314)에 도달하게 한다. 기판(314)은, 내측 벽(320)으로부터 기판(314)으로의 열의 전달을 개선하기 위해, 내측 벽의 천장에 매우 근접하게 페디스털(304)에 의해 유지될 수 있다.
그러나, 하나 이상의 가열 요소(318)는 고압 기판 처리 시스템(300) 내의 다른 위치들에, 예컨대, 천장이 아니라 측벽들에 배열될 수 있다. 가열 요소(318)의 예는 이산 가열 코일을 포함한다. 내측 벽들(320)에 매립된 가열기 대신 또는 그에 부가하여, 방사성 가열기, 예컨대 적외선 램프가 제1 챔버(302) 외부에 위치될 수 있고, 내측 벽(320)의 창을 통해 적외선 방사를 지향시킬 수 있다. 전선들은 전기 소스(도시되지 않음), 이를테면 전압 소스를 가열 요소에 연결하며, 하나 이상의 가열 요소(318)를 제어기에 연결할 수 있다.
제어기는, 기판(314) 상의 물질 층의 고압 처리를 수행하도록 동작들을 제어하기 위해, 펌핑 시스템, 가스 전달 시스템(307), 및 밸브 조립체(316)에 동작가능하게 연결된다. 일부 구현들에서, 제어기는 또한 다른 시스템들에 동작가능하게 연결될 수 있다. 예컨대, 제어기는 또한, 이송 로봇들(도시되지 않음), 하나 이상의 가열 요소(318), 및/또는 액추에이터(323) 중 하나 이상에 동작가능하게 연결될 수 있다. 일부 경우들에서, 도 1에 도시된 제어기(122)는 고압 기판 처리 시스템(300)의 제어기를 포함한다.
기판(314) 상의 물질 층의 고압 처리를 수행하기 위한 공정에서, 제어기는, 제2 챔버(306)를 통한 기판(314)의 이송을 준비하기 위해, 제2 챔버(306)를 저압 상태, 예컨대, 제2 챔버(306)가 1 기압 미만의 압력을 갖는 상태로 감압하도록 펌핑 시스템을 동작시킬 수 있다. 저압 상태는 거의 진공의 상태, 예컨대, 1 밀리토르 미만의 압력일 수 있다. 기판(314)은, 기판(314)의 오염 및 산화가 억제될 수 있도록 제2 챔버(306)가 저압으로 있는 동안, 이송 로봇(도시되지 않음)에 의해 제2 챔버(306)를 통해 이동된다.
기판(314)은 처리를 위해 제1 챔버(302) 내로 이송된다. 제1 챔버(302) 내로 기판(314)을 이송하기 위해, 제어기는 밸브 조립체(316)를 동작시킬 수 있는데, 예컨대, 밸브 조립체(316)를 개방하여 개구를 제공할 수 있으며, 그 개구를 통해 기판(314)이 제1 챔버(302) 내로 이송될 수 있다. 제어기는, 기판(314)을 제1 챔버(302) 내로 운반하여 페디스털(304) 상에 기판(314)을 배치하도록 이송 로봇을 동작시킬 수 있다.
기판(314)이 제1 챔버(302) 내로 이송된 후에, 제어기는 개구를 폐쇄하도록, 예컨대, 밸브 조립체(316)를 폐쇄하도록 밸브 조립체(316)를 동작시킬 수 있으며, 그에 의해, 제1 및 제2 챔버들(302, 306)이 서로 격리된다. 밸브 조립체(316)가 폐쇄된 채로, 제1 챔버(302) 및 제2 챔버(306) 내의 압력들은 상이한 값들로 설정될 수 있다. 제어기는, 제1 챔버(302)를 가압하기 위해 제1 챔버(302) 내로 수소 가스를 도입하도록 가스 전달 시스템(307)을 동작시킬 수 있다. 수소 가스의 도입은, 제1 챔버(302) 내의 압력을 예컨대 5 기압 이상으로 증가시킬 수 있다.
제1 챔버(302) 내의 수소 가스 및 적절한 온도와 압력 조건들은, 물질의 고압 처리가, 예컨대, 도 2를 참조하여 설명된 바와 같이 발생하게 할 수 있다. 고압 처리 동안, 제어기는, 기판(314)에 열을 부가하여 기판(314) 상의 물질 층의 어닐링을 용이하게 하도록 하나 이상의 가열 요소(318)를 동작시킬 수 있다.
고압 처리가 완료될 때, 기판(314)은 이송 로봇을 사용하여 제1 챔버(302)로부터 이동될 수 있고, 필요한 경우, 기판(314)은 후속 공정 챔버로 또는 외부 환경으로 이송될 수 있다. 대안적으로, 기판(314)은 로드 록 챔버(도시되지 않음) 내로 이송된다. 제1 챔버(302) 밖으로의 기판(314)의 이송을 준비하기 위해, 제어기는, 밸브 조립체(316)가 개방되기 전에 제1 챔버(302)를 감압하도록 가스 전달 시스템(307)의 배기 시스템을 동작시킬 수 있다. 특히, 기판(314)이 제1 챔버(202) 밖으로 이송되기 전에, 제1 챔버(202) 내의 압력을 감소시키기 위해 전구체 가스가 제1 챔버(302)로부터 배기된다. 제1 챔버(302) 내의 압력은, 제1 챔버(302)와 제2 챔버(306) 간의 압력차가 최소화될 수 있도록 거의 진공의 압력으로 감소될 수 있다.
기판(314)이 제1 챔버(302) 밖으로 이송될 수 있게 하기 위해, 제어기는 밸브 조립체(316)를 개방할 수 있다. 개방된 밸브 조립체(316)는 개구를 제공하며, 그 개구를 통해 기판(314)이 이동되어 제2 챔버(306) 내로 이송된다. 특히, 개방된 밸브 조립체(316)는, 기판(314)이 제2 챔버(306) 내로, 예컨대, 제2 챔버(306)의 저압 환경 내로 직접 이송될 수 있게 한다.
도 4는, 제1 챔버(402)(예컨대, 고압 챔버(102)), 페디스털(404), 제2 챔버(406)(예컨대, 진공 챔버(104)), 및 도 1에 도시된 제어기(122)와 유사한 제어기를 포함하는 고압 기판 처리 시스템(400)의 다른 예를 도시한다. 고압 기판 처리 시스템(400)은 도 3과 관련하여 설명된 고압 기판 처리 시스템(300)과 유사하며, 달리 특정되지 않는 한, 다양한 옵션들 및 구현들이 또한 이러한 실시예에 적용가능하다.
예컨대, 고압 기판 처리 시스템(400)의 가스 전달 시스템 및 펌핑 시스템은, 고압 기판 처리 시스템(400)을 사용하여 처리되는 기판(414)에 대한 저압 및 고압 환경들을 유지하기 위해 유사한 방식으로 동작된다. 제2 챔버(406)는 내측 벽들(420)과 외측 벽들(424) 사이의 용적에 의해 정의될 수 있다. 게다가, 기판(414)은 또한 제1 챔버(402) 내에서의 처리 동안 페디스털(404) 상에 지지가능하다. 또한, 기판은 페디스털(404) 상에 직접 놓일 수 있거나, 페디스털을 통해 연장되는 리프트 핀들(430) 상에 놓일 수 있다.
고압 기판 처리 시스템(400)은 몇몇 측면들에서 도 3의 고압 기판 처리 시스템(300)과 상이하다. 첫째, 제1 챔버(402)를 정의하는 내측 벽들(420)이 제1 챔버(402)를 정의하는 베이스(422)에 대해 이동가능하지 않다. 따라서, 페디스털(404)은 내측 벽들(420) 및 베이스(422)에 대해 고정된다. 일부 예들에서, 페디스털(404)은 제1 챔버(402)를 정의하는 베이스(422)에 고정된다.
도 3의 실시예의 하나 이상의 가열 요소(318)에 대한 경우에서와 같이 제1 챔버(402)의 내측 벽들(420)에 정렬되는 것이 아니라, 도 4에 도시된 실시예의 하나 이상의 가열 요소(418)는 페디스털(404) 내에 배열된다. 따라서, 기판(414)은 페디스털(404)과의 접촉을 통해 가열된다.
고압 기판 처리 시스템(400)은, 도 3의 밸브 조립체(316)와 유사하게, 제1 챔버(402)를 제2 챔버(406)로부터 격리시키는, 제1 챔버(402)와 제2 챔버(406) 사이의 밸브 조립체(416)를 더 포함한다. 그러나, 밸브 조립체(316)와 대조적으로, 밸브 조립체(416)는 제1 챔버(402)를 정의하는 벽들(420) 및 베이스(422)에 의해 형성되기 보다는, 제1 챔버(402)의 내측 벽들(420) 및 베이스(422)에 대해 이동가능한 암(424)에 의해 형성된다. 암(424)은 제1 챔버(402)의 내측 벽들(420) 및 베이스(422)에 대해 이동가능할 수 있다.
특히, 밸브 조립체(416)는 제1 챔버(402)와 제2 챔버(406) 사이에 슬릿 밸브(423)를 포함한다. 슬릿 밸브(423)는 슬릿(423a) 및 암(424)을 포함한다. 슬릿(423a)은 제1 챔버(402)의 내측 벽들(420) 중 하나를 통해 연장된다. 암(424)의 근단부(424a)는 제1 챔버(402) 외부에 위치되지만, 암(424)의 원단부(424b)는 제1 챔버(402) 내에 위치된다. 암(425)의 근단부(425a)는 제2 챔버(406) 내에 위치될 수 있고, 제2 챔버(406) 내에 위치된 액추에이터에 의해 구동될 수 있다. 대안적으로, 암(425)의 근단부(425a)는 제2 챔버(406) 외부에 위치되고, 그에 따라, 또한 제2 챔버(406) 외부에 위치되는 액추에이터(428)에 의해 구동된다.
암(425)은 슬릿(423a)을 통해 연장되고, 암(425)이 벽들(420)과 자신이 밀봉을 형성하는 위치로 이동될 수 있도록 벽들(420)에 대해 이동가능하다. 액추에이터(428)는 암(425)의 근단부(425a)에 결합되고, 벽들(420)에 대해 암(425)의 원단부(425b)를 구동한다. 암(425)은 또한 슬릿(423a)을 덮거나 덮지 않도록 수직으로 이동가능하다. 특히, 암(425)의 근단부(425a)는, 내측 벽(420)의 인접한 내측 표면과 실질적으로 평행하게 연장되는 플랜지이거나 이를 포함할 수 있다. 암(425)은 또한, 암(425)의 원단부(425b)가 내측 벽들(420)에 맞물리거나 맞물림해제될 수 있도록 측방향으로 이동가능하고 구동된다.
암(425)은 또한 외측 벽(424)의 애퍼쳐(426)를 통해 연장될 수 있다.
밸브 조립체(316)와 마찬가지로, 밸브 조립체(416)는 개방 위치와 폐쇄 위치 사이에서 이동가능하다. 밸브 조립체(416)가 폐쇄 위치에 있을 때, 암(425)의 원단부(425b)는 슬릿(426)을 덮고 내측 벽들(420) 중 하나와 접촉하며, 그에 의해, 제1 챔버(402)를 제2 챔버(406)로부터 격리시키기 위한 밀봉이 형성된다. 특히, 암(425)의 원단부(425b), 예컨대 플랜지는, 제1 챔버(402)를 정의하는 벽(420)의 내측 표면과 접촉한다.
밸브 조립체(416)가 개방 위치에 있을 때, 암(425)의 원단부(425b)는 내측 벽들(420), 예컨대 내측 벽들(420)의 내측 표면으로부터 측방향으로 이격된다. 게다가, 암(425)의 원단부(425b)는 슬릿(426)이 덮이지 않도록 수직으로 위치된다. 따라서, 슬릿(426)은, 제1 챔버(402)와 제2 챔버(406) 사이의 유체 연통을 가능하게 하고, 또한, 예컨대 위에 논의된 바와 같은 로봇에 의해 제1 챔버(402) 안팎으로 기판(414)이 이동될 수 있게 하는 개구를 제공한다.
제어기는, 제1 챔버(402) 안팎으로 기판(414)을 이송하고 기판(414) 상의 물질 층에 대해 고압 처리를 수행하기 위해, 고압 기판 처리 시스템(300)의 제어기와 관련하여 설명된 공정과 유사한 방식으로 고압 기판 처리 시스템(400)을 동작시킬 수 있다. 이러한 공정에서, 밸브 조립체(416)를 개방 및 폐쇄하기 위해, 제어기는 액추에이터(428)를 동작시켜 암(425)을 구동할 수 있다.
도 4에 도시된 구성의 이점은, 제1 챔버(402) 내의 압력이 암(425)의 원단부(425)를 내측 벽(420)의 내측 표면에 맞닿게 힘을 가하는 것을 돕는다는 점이다. 결과적으로, 도 3에 도시된 구성과 대조적으로, 액추에이터는 덜 강력할 수 있다.
본원에서 설명된 시스템들의 제어기 및 다른 컴퓨팅 디바이스들은, 디지털 전자 회로로 또는 컴퓨터 소프트웨어, 펌웨어, 또는 하드웨어로 구현될 수 있다. 예컨대, 제어기는 컴퓨터 프로그램 제품에, 예컨대 비-일시적인 기계 판독가능 저장 매체에 저장되는 바와 같은 컴퓨터 프로그램을 실행하기 위한 프로세서를 포함할 수 있다. 그러한 컴퓨터 프로그램(프로그램, 소프트웨어, 소프트웨어 애플리케이션, 또는 코드로 또한 알려져 있음)은, 컴파일 또는 해석되는 언어들을 포함하는 임의의 형태의 프로그래밍 언어로 작성될 수 있고, 이는 독립형 프로그램 또는 모듈, 컴포넌트, 서브루틴 또는 컴퓨팅 환경에서 사용하기에 적합한 다른 유닛을 포함하는 임의의 형태로 배포될 수 있다.
본 문서가 많은 특정한 구현 세부사항들을 포함하지만, 이들은 임의의 발명들 또는 청구될 수도 있는 것의 범위에 대한 제한들로서 해석되어서는 안되며, 오히려, 특정 발명들의 특정 실시예들에 특정한 특징들의 설명들로서 해석되어야 한다. 별개의 실시예들의 맥락에서 본 문서에 설명되는 특정 특징들은 또한, 단일 실시예로 조합되어 구현될 수 있다. 역으로, 단일 실시예의 맥락에서 설명되는 다양한 특징들은 또한, 다수의 실시예들에서 별개로, 또는 임의의 적합한 하위 조합으로 구현될 수 있다. 더욱이, 특징들이 특정 조합들로 작용하는 것으로 위에서 설명되고 심지어 처음에 이와 같이 청구될 수 있지만, 일부 경우들에서, 청구되는 조합으로부터의 하나 이상의 특징이 그 조합으로부터 제거될 수 있고, 청구된 조합은 하위 조합 또는 하위 조합의 변형과 관련될 수 있다.
따라서, 다른 실시예들은 다음의 청구항들의 범위 내에 있다.

Claims (15)

  1. 작업부재 상의 텅스텐 막을 처리하는 방법으로서,
    챔버 내에 상기 작업부재를 지지하는 단계;
    상기 챔버 내로 수소 가스를 도입하는 단계;
    상기 챔버 내에 적어도 5 기압의 압력을 설정하는 단계; 및
    상기 챔버 내의 압력이 적어도 5 기압인 동안 상기 작업부재 상의 상기 텅스텐 막을 상기 수소 가스에 노출시키는 단계를 포함하는, 작업부재 상의 텅스텐 막을 처리하는 방법.
  2. 제1항에 있어서,
    약 250 ℃ 내지 약 600 ℃의 온도로 상기 텅스텐 막을 가열하는 단계를 더 포함하는, 작업부재 상의 텅스텐 막을 처리하는 방법.
  3. 제2항에 있어서,
    상기 텅스텐 막을 가열하는 단계는, 상기 챔버 내의 상기 작업부재에 대한 지지부를 상승된 온도를 유지하는 단계를 포함하는, 작업부재 상의 텅스텐 막을 처리하는 방법.
  4. 제3항에 있어서,
    상기 텅스텐 막의 온도는, 적어도 5 기압의 상기 챔버 내의 압력을 설정하기 전에 상승되는, 작업부재 상의 텅스텐 막을 처리하는 방법.
  5. 제1항에 있어서,
    상기 챔버 내의 압력을 설정하는 단계는, 상기 챔버 내에 가스 혼합물을 제공하기 위해 상기 수소 가스 및 불활성 가스를 도입하는 단계를 포함하는, 작업부재 상의 텅스텐 막을 처리하는 방법.
  6. 제5항에 있어서,
    상기 수소 가스는, 상기 가스 혼합물의 약 1 부피%(vol%) 내지 약 4 vol%를 차지하는, 작업부재 상의 텅스텐 막을 처리하는 방법.
  7. 제5항에 있어서,
    상기 텅스텐 막은, 상기 수소 가스가 약 1 bar 내지 약 10 bar의 분압을 갖는 동안 상기 수소 가스에 노출되는, 작업부재 상의 텅스텐 막을 처리하는 방법.
  8. 제5항에 있어서,
    상기 불활성 가스는, 질소, 아르곤, 또는 이들의 조합을 포함하는, 작업부재 상의 텅스텐 막을 처리하는 방법.
  9. 작업부재 상에 텅스텐을 형성하는 방법으로서,
    텅스텐 및 플루오린을 함유하는 전구체 가스를 사용하여 화학 기상 증착에 의해 상기 작업부재 상에 텅스텐 막을 증착하는 단계, 및
    챔버 내의 압력이 적어도 5 기압인 동안 상기 작업부재 상의 상기 텅스텐 막을 상기 챔버 내의 수소 가스에 노출시키는 단계를 포함하는, 작업부재 상에 텅스텐을 형성하는 방법.
  10. 제9항에 있어서,
    상기 전구체 가스는 육플루오린화텅스텐을 포함하며,
    상기 방법은, 약 250 ℃ 내지 약 600 ℃의 온도로 상기 텅스텐 막을 가열하는 단계를 더 포함하는, 작업부재 상에 텅스텐을 형성하는 방법.
  11. 제9항에 있어서,
    상기 챔버 내에 가스 혼합물을 제공하기 위해 상기 수소 가스 및 불활성 가스를 도입함으로써 상기 챔버 내의 압력을 설정하는 단계를 포함하는, 작업부재 상에 텅스텐을 형성하는 방법.
  12. 어닐링 시스템으로서,
    챔버를 정의하는 챔버 몸체;
    작업부재의 외측 표면이 상기 챔버 내의 환경에 노출되게 상기 작업부재를 유지하기 위한 지지부;
    상기 챔버 내에 상기 작업부재를 삽입하기 위한 로봇;
    수소 가스를 제공하기 위한 제1 가스 공급부;
    상기 챔버 내의 압력을 적어도 5 기압으로 상승시키기 위해 상기 챔버에 결합되는 압력 소스; 및
    상기 로봇, 상기 제1 가스 공급부, 및 상기 압력 소스에 결합되는 제어기를 포함하며, 상기 제어기는, 상기 로봇으로 하여금, 상부에 텅스텐 막을 갖는 작업부재를 상기 챔버 내로 운반하게 하고, 상기 가스 공급부로 하여금, 상기 수소 가스를 상기 챔버에 공급하게 하고, 상기 압력 소스로 하여금, 상기 작업부재가 상기 챔버 내의 상기 지지부 상에 유지되는 동안 상기 챔버 내의 압력을 적어도 5 기압으로 상승시키게 하도록 구성되는, 어닐링 시스템.
  13. 제12항에 있어서,
    가열기는 상기 지지부에 매립된 저항성 가열기를 포함하는, 어닐링 시스템.
  14. 제12항에 있어서,
    가열기는, 상기 챔버 몸체의 벽에 있고 상기 지지부 상의 상기 작업부재를 조사(irradiate)하도록 위치되는 방사성 가열기를 포함하는, 어닐링 시스템.
  15. 제12항에 있어서,
    상기 챔버에 불활성 가스를 공급하기 위한 제2 가스 공급부를 포함하고, 상기 제어기는, 상기 제2 가스 공급부에 결합되고, 상기 챔버 내에 가스 혼합물을 제공하기 위해, 상기 제1 가스 공급부로 하여금 상기 수소 가스를 도입하게 하고 상기 제2 가스 공급부로 하여금 상기 불활성 가스를 도입하게 하도록 구성되는, 어닐링 시스템.
KR1020197038099A 2017-05-25 2018-05-23 고압 처리에 의한 텅스텐 탈플루오린화를 위한 방법 및 장치 KR102362626B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227004404A KR102467700B1 (ko) 2017-05-25 2018-05-23 고압 처리에 의한 텅스텐 탈플루오린화를 위한 어닐링 시스템

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/605,769 2017-05-25
US15/605,769 US10622214B2 (en) 2017-05-25 2017-05-25 Tungsten defluorination by high pressure treatment
PCT/US2018/034036 WO2018217834A1 (en) 2017-05-25 2018-05-23 Tungsten defluorination by high pressure treatment

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227004404A Division KR102467700B1 (ko) 2017-05-25 2018-05-23 고압 처리에 의한 텅스텐 탈플루오린화를 위한 어닐링 시스템

Publications (2)

Publication Number Publication Date
KR20200000477A true KR20200000477A (ko) 2020-01-02
KR102362626B1 KR102362626B1 (ko) 2022-02-15

Family

ID=64396999

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197038099A KR102362626B1 (ko) 2017-05-25 2018-05-23 고압 처리에 의한 텅스텐 탈플루오린화를 위한 방법 및 장치
KR1020227004404A KR102467700B1 (ko) 2017-05-25 2018-05-23 고압 처리에 의한 텅스텐 탈플루오린화를 위한 어닐링 시스템

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227004404A KR102467700B1 (ko) 2017-05-25 2018-05-23 고압 처리에 의한 텅스텐 탈플루오린화를 위한 어닐링 시스템

Country Status (6)

Country Link
US (3) US10622214B2 (ko)
EP (1) EP3635770A4 (ko)
JP (3) JP6959362B2 (ko)
KR (2) KR102362626B1 (ko)
CN (1) CN110692121B (ko)
WO (1) WO2018217834A1 (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) * 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11101174B2 (en) * 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11377733B2 (en) * 2020-08-07 2022-07-05 Sandisk Technologies Llc Fluorine-free tungsten deposition process employing in-situ oxidation and apparatuses for effecting the same
KR20220026713A (ko) 2020-08-26 2022-03-07 주식회사 원익아이피에스 기판처리방법과, 그에 따른 기판처리장치 및 반도체 소자 제조방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160044004A (ko) * 2013-08-16 2016-04-22 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하는 텅스텐 증착
US20160118391A1 (en) * 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
KR20160061437A (ko) * 2008-05-02 2016-05-31 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템

Family Cites Families (624)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US3684592A (en) 1969-09-30 1972-08-15 Westinghouse Electric Corp Passivated surfaces and protective coatings for semiconductor devices and processes for producing the same
DE2151127C3 (de) * 1970-12-16 1981-04-16 International Business Machines Corp., 10504 Armonk, N.Y. Verfahren zum Abscheiden eines Metallisierungsmusters und seine Anwendung
US3758316A (en) 1971-03-30 1973-09-11 Du Pont Refractory materials and process for making same
US3749383A (en) 1971-04-29 1973-07-31 Rca Corp Apparatus for processing semiconductor devices
US4409260A (en) 1979-08-15 1983-10-11 Hughes Aircraft Company Process for low-temperature surface layer oxidation of a semiconductor substrate
US4424101A (en) 1980-11-06 1984-01-03 The Perkin-Elmer Corp. Method of depositing doped refractory metal silicides using DC magnetron/RF diode mode co-sputtering techniques
US4589193A (en) 1984-06-29 1986-05-20 International Business Machines Corporation Metal silicide channel stoppers for integrated circuits and method for making the same
US4576652A (en) 1984-07-12 1986-03-18 International Business Machines Corporation Incoherent light annealing of gallium arsenide substrate
JPS634616A (ja) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd 蒸気処理装置
JPS6367721A (ja) 1986-09-09 1988-03-26 Meidensha Electric Mfg Co Ltd アモルフアス炭素半導体膜の製造方法
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
CA1308496C (en) * 1988-02-18 1992-10-06 Rajiv V. Joshi Deposition of tungsten on silicon in a non-self-limiting cvd process
JPH0218018A (ja) 1988-07-07 1990-01-22 Nishikawa Kasei Kk 表皮材の製造方法
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5207836A (en) * 1989-08-25 1993-05-04 Applied Materials, Inc. Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
JP3004696B2 (ja) * 1989-08-25 2000-01-31 アプライド マテリアルズ インコーポレーテッド 化学的蒸着装置の洗浄方法
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JP2996524B2 (ja) 1991-03-18 2000-01-11 松下電子工業株式会社 ポリイミド硬化装置
EP0516344B1 (en) 1991-05-28 2003-10-01 Trikon Technologies Limited Method to fill a cavity in a substrate
US5314541A (en) 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
JPH0521347A (ja) 1991-07-11 1993-01-29 Canon Inc スパツタリング装置
JPH0521310A (ja) 1991-07-11 1993-01-29 Canon Inc 微細パタン形成方法
JPH05129230A (ja) * 1991-10-31 1993-05-25 Fujitsu Ltd タングステン膜の形成方法
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5300320A (en) 1992-06-23 1994-04-05 President And Fellows Of Harvard College Chemical vapor deposition from single organometallic precursors
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (ja) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd 洗浄処理後の基板の乾燥処理装置
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JPH0766424A (ja) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JPH07158767A (ja) 1993-12-09 1995-06-20 Kokusai Electric Co Ltd ゲートバルブ
US5460689A (en) 1994-02-28 1995-10-24 Applied Materials, Inc. High pressure plasma treatment method and apparatus
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
KR960002534A (ko) 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
JPH08195493A (ja) 1995-01-13 1996-07-30 Toshiba Corp 薄膜トランジスタの製造方法
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
KR100251341B1 (ko) 1995-05-08 2000-05-01 오카노 사다오 광도파로의 제조방법
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
JP3684624B2 (ja) 1995-08-02 2005-08-17 ソニー株式会社 反応ガス供給装置
US5747383A (en) 1995-09-05 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd Method for forming conductive lines and stacked vias
US5857368A (en) 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
JPH09296267A (ja) 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5677230A (en) 1995-12-01 1997-10-14 Motorola Method of making wide bandgap semiconductor devices
US6077571A (en) 1995-12-19 2000-06-20 The Research Foundation Of State University Of New York Conformal pure and doped aluminum coatings and a methodology and apparatus for their preparation
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
US5918149A (en) 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
TW347570B (en) 1996-12-24 1998-12-11 Toshiba Co Ltd Semiconductor device and method for manufacturing the same
US5888888A (en) 1997-01-29 1999-03-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
JP2980052B2 (ja) 1997-03-31 1999-11-22 日本電気株式会社 半導体装置の製造方法
US6334249B2 (en) 1997-04-22 2002-01-01 Texas Instruments Incorporated Cavity-filling method for reducing surface topography and roughness
KR100560049B1 (ko) 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
JP2976931B2 (ja) * 1997-06-04 1999-11-10 日本電気株式会社 半導体装置の製造方法
US6872429B1 (en) * 1997-06-30 2005-03-29 Applied Materials, Inc. Deposition of tungsten nitride using plasma pretreatment in a chemical vapor deposition chamber
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6140235A (en) 1997-12-05 2000-10-31 Applied Materials, Inc. High pressure copper fill at low temperature
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JP3296281B2 (ja) 1998-01-22 2002-06-24 日本電気株式会社 スパッタリング装置及びスパッタリング方法
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
JP3955386B2 (ja) 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
JP3915083B2 (ja) 1998-05-20 2007-05-16 Smc株式会社 高真空バルブ
JPH11354615A (ja) 1998-06-04 1999-12-24 Next:Kk 半導体ウエハのチャック装置
US6103585A (en) 1998-06-09 2000-08-15 Siemens Aktiengesellschaft Method of forming deep trench capacitors
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6037263A (en) * 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP2000221799A (ja) 1999-01-29 2000-08-11 Canon Inc 画像形成装置
EP1084076B1 (en) 1999-03-04 2008-10-08 Surface Technology Systems Plc Chlorotrifluorine gas generator system
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP4096440B2 (ja) 1999-03-11 2008-06-04 三菱瓦斯化学株式会社 多層成形品
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6095741A (en) 1999-03-29 2000-08-01 Lam Research Corporation Dual sided slot valve and method for implementing the same
JP2002541664A (ja) 1999-04-02 2002-12-03 シリコン ヴァレイ グループ サーマル システムズ リミテッド ライアビリティ カンパニー 側壁ライナー酸化成長前にトレンチ充填酸化物を付着させるためのトレンチ分離方法。
JP3892621B2 (ja) 1999-04-19 2007-03-14 株式会社神戸製鋼所 配線膜の形成方法
US6086730A (en) 1999-04-22 2000-07-11 Komag, Incorporated Method of sputtering a carbon protective film on a magnetic disk with high sp3 content
JP2000340671A (ja) 1999-05-26 2000-12-08 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
JP2001053066A (ja) 1999-05-28 2001-02-23 Tokyo Electron Ltd オゾン処理装置およびその方法
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
JP2000357699A (ja) 1999-06-16 2000-12-26 Seiko Epson Corp 半導体装置
EP1069213A3 (en) 1999-07-12 2004-01-28 Applied Materials, Inc. Optimal anneal technology for micro-voiding control and self-annealing management of electroplated copper
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
DE69940114D1 (de) 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
KR100321561B1 (ko) 1999-11-16 2002-01-23 박호군 휘발 성분이 포함된 다성분 산화물 강유전체 박막의 제조방법
US6399486B1 (en) 1999-11-22 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of improved copper gap fill
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6969448B1 (en) 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6506653B1 (en) 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
JP4637989B2 (ja) 2000-03-24 2011-02-23 株式会社神戸製鋼所 半導体配線膜の形成方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
EP1160826A3 (en) 2000-05-30 2006-12-13 Ebara Corporation Coating, modification and etching of substrate surface with particle beam irradiation
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP3425938B2 (ja) 2000-12-14 2003-07-14 入江工研株式会社 ゲート弁
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
KR100433846B1 (ko) 2001-05-23 2004-06-04 주식회사 하이닉스반도체 반도체장치의 금속도전막 형성방법
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6889627B1 (en) 2001-08-08 2005-05-10 Lam Research Corporation Symmetrical semiconductor reactor
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
JP2003077974A (ja) 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7105061B1 (en) 2001-11-07 2006-09-12 Novellus Systems, Inc. Method and apparatus for sealing substrate load port in a high pressure reactor
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003166065A (ja) 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置
KR20030050652A (ko) * 2001-12-19 2003-06-25 주식회사 하이닉스반도체 텅스텐막의 형성 방법
KR100450564B1 (ko) 2001-12-20 2004-09-30 동부전자 주식회사 반도체 소자의 금속 배선 후처리 방법
JP2003188387A (ja) 2001-12-20 2003-07-04 Sony Corp 薄膜トランジスタ及びその製造方法
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6657304B1 (en) 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US6974976B2 (en) 2002-09-30 2005-12-13 Miasole Thin-film solar cells
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
KR100480634B1 (ko) 2002-11-19 2005-03-31 삼성전자주식회사 니켈 살리사이드 공정을 이용한 반도체 소자의 제조방법
US7027722B2 (en) 2002-11-25 2006-04-11 Koyo Thermo Systems Co., Ltd. Electric heater for a semiconductor processing apparatus
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US6825115B1 (en) 2003-01-14 2004-11-30 Advanced Micro Devices, Inc. Post silicide laser thermal annealing to avoid dopant deactivation
US7658973B2 (en) 2003-02-04 2010-02-09 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6809005B2 (en) 2003-03-12 2004-10-26 Infineon Technologies Ag Method to fill deep trench structures with void-free polysilicon or silicon
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
CN100408902C (zh) 2003-05-13 2008-08-06 应用材料股份有限公司 密封一处理室一开口的方法与装置
US6867130B1 (en) 2003-05-28 2005-03-15 Advanced Micro Devices, Inc. Enhanced silicidation of polysilicon gate electrodes
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
JP4417669B2 (ja) 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
JP4443879B2 (ja) 2003-09-03 2010-03-31 株式会社協真エンジニアリング 高精度高圧アニール装置
US7029966B2 (en) 2003-09-18 2006-04-18 International Business Machines Corporation Process options of forming silicided metal gates for advanced CMOS devices
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7109087B2 (en) 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050161158A1 (en) 2003-12-23 2005-07-28 Schumacher John C. Exhaust conditioning system for semiconductor reactor
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US20050205210A1 (en) 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7037816B2 (en) 2004-01-23 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integration of HfO2 and RTCVD poly-silicon
US6897118B1 (en) 2004-02-11 2005-05-24 Chartered Semiconductor Manufacturing Ltd. Method of multiple pulse laser annealing to activate ultra-shallow junctions
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7030016B2 (en) 2004-03-30 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post ECP multi-step anneal/H2 treatment to reduce film impurity
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7268065B2 (en) 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
TWI267183B (en) 2004-09-29 2006-11-21 Sanyo Electric Co Semiconductor device and manufacturing method of the same
US7439168B2 (en) 2004-10-12 2008-10-21 Dcg Systems, Inc Apparatus and method of forming silicide in a localized manner
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US8585873B2 (en) 2004-10-16 2013-11-19 Aviza Technology Limited Methods and apparatus for sputtering
US20060091493A1 (en) 2004-11-01 2006-05-04 Silicon-Based Technology Corp. LOCOS Schottky barrier contact structure and its manufacturing method
JP2006135161A (ja) 2004-11-08 2006-05-25 Canon Inc 絶縁膜の形成方法及び装置
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
KR100697280B1 (ko) 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
JP5531284B2 (ja) 2005-02-22 2014-06-25 エスピーティーエス テクノロジーズ リミテッド 副チャンバアセンブリを備えるエッチング用チャンバ
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
WO2006098101A1 (ja) 2005-03-16 2006-09-21 Nec Corporation 金属材料、金属材料を用いた半導体集積回路用配線および被覆膜
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
JP2006278920A (ja) * 2005-03-30 2006-10-12 Elpida Memory Inc 半導体装置の製造方法
US7465650B2 (en) 2005-04-14 2008-12-16 Micron Technology, Inc. Methods of forming polysilicon-comprising plugs and methods of forming FLASH memory circuitry
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
EP1959299B1 (en) 2005-06-10 2012-12-26 Obducat AB Pattern replication with intermediate stamp
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
US8148271B2 (en) 2005-08-05 2012-04-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
CN101268012B (zh) 2005-10-07 2012-12-26 株式会社尼康 微小构造体及其制造方法
US7794667B2 (en) 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US8460519B2 (en) 2005-10-28 2013-06-11 Applied Materials Inc. Protective offset sputtering
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
DK1948852T3 (da) 2005-11-18 2019-01-02 Luxembourg Inst Science & Tech List Hovedelektrode og fremgangsmåde til dannelse af hovedelektroden
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7432200B2 (en) 2005-12-15 2008-10-07 Intel Corporation Filling narrow and high aspect ratio openings using electroless deposition
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
JP2007180310A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
KR100684910B1 (ko) 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
JP2006135363A (ja) * 2006-02-14 2006-05-25 Renesas Technology Corp 半導体装置および半導体装置の製造方法
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP4983087B2 (ja) 2006-04-27 2012-07-25 富士通セミコンダクター株式会社 成膜方法、半導体装置の製造方法、コンピュータ可読記録媒体、スパッタ処理装置
US8062408B2 (en) 2006-05-08 2011-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
US7709320B2 (en) 2006-06-28 2010-05-04 International Business Machines Corporation Method of fabricating trench capacitors and memory cells using trench capacitors
US7494891B2 (en) 2006-09-21 2009-02-24 International Business Machines Corporation Trench capacitor with void-free conductor fill
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4976796B2 (ja) 2006-09-25 2012-07-18 株式会社東芝 半導体装置
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
TW200830034A (en) 2006-10-13 2008-07-16 Asahi Glass Co Ltd Method of smoothing surface of substrate for EUV mask blank, and EUV mask blank obtained by the method
JP2008118118A (ja) 2006-10-13 2008-05-22 Asahi Glass Co Ltd Euvマスクブランク用の基板表面を平滑化する方法、および該方法により得られるeuvマスクブランク
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
JP2008153635A (ja) 2006-11-22 2008-07-03 Toshiba Matsushita Display Technology Co Ltd Mos型半導体素子の製造方法
JP5200371B2 (ja) 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US20080132050A1 (en) 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
US8319295B2 (en) 2007-01-10 2012-11-27 Imec Use of F-based gate etch to passivate the high-k/metal gate stack for deep submicron transistor technologies
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
DE102007017641A1 (de) 2007-04-13 2008-10-16 Infineon Technologies Ag Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder
CN101690400B (zh) 2007-04-30 2011-11-30 伊菲雷知识产权公司 用于厚膜介质电致发光显示器的层状厚膜介质结构
WO2008147522A1 (en) 2007-05-25 2008-12-04 Applied Materials, Inc. Methods and apparatus for assembling and operating electronic device manufacturing systems
US20080311711A1 (en) 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
US20090018688A1 (en) 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7645709B2 (en) 2007-07-30 2010-01-12 Applied Materials, Inc. Methods for low temperature oxidation of a semiconductor device
US7763522B2 (en) 2007-08-01 2010-07-27 United Microelectronic Corp. Method of high density plasma gap-filling with minimization of gas phase nucleation
US8648253B1 (en) 2010-10-01 2014-02-11 Ascent Solar Technologies, Inc. Machine and process for continuous, sequential, deposition of semiconductor solar absorbers having variable semiconductor composition deposited in multiple sublayers
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7884012B2 (en) 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
CN101835521A (zh) 2007-10-26 2010-09-15 应用材料公司 利用改进燃料线路的用于智能减废的方法与设备
JP5299605B2 (ja) 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (ko) 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 위한 보호 층
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US7843063B2 (en) 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20090246952A1 (en) 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8398777B2 (en) * 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
US8133793B2 (en) 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
JP2010056541A (ja) 2008-07-31 2010-03-11 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP2010080949A (ja) 2008-08-29 2010-04-08 Kisco Ltd 銅膜のアニール方法、アニールされた銅配線およびこの銅配線を有するデバイス
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
KR20110084275A (ko) 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (ko) 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
JP2010168607A (ja) 2009-01-21 2010-08-05 Institute Of National Colleges Of Technology Japan 組成比制御が可能な対向ターゲット式スパッタ装置
CN102308675B (zh) 2009-02-04 2016-01-13 应用材料公司 用于等离子体工艺的接地回流路径
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
EP2396823A4 (en) 2009-02-15 2013-09-11 Jacob Woodruff FROM BALANCE WEIGHER (N) SHAPED SOLAR CELL ABSORPTION LAYER
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP4564570B2 (ja) 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
FR2944147B1 (fr) 2009-04-02 2011-09-23 Saint Gobain Procede de fabrication d'une structure a surface externe texturee pour dispositif a diode electroluminescente organique et struture a surface externe texturee
KR20120004502A (ko) 2009-04-03 2012-01-12 어플라이드 머티어리얼스, 인코포레이티드 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법
US20100297854A1 (en) 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
CN102473748B (zh) 2009-07-01 2014-08-20 三菱电机株式会社 薄膜太阳能电池及其制造方法
JP2012197463A (ja) 2009-07-03 2012-10-18 Canon Anelva Corp 薄膜の成膜方法
US20110011737A1 (en) 2009-07-17 2011-01-20 Institute Of Nuclear Energy Research Atomic Energy Council, Executive Yuan High-power pulse magnetron sputtering apparatus and surface treatment apparatus using the same
JP5568913B2 (ja) 2009-07-24 2014-08-13 株式会社ユーテック Pzt膜の製造方法及び水蒸気加熱装置
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
FR2949237B1 (fr) * 2009-08-24 2011-09-30 Ecole Polytech Procede de nettoyage de la surface d'un substrat de silicium
KR20110023007A (ko) 2009-08-28 2011-03-08 삼성전자주식회사 박막 태양 전지 및 이의 제조방법
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR20120106766A (ko) 2009-11-20 2012-09-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
US8691687B2 (en) 2010-01-07 2014-04-08 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
US20110174363A1 (en) 2010-01-21 2011-07-21 Aqt Solar, Inc. Control of Composition Profiles in Annealed CIGS Absorbers
KR101775608B1 (ko) 2010-01-21 2017-09-19 파워다인, 인코포레이티드 탄소질 물질로부터의 스팀의 발생 방법
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US20110204518A1 (en) 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
KR101853802B1 (ko) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
TW201133974A (en) 2010-03-23 2011-10-01 Nat Univ Tsing Hua Method for improving the efficiency of a flexible organic solar cell
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
KR20130045418A (ko) 2010-04-23 2013-05-03 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
JP5697534B2 (ja) 2010-05-14 2015-04-08 株式会社半導体エネルギー研究所 トランジスタの作製方法
KR101163711B1 (ko) 2010-06-15 2012-07-09 서울대학교산학협력단 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP2012089744A (ja) 2010-10-21 2012-05-10 Elpida Memory Inc 半導体装置の製造方法
CN102479692B (zh) * 2010-11-30 2014-06-04 中芯国际集成电路制造(北京)有限公司 形成栅极的方法
US20120153483A1 (en) 2010-12-20 2012-06-21 Akolkar Rohan N Barrierless single-phase interconnect
US8536656B2 (en) 2011-01-10 2013-09-17 International Business Machines Corporation Self-aligned contacts for high k/metal gate process flow
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
KR20140027917A (ko) 2011-03-25 2014-03-07 이서영 광도파로 및 그 제조방법
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8637410B2 (en) 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
US8435887B2 (en) 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
US10090179B2 (en) 2011-06-28 2018-10-02 Brooks Automation, Inc. Semiconductor stocker systems and methods
WO2013008982A1 (ko) 2011-07-14 2013-01-17 엘티씨 (주) 높은 광추출 성능을 갖는 무기 산란막 {inorganic scattering films having high light extraction performance}
US8546227B2 (en) 2011-09-15 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-K metal gate device
US10023954B2 (en) 2011-09-15 2018-07-17 Applied Materials, Inc. Slit valve apparatus, systems, and methods
US9368603B2 (en) 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
CN103035513B (zh) 2011-09-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 无定形碳膜的形成方法
JPWO2013065771A1 (ja) 2011-11-01 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101305904B1 (ko) 2011-12-07 2013-09-09 주식회사 테스 반도체소자 제조방법
EP2788161A4 (en) 2011-12-08 2015-07-15 Inmold Biosystems As POLISHING ROUGH SUBSTRATES ASSISTED BY GLASS DEPOSITED BY CENTRIFUGATION
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
JP6254098B2 (ja) 2012-02-13 2017-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板の選択性酸化のための方法および装置
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
JP5577365B2 (ja) 2012-03-15 2014-08-20 コマツ産機株式会社 プレス機械の制動性能確認装置
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9303311B2 (en) 2012-03-30 2016-04-05 Applied Materials, Inc. Substrate processing system with mechanically floating target assembly
US9647066B2 (en) 2012-04-24 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy FinFET structure and method of making same
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
WO2014021220A1 (ja) 2012-07-30 2014-02-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US20140034632A1 (en) 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
WO2014030371A1 (ja) 2012-08-24 2014-02-27 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
JP6325229B2 (ja) 2012-10-17 2018-05-16 株式会社半導体エネルギー研究所 酸化物膜の作製方法
US9337318B2 (en) 2012-10-26 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with dummy gate on non-recessed shallow trench isolation (STI)
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6060460B2 (ja) 2012-11-22 2017-01-18 アーゼット・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ シリカ質膜の形成方法及び同方法で形成されたシリカ質膜
TWI689004B (zh) 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US9559181B2 (en) 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
WO2014115600A1 (ja) 2013-01-22 2014-07-31 ピーエスフォー ルクスコ エスエイアールエル 半導体装置の製造方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
CN104995333B (zh) 2013-02-19 2017-09-22 应用材料公司 使用可流动式cvd膜的hdd图案化
KR20140104112A (ko) 2013-02-20 2014-08-28 주식회사 에스에프에이 평면 디스플레이용 화학 기상 증착장치
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US9196768B2 (en) 2013-03-15 2015-11-24 Jehad A. Abushama Method and apparatus for depositing copper—indium—gallium selenide (CuInGaSe2-CIGS) thin films and other materials on a substrate
KR20210014778A (ko) 2013-03-15 2021-02-09 어플라이드 머티어리얼스, 인코포레이티드 기판 증착 시스템, 로봇 이송 장치, 및 전자 디바이스 제조 방법
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9378994B2 (en) 2013-03-15 2016-06-28 Applied Materials, Inc. Multi-position batch load lock apparatus and systems and methods including same
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9190321B2 (en) 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US10172189B2 (en) 2013-04-26 2019-01-01 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
US9087903B2 (en) 2013-04-26 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer layer omega gate
KR101287035B1 (ko) 2013-05-07 2013-07-17 호용종합건설주식회사 관 갱생 건증기 공급용 보일러 시스템
JP6068633B2 (ja) 2013-05-31 2017-01-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
EP2832899A1 (fr) 2013-08-02 2015-02-04 The Swatch Group Research and Development Ltd. Revêtement de diamant et procédé de dépôt d'un tel revêtement
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
US9548200B2 (en) 2013-08-21 2017-01-17 Applied Materials, Inc. Variable frequency microwave (VFM) processes and applications in semiconductor thin film fabrications
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
KR101548802B1 (ko) * 2013-09-06 2015-08-31 한양대학교 산학협력단 나노복합체 기반 비휘발성 메모리 소자 및 그의 제조방법
US9224734B2 (en) 2013-09-13 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with reduced leakage and methods of forming the same
KR20150031889A (ko) 2013-09-17 2015-03-25 엘지이노텍 주식회사 테양전지
CN110066984B (zh) 2013-09-27 2021-06-08 应用材料公司 实现无缝钴间隙填充的方法
JP6165577B2 (ja) 2013-09-30 2017-07-19 Hoya株式会社 マスクブランクの製造方法及び転写用マスクの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9583655B2 (en) 2013-10-08 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making photovoltaic device having high quantum efficiency
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
JP6254823B2 (ja) 2013-11-01 2017-12-27 Jx金属株式会社 ニッケルシリサイドスパッタリングターゲット及びその製造方法
JP6221710B2 (ja) 2013-12-10 2017-11-01 住友電気工業株式会社 半導体装置の製造方法
SG11201604722WA (en) 2013-12-22 2016-07-28 Applied Materials Inc Glass ceramic for ultraviolet lithography and method of manufacturing thereof
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9677172B2 (en) 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9496145B2 (en) 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
WO2015143371A1 (en) 2014-03-21 2015-09-24 Brookhaven Science Associates, Llc Hole blocking, electron transporting and window layer for optimized cuin(1-x)ga(x)se2 solar cells
US11183375B2 (en) 2014-03-31 2021-11-23 Applied Materials, Inc. Deposition system with multi-cathode and method of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
US9984915B2 (en) 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
CN106463358B (zh) 2014-06-16 2020-04-24 英特尔公司 金属互连件的接缝愈合
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
JPWO2016038664A1 (ja) 2014-09-08 2017-04-27 三菱電機株式会社 半導体アニール装置
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9484461B2 (en) 2014-09-29 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US9711414B2 (en) 2014-10-21 2017-07-18 Samsung Electronics Co., Ltd. Strained stacked nanosheet FETS and/or quantum well stacked nanosheet
WO2016065221A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US10204764B2 (en) 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
US9768060B2 (en) 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
CN104845977B (zh) 2014-12-22 2017-04-19 广东省农业科学院植物保护研究所 稻瘟病抗性基因Pi50及其制备方法与应用
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9343372B1 (en) 2014-12-29 2016-05-17 GlobalFoundries, Inc. Metal stack for reduced gate resistance
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
WO2016111833A1 (en) 2015-01-09 2016-07-14 Applied Materials, Inc. Direct deposition of nickel silicide nanowire
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
EP3254303B1 (en) 2015-02-06 2018-12-05 Versum Materials US, LLC Method for formation of carbon doped silicon containing films
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
US20160268127A1 (en) 2015-03-13 2016-09-15 Semiconductor Energy Laboratory Co., Ltd. Oxide and Manufacturing Method Thereof
US9711535B2 (en) 2015-03-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET channel
US9590102B2 (en) 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN106158730B (zh) * 2015-04-15 2019-09-27 中芯国际集成电路制造(上海)有限公司 半导体器件制作方法、半导体器件及电子装置
CN107534000B (zh) 2015-04-20 2021-12-17 应用材料公司 缓冲腔室晶片加热机构和支撑机械臂
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US10443934B2 (en) 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
TWI791199B (zh) 2015-05-11 2023-02-01 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10446706B2 (en) 2015-05-15 2019-10-15 Beijing Apollo Ding Rong Solar Technology Co., Ltd. Hexagonal phase epitaxial cadmium sulfide on copper indium gallium selenide for a photovoltaic junction
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US10597779B2 (en) 2015-06-05 2020-03-24 Applied Materials, Inc. Susceptor position and rational apparatus and methods of use
JP7066929B2 (ja) 2015-06-05 2022-05-16 東京エレクトロン株式会社 インターコネクトのためのルテニウムメタルによるフィーチャ充填
US9633839B2 (en) 2015-06-19 2017-04-25 Applied Materials, Inc. Methods for depositing dielectric films via physical vapor deposition processes
US20160379854A1 (en) 2015-06-29 2016-12-29 Varian Semiconductor Equipment Associates, Inc. Vacuum Compatible LED Substrate Heater
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9666606B2 (en) 2015-08-21 2017-05-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic device
US10468238B2 (en) 2015-08-21 2019-11-05 Applied Materials, Inc. Methods and apparatus for co-sputtering multiple targets
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9530737B1 (en) 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9755047B2 (en) 2015-10-27 2017-09-05 United Microelectronics Corp. Semiconductor process and semiconductor device
US9484255B1 (en) 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9502307B1 (en) 2015-11-20 2016-11-22 International Business Machines Corporation Forming a semiconductor structure for reduced negative bias temperature instability
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
JP6856651B2 (ja) 2016-01-05 2021-04-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
US9805976B2 (en) 2016-01-08 2017-10-31 Applied Materials, Inc. Co or Ni and Cu integration for small and large features in integrated circuits
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
US9679810B1 (en) 2016-02-11 2017-06-13 Globalfoundries Inc. Integrated circuit having improved electromigration performance and method of forming same
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
CN115148824A (zh) 2016-03-11 2022-10-04 株式会社半导体能源研究所 复合体及晶体管
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10049927B2 (en) 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9933314B2 (en) 2016-06-30 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Semiconductor workpiece temperature measurement system
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US10020186B2 (en) 2016-07-29 2018-07-10 Applied Materials, Inc. Silicon germanium selective oxidation process
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US20180087418A1 (en) 2016-09-22 2018-03-29 Castrol Limited Fluid Method and System
US10083834B2 (en) 2016-09-30 2018-09-25 Applied Materials, Inc. Methods of forming self-aligned vias
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US9741626B1 (en) 2016-10-20 2017-08-22 International Business Machines Corporation Vertical transistor with uniform bottom spacer formed by selective oxidation
KR102582671B1 (ko) 2016-12-22 2023-09-25 삼성전자주식회사 반도체 소자
TWI809712B (zh) 2017-01-24 2023-07-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
US10570506B2 (en) 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
US11476167B2 (en) * 2017-03-03 2022-10-18 SCREEN Holdings Co., Ltd. Heat treatment method and heat treatment apparatus of light irradiation type
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
KR20230162158A (ko) 2017-03-31 2023-11-28 어플라이드 머티어리얼스, 인코포레이티드 고종횡비 트렌치들을 비정질 실리콘 막으로 갭충전하기 위한 2-단계 프로세스
CN110476239B (zh) 2017-04-07 2023-10-13 应用材料公司 使用反应性退火的间隙填充
KR20190133276A (ko) 2017-04-21 2019-12-02 어플라이드 머티어리얼스, 인코포레이티드 개선된 전극 조립체
JP7235678B2 (ja) 2017-05-01 2023-03-08 アプライド マテリアルズ インコーポレイテッド 真空分離及び前処理環境を伴う高圧アニールチャンバ
KR20190138315A (ko) 2017-05-03 2019-12-12 어플라이드 머티어리얼스, 인코포레이티드 고온 세라믹 가열기 상의 통합형 기판 온도 측정
JP6918146B2 (ja) 2017-05-19 2021-08-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 液体および固体の排出物を収集して後に反応させて気体の排出物にする装置
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
CN110637353A (zh) 2017-06-02 2019-12-31 应用材料公司 在衬底上沉积的膜的质量改进
US10388533B2 (en) 2017-06-16 2019-08-20 Applied Materials, Inc. Process integration method to tune resistivity of nickel silicide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
SG11202006237RA (en) 2018-02-22 2020-09-29 Applied Materials Inc Method for processing a mask substrate to enable better film quality
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10916433B2 (en) 2018-04-06 2021-02-09 Applied Materials, Inc. Methods of forming metal silicide layers and metal silicide layers formed therefrom
WO2019204124A1 (en) 2018-04-20 2019-10-24 Applied Materials, Inc. Ceramic wafer heater with integrated pressurized helium cooling
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US11499666B2 (en) 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US11434569B2 (en) 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10790183B2 (en) 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
US11101174B2 (en) 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
US11728449B2 (en) 2019-12-03 2023-08-15 Applied Materials, Inc. Copper, indium, gallium, selenium (CIGS) films with improved quantum efficiency
TW202132605A (zh) * 2020-01-10 2021-09-01 美商應用材料股份有限公司 催化劑增強之無縫釕間隙填充

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160061437A (ko) * 2008-05-02 2016-05-31 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템
KR20160044004A (ko) * 2013-08-16 2016-04-22 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하는 텅스텐 증착
US20160118391A1 (en) * 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure

Also Published As

Publication number Publication date
US11705337B2 (en) 2023-07-18
EP3635770A4 (en) 2021-08-18
JP2022017278A (ja) 2022-01-25
JP6959362B2 (ja) 2021-11-02
JP2023075142A (ja) 2023-05-30
WO2018217834A1 (en) 2018-11-29
US10622214B2 (en) 2020-04-14
US20200098574A1 (en) 2020-03-26
JP2020521876A (ja) 2020-07-27
CN110692121B (zh) 2024-03-19
CN110692121A (zh) 2020-01-14
KR102362626B1 (ko) 2022-02-15
JP7492619B2 (ja) 2024-05-29
US20230298893A1 (en) 2023-09-21
US20180342396A1 (en) 2018-11-29
KR20220025181A (ko) 2022-03-03
JP7234329B2 (ja) 2023-03-07
EP3635770A1 (en) 2020-04-15
KR102467700B1 (ko) 2022-11-17

Similar Documents

Publication Publication Date Title
KR102362626B1 (ko) 고압 처리에 의한 텅스텐 탈플루오린화를 위한 방법 및 장치
KR102310823B1 (ko) 고압 웨이퍼 처리 시스템들 및 관련된 방법들
KR102289791B1 (ko) 질화규소 막의 고압 처리
US20220230887A1 (en) Methods and apparatus for processing a substrate
TW202412157A (zh) 高壓晶圓處理系統以及相關方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant