KR20180071409A - 증착된 표면 피쳐들을 가진 기판 지지 어셈블리 - Google Patents

증착된 표면 피쳐들을 가진 기판 지지 어셈블리 Download PDF

Info

Publication number
KR20180071409A
KR20180071409A KR1020187017129A KR20187017129A KR20180071409A KR 20180071409 A KR20180071409 A KR 20180071409A KR 1020187017129 A KR1020187017129 A KR 1020187017129A KR 20187017129 A KR20187017129 A KR 20187017129A KR 20180071409 A KR20180071409 A KR 20180071409A
Authority
KR
South Korea
Prior art keywords
ceramic
mesas
ceramic coating
ceramic body
elliptical
Prior art date
Application number
KR1020187017129A
Other languages
English (en)
Other versions
KR102554268B1 (ko
Inventor
웬델 글렌 주니어 보이드
비제이 디. 파케
텡-팡 쿠오
젠웬 딩
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020237022907A priority Critical patent/KR20230107413A/ko
Publication of KR20180071409A publication Critical patent/KR20180071409A/ko
Application granted granted Critical
Publication of KR102554268B1 publication Critical patent/KR102554268B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect

Abstract

정전 척을 제조하는 방법은, 연마된 표면을 생성하기 위해 정전 척의 세라믹 바디의 표면을 연마하는 단계, 및 코팅된 세라믹 바디를 생성하기 위해 세라믹 바디의 연마된 표면 상에 세라믹 코팅을 증착하는 단계를 포함한다. 방법은, 코팅된 세라믹 코팅 위에 마스크를 배치하는 단계 ― 마스크는, 복수의 타원형 홀들을 포함함 ―, 및 코팅된 세라믹 바디 상에 복수의 타원형 메사들을 형성하기 위해, 마스크의 복수의 타원형 홀들을 통해 세라믹 재료를 증착하는 단계를 더 포함하며, 여기서, 복수의 타원형 메사들은 라운드형 에지들을 갖는다. 그런 다음, 코팅된 세라믹 바디로부터 마스크가 제거되고, 복수의 타원형 메사들이 연마된다.

Description

증착된 표면 피쳐들을 가진 기판 지지 어셈블리
[0001] 본 발명의 실시예들은 일반적으로 기판 지지 어셈블리(assembly)에 관한 것으로, 이를테면, 증착된 표면 피쳐(feature)들을 가진 플라즈마 내성(plasma resistant) 보호 층을 갖는 정전 척(electrostatic chuck)에 관한 것이다.
[0002] 반도체 산업에서, 디바이스들은, 점점 감소하는 사이즈의 구조들을 생성하는 다수의 제조 프로세스들에 의해 제조된다. 플라즈마 에칭 및 플라즈마 세정 프로세스들과 같은 몇몇 제조 프로세스들은, 기판을 에칭하거나 또는 세정하기 위해, 플라즈마의 고속 스트림에 기판 지지부, 이를테면 정전 척(ESC)을 노출시킨다(예컨대, 웨이퍼 프로세싱 동안에는 ESC의 에지(edge)를 그리고 챔버 세정 동안에는 전체 ESC를 노출시킴). 플라즈마는 고도로 부식성(corrosive)일 수 있고, 프로세싱 챔버들 및 플라즈마에 노출되는 다른 표면들을 부식시킬 수 있다.
[0003] ESC는 통상적으로, ESC의 표면 상에 포지티브 마스크(positive mask)를 배치한 다음 포지티브 마스크를 통해 ESC의 노출된 부분들을 비드 블래스팅(bead blasting)함으로써 생성되는 표면 피쳐들을 갖는다. 포지티브 마스크는, 웨이퍼 상에 남길 패턴의 정확한 복사본을 포함하는 마스크이다. 비드 블래스팅 프로세스는, ESC 표면에 날카로운 에지들 및 균열을 야기한다. 부가적으로, 형성된 표면 피쳐들 사이의 공간들(밸리(valley)들로 지칭됨)은, 입자들을 트랩핑(trap)하는 트랩들 및 열 팽창 동안 깨질 수 있는 피크(peak)들을 제공하는 높은 거칠기(roughness)를 갖는다. 트랩핑된 입자들 및 깨진 피크들은, 프로세싱 동안 홀딩(hold)되는 웨이퍼들의 후면측들 상에 입자 오염을 야기할 수 있다.
[0004] 일 실시예에서, 정전 척은, 열 전도성 베이스(base), 및 열 전도성 베이스에 본딩(bond)되는 세라믹 바디(body)를 포함하며, 세라믹 바디는 매립형(embedded) 전극을 갖는다. 보호 세라믹 코팅이 세라믹 바디의 표면을 커버(cover)한다. 다수의 증착된 타원형 메사(mesa)들이 세라믹 바디의 표면 위에 분포된다. 타원형 메사들은 각각 라운드형(rounded) 에지들을 갖는다.
[0005] 일 실시예에서, 정전 척을 제조하는 방법은, 연마(polish)된 표면을 생성하기 위해 정전 척의 세라믹 바디의 표면을 연마하는 단계를 포함한다. 방법은, 코팅된 세라믹 바디를 생성하기 위해 세라믹 바디의 연마된 표면 상에 보호 세라믹 코팅을 증착하는 단계를 더 포함한다. 방법은, 코팅된 세라믹 바디 위에 마스크를 배치하는 단계를 더 포함하며, 마스크는 복수의 타원형 홀(hole)들(예컨대, 원형 홀들)을 포함한다. 방법은, 코팅된 세라믹 바디 상에 복수의 타원형 메사들을 형성하기 위해, 마스크의 복수의 타원형 홀들을 통해 세라믹 재료를 증착하는 단계를 더 포함하며, 여기서, 복수의 타원형 메사들(예컨대, 원형 메사들)은 라운드형 에지들을 갖는다. 그런 다음, 마스크가 제거되고, 복수의 타원형 메사들이 연마된다.
[0006] 일 실시예에서, 정전 척의 표면 상으로의 타원형 메사들의 증착을 위한 원형 마스크는 제1 직경을 갖는 바디를 포함하며, 제1 직경은, 상부에 마스크가 배치될 정전 척의 제2 직경보다 작다. 원형 마스크는 바디 내의 다수의 타원형 관통 홀(through hole)들을 더 포함하며, 타원형 관통 홀들은, 대략적으로 1:2 내지 대략적으로 2:1의 종횡비를 갖는다. 타원형 홀들 중 적어도 하나의 타원형 홀은, 플레어링된(flared) 최상부 단부 및 플레어링된 최하부 단부를 가지며, 여기서, 플레어링된 최상부 단부는, 정전 척 상에 타원형 메사를 형성하기 위해 정전 척 상으로 타원형 홀을 통해 입자들을 퍼넬링(funnel)하기 위한 것이고, 플레어링된 최하부 단부는, 타원형 메사가 마스크와 접촉하는 것을 방지한다.
[0007] 동일한 참조번호들이 유사한 엘리먼트들을 표시하는 첨부된 도면들의 도해들에서, 본 발명은 제한으로서가 아니라 예로서 예시된다. 본 개시내용에서의 "실시예" 또는 "일 실시예"에 대한 상이한 참조들은, 반드시 동일한 실시예에 대한 것이 아니며, 그러한 참조들은 적어도 하나를 의미한다는 것이 유의되어야 한다.
[0008] 도 1은, 프로세싱 챔버의 일 실시예의 측단면도를 도시한다.
[0009] 도 2a는, 정전 척의 표면 상의 타원형 메사들의 예시적인 패턴의 상부 평면도를 도시한다.
[0010] 도 2b는, 도 2a의 정전 척의 수직 횡단면도를 도시한다.
[0011] 도 3a 내지 도 3d는, 본 발명의 실시예들에 따른 예시적인 메사들의 측면 프로파일(profile)들을 예시한다.
[0012] 도 4는, 정전 척의 일 실시예의 측단면도를 도시한다.
[0013] 도 5는, 정전 척을 제작하기 위한 프로세스의 일 실시예를 예시한다.
[0014] 도 6a 내지 도 6c는, 정전 척의 표면 상에 라운드형 에지들을 갖는 원형 메사들을 형성하기 위한 마스크를 통한 세라믹 재료의 증착을 예시한다.
[0015] 도 7은, 일 실시예에 따른 정전 척의 세라믹 바디 상에 메사들 및 링(ring)을 형성하는 데 사용되는 마스크의 평면도를 예시한다.
[0016] 본 발명의 실시예들은, 라운드형 에지들을 가진 증착된 메사들을 갖는 기판 지지 어셈블리(예컨대, 정전 척)를 제공한다. 실시예들은 또한, 기판 지지 어셈블리의 세라믹 바디 위에 형성된 보호 세라믹 코팅을 갖는 기판 지지 어셈블리를 제공한다. 보호 세라믹 코팅은, 세라믹 바디의 보호를 위한 플라즈마 내부식성(corrosion resistance)을 제공할 수 있다. 메사들은, 보호 세라믹 코팅 위에 증착될 수 있고 그리고 또한 플라즈마 부식에 내성이 있을 수 있다.
[0017] 일 실시예에서, 정전 척은, 열 전도성 베이스(예컨대, 금속 또는 금속 합금 베이스), 및 열 전도성 베이스에 본딩되는 세라믹 바디(예컨대, 정전 퍽(puck))를 포함한다. 보호 층으로서 작용하는 보호 세라믹 코팅은 세라믹 바디의 표면을 커버하고, 다수의 타원형(예컨대, 원형) 메사들이 보호 세라믹 코팅 위에 배치된다. 일 실시예에서, 정전 척은, 먼저 세라믹 바디 상에 보호 세라믹 코팅을 증착한 다음 마스크의 홀들을 통해 세라믹 바디 상에 타원형 메사들을 증착함으로써 제조된다. 본원에서 사용되는 바와 같이, 메사라는 용어는, 급경사 측부(steep side)들 및 편평하거나 완만하게 경사진 최상부 표면을 갖는, 기판 상의 돌출부(protrusion)를 의미한다.
[0018] 특히, 본원의 실시예들에서 설명되는 정전 척들 및 다른 기판 지지부들은, 네거티브(negative) 마스크를 통해 메사들을 증착함으로써 생성되는 메사들을 갖는다. 네거티브 마스크는, 정전 척 상에 형성될 패턴의 정반대의 패턴을 포함하는 마스크이다. 다시 말해서, 네거티브 마스크는, 정전 척 상에 피쳐들이 형성될 보이드(void)들을 갖는다. 대조적으로, 메사들은 종래에, 포지티브 마스크(정전 척 상에 전사될 패턴의 정확한 복사본을 포함하는 마스크)를 통해 정전 척의 표면을 비드 블래스팅함으로써 정전 척들의 표면들 상에 형성된다. 비드 블래스팅 프로세스를 통해 형성된 메사들은, 칩핑(chip)될 수 있고 그리고 정전 척에 의해 지지되는 웨이퍼들의 후면측 상에 입자 오염을 야기할 수 있는 날카로운 에지들을 갖는다. 그러나, 본원에 설명된 실시예들에 따라 증착되는 메사들은, 칩핑에 훨씬 덜 취약한 라운드형 에지들(예컨대, 탑 햇(top-hat) 프로파일)을 갖는다.
[0019] 부가적으로, 정전 척들에 메사들을 생성하기 위해 종래에 사용된 비드 블래스팅 프로세스는, 생성된 메사들 사이의 영역(밸리들)들이 높은 표면 거칠기를 갖는 것을 야기한다. 높은 표면 거칠기는 입자들에 대한 트랩으로서 작용할 수 있으며, 이러한 입자들은 이후, 프로세싱 동안, 지지된 웨이퍼의 후면측 상으로 릴리스(release)될 수 있다. 더욱이, 밸리들의 거친 표면에 있는 국부적 피크들은, 열 사이클링(thermal cycling) 동안, 균열되고 깨어져 분리(break off)될 수 있다. 이는, 입자 오염물들의 부가적인 소스로서 작용할 수 있다. 그러나, 본원에 설명된 실시예들에서는, 정전 퍽의 표면이 메사들의 증착 이전에 연마된다. 따라서, 증착된 메사들 사이의 밸리들은 매우 낮은 표면 거칠기(예컨대, 약 4 내지 10 마이크로-인치)를 가지며, 이는, 후면측 입자 오염을 추가로 감소시킨다.
[0020] 본원의 실시예들에 설명된 정전 척들은, 정전 척들에 대한 보호 층으로서 작용하는 블랭킷(blanket) 보호 세라믹 코팅을 더 포함한다. 보호 세라믹 코팅은 정전 척의 표면을 커버하며, 정전 척의 표면이 연마된 후에 정전 척 상에 증착된다. 보호 세라믹 코팅은 매우 컨포멀(conformal)하며, 연마된 정전 척의 표면 거칠기와 대략적으로 동일한 표면 거칠기를 갖는다. 보호 세라믹 코팅, 및 보호 세라믹 코팅 상에 증착되는 메사들은, 각각, 이트륨 알루미늄 가넷(YAG)과 같은 플라즈마 내성 재료일 수 있다. 따라서, 정전 척(정전 척 상에 형성된 메사들을 포함함)은, 염소계, 불소계, 및 수소계 플라즈마들에 내성이 있을 수 있다.
[0021] 도 1은, 내부에 기판 지지 어셈블리(148)가 배치되어 있는 반도체 프로세싱 챔버(100)의 일 실시예의 단면도이다. 기판 지지 어셈블리(148)는, 본원에 설명된 실시예들에 따른, 라운드형 에지들을 가진 증착된 메사들을 갖는 정전 퍽(166)을 가진 정전 척(150)을 포함한다.
[0022] 프로세싱 챔버(100)는, 내부 볼륨(volume)(106)을 인클로징(enclose)하는 리드(lid)(104) 및 챔버 바디(102)를 포함한다. 챔버 바디(102)는, 알루미늄, 스테인리스 강, 또는 다른 적절한 재료로 제조될 수 있다. 챔버 바디(102)는 일반적으로, 측벽들(108) 및 최하부(110)를 포함한다. 챔버 바디(102)를 보호하기 위해, 측벽들(108)에 인접하게 외측 라이너(liner)(116)가 배치될 수 있다. 외측 라이너(116)는, 플라즈마 또는 할로겐-함유 가스 내성(resistant) 재료로 제조 및/또는 코팅될 수 있다. 일 실시예에서, 외측 라이너(116)는, 알루미늄 산화물로 제조된다. 다른 실시예에서, 외측 라이너(116)는, 이트리어(yttria), 이트륨 합금, 또는 이들의 산화물로 제조 또는 코팅된다.
[0023] 배기 포트(126)가 챔버 바디(102)에 정의될 수 있고, 배기 포트(126)는, 내부 볼륨(106)을 펌프 시스템(128)에 커플링시킬 수 있다. 펌프 시스템(128)은, 프로세싱 챔버(100)의 내부 볼륨(106)의 압력을 진공배기(evacuate) 및 조정하는데 활용되는 하나 이상의 펌프들 및 스로틀 밸브(throttle valve)들을 포함할 수 있다.
[0024] 리드(104)는, 챔버 바디(102)의 측벽(108) 상에 지지될 수 있다. 리드(104)는, 프로세싱 챔버(100)의 내부 볼륨(106)에 대한 액세스를 허용하도록 개방될 수 있고, 폐쇄된 동안에는 프로세싱 챔버(100)에 대한 시일(seal)을 제공할 수 있다. 리드(104)의 일부인 가스 분배 어셈블리(130)를 통해 내부 볼륨(106)에 프로세스 및/또는 세정 가스들을 제공하기 위해, 가스 패널(158)이 프로세싱 챔버(100)에 커플링될 수 있다. 프로세싱 챔버 내로 유동될 수 있는 프로세싱 가스들의 예들은, 다른 것들 중에서도, C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, Cl2 및 SiF4와 같은 할로겐-함유 가스 및 다른 가스들, 이를테면 O2 또는 N2O를 포함한다. 특히, 프로세싱 가스들은, 염소계 플라즈마들, 불소계 플라즈마들, 및/또는 수소계 플라즈마들을 생성하는 데 사용될 수 있는데, 이들 플라즈마들은 고도로 부식성일 수 있다. 가스 분배 어셈블리(130)는, 기판 지지 어셈블리(148)에 의해 지지되는 기판(144)(예컨대, 웨이퍼)의 표면으로 가스 유동을 지향시키기 위해, 가스 분배 어셈블리(130)의 다운스트림(downstream) 표면 상에 다수의 애퍼쳐(aperture)들(132)을 가질 수 있다. 부가적으로 또는 대안적으로, 가스 분배 어셈블리(130)는, 가스들이 세라믹 가스 노즐을 통해 공급되는 중심 홀을 가질 수 있다.
[0025] 기판 지지 어셈블리(148)는, 가스 분배 어셈블리(130)의 아래에서 프로세싱 챔버(100)의 내부 볼륨(106) 내에 배치된다. 기판 지지 어셈블리(148)는, 프로세싱 동안 기판(144)을 홀딩한다. 기판 지지 어셈블리(148)의 주변부(periphery) 상에 내측 라이너(118)가 코팅될 수 있다. 내측 라이너(118)는, 외측 라이너(116)를 참조하여 논의된 것들과 같은 할로겐-함유 가스 저항 재료일 수 있다. 일 실시예에서, 내측 라이너(118)는, 외측 라이너(116)의 재료들과 동일한 재료들로 제조될 수 있다.
[0026] 일 실시예에서, 기판 지지 어셈블리(148)는, 페디스털(pedestal)(152)을 지지하는 장착 플레이트(162), 및 정전 척(150)을 포함한다. 장착 플레이트(162)는, 챔버 바디(102)의 최하부(110)에 커플링될 수 있고, 열 전도성 베이스(164) 및 정전 퍽(166)에 유틸리티(utility)들(예컨대, 유체들, 전력 라인들, 센서 리드(sensor lead)들 등)을 라우팅(route)하기 위한 통로들을 포함한다. 일 실시예에서, 정전 척(150)은, 실리콘 본드(138)에 의해 정전 퍽(166)에 본딩되는 열 전도성 베이스(164)를 더 포함한다.
[0027] 정전 퍽(166)은, 척킹(chucking) 전력 소스(182)에 의해 제어되는 하나 이상의 클램핑(clamping) 전극들(180)(척킹 전극들로 또한 지칭됨)을 포함하는 세라믹 바디일 수 있다. 일 실시예에서, 정전 퍽(166)은, 알루미늄 질화물(AlN) 또는 알루미늄 산화물(Al2O3)로 구성된다. 정전 퍽(166)은 대안적으로, 티타늄 산화물(TiO), 티타늄 질화물(TiN), 실리콘 탄화물(SiC) 등으로 구성될 수 있다. 전극(들)(180)(또는 정전 퍽(166)에 배치된 다른 전극(들))은 추가로, 프로세싱 챔버(100) 내의 프로세스 및/또는 다른 가스들로부터 형성되는 플라즈마를 유지하기 위한 매칭 회로(188)를 통해 하나 이상의 라디오 주파수(RF) 전력 소스들(184, 186)에 커플링될 수 있다. 하나 이상의 RF 전력 소스들(184, 186)은 일반적으로, 약 50 kHz 내지 약 3 GHz의 주파수 및 최대 약 10,000 와트의 전력을 갖는 RF 신호를 생성하는 것이 가능하다.
[0028] 정전 퍽(166)의 상부 표면은, 정전 퍽(166) 상에 증착되는 보호 세라믹 코팅(136)에 의해 커버된다. 일 실시예에서, 보호 세라믹 코팅은, Y3Al5O12(이트륨 알루미늄 가넷, YAG) 코팅이다. 대안적으로, 보호 세라믹 코팅은, Al2O3, AlN, Y2O3(이트리어), 또는 AlON(알루미늄 산질화물)일 수 있다. 정전 퍽(166)의 상부 표면은, 다수의 메사들 및/또는 상부 표면 상에 증착되는 다른 표면 피쳐들을 더 포함한다. 메사들 및/또는 다른 표면 피쳐들은, 정전 퍽(166)의 표면 상에 보호 세라믹 코팅(146)이 증착되기 전 또는 그 후에 정전 퍽(166)의 표면 상에 증착될 수 있다.
[0029] 정전 퍽(166)은, 하나 이상의 가스 통로들(예컨대, 정전 퍽(166)에 드릴링(drill)된 홀들)을 더 포함한다. 동작 시, 후면측 가스(예컨대, He)가 제어된 압력으로 가스 통로들 내에 제공되어, 정전 퍽(166)과 기판(144) 간의 열 전달을 향상시킬 수 있다.
[0030] 열 전도성 베이스(164)는, 예컨대, 알루미늄 또는 알루미늄 합금으로 구성되는 금속 베이스일 수 있다. 대안적으로, 열 전도성 베이스(164)는, 세라믹 바디의 열 팽창 계수에 매칭시키기 위해, SiC로 침윤(infiltrate)된 알루미늄-실리콘 합금과 같은 세라믹의 합성물에 의해 제조될 수 있다. 열 전도성 베이스(164)는, 양호한 강도 및 내구성뿐만 아니라 열 전달 특성들을 제공해야 한다. 일 실시예에서, 열 전도성 베이스(164)는, 200 W/m K(Watts per meter Kelvin) 초과의 열 전도도를 갖는다.
[0031] 열 전도성 베이스(164) 및/또는 정전 퍽(166)은, 기판 지지 어셈블리(148)의 측방향 온도 프로파일을 제어하기 위해, 하나 이상의 매립형 가열 엘리먼트들(176), 매립형 열 격리기(thermal isolator)들(174), 및/또는 도관(conduit)들(168, 170)을 포함할 수 있다. 도관들(168, 170)은, 도관들(168, 170)을 통해 온도 조정 유체를 순환시키는 유체 소스(172)에 유체적으로(fluidly) 커플링될 수 있다. 매립형 열 격리기들(174)은, 일 실시예에서, 도관들(168, 170) 사이에 배치될 수 있다. 하나 이상의 매립형 가열 엘리먼트들(176)은, 가열기 전력 소스(178)에 의해 조정될 수 있다. 도관들(168, 170) 및 하나 이상의 매립형 가열 엘리먼트들(176)은, 열 전도성 베이스(164)의 온도를 제어하여, 그에 의해, 프로세싱되고 있는 기판(144) 및 정전 퍽(166)을 가열 및/또는 냉각시키는 데 활용될 수 있다. 정전 퍽(166) 및 열 전도성 베이스(164)의 온도는, 제어기(195)를 사용하여 모니터링될 수 있는 복수의 온도 센서들(190, 192)을 사용하여 모니터링될 수 있다.
[0032] 도 2a는, 정전 퍽(200)의 표면(212) 상의 타원형 메사들(202)의 예시적인 패턴의 상부 평면도를 도시한다. 예시 목적들을 위해 단지 16개의 메사들이 도시된다. 그러나, 정전 퍽(200)의 표면은, 그 표면 상에 형성된 수백 또는 수천 개의 메사들을 가질 수 있다. 도 2b는, 도 2a의 중심선(3-3)을 따라 취해진 도 2a의 정전 퍽의 수직 횡단면도를 도시한다. 정전 퍽(200)은 하나 이상의 매립형 전극들(250)을 포함한다. 정전 퍽(200)은, 정전 척(이를테면, 도 1의 정전 척(150))의 최상부 컴포넌트일 수 있다. 정전 퍽(200)은, 상부에 포지셔닝된 지지되는 기판(244)의 형상 및 사이즈에 실질적으로 매칭할 수 있는 환형 주변부를 갖는 디스크형 형상을 갖는다. 일 실시예에서, 정전 퍽(200)은 도 1의 정전 퍽(166)에 대응한다.
[0033] 도 2a에 도시된 예에서, 타원형 메사들(202)은 정전 퍽(200)의 표면(212) 상에 동심원들(204 및 206)을 따라 포지셔닝되는 것으로 도시된다. 그러나, 정전 퍽(200)의 표면(212) 위에 분포되는 메사들(202)의 임의의 패턴이 가능하다. 일 실시예에서, 타원형 메사들(202)은 원형이다. 대안적으로, 타원형 메사들(202)은, 그 형상이 계란형(oval)일 수 있거나 다른 타원형 형상들을 가질 수 있다.
[0034] 메사들(202)은, 2 내지 200 미크론(㎛)의 두께 및 0.5 내지 5 mm의 평면도에서의 치수들(예컨대, 직경들)을 갖는 개별적인 패드들로서 형성된다. 일 실시예에서, 메사들(202)은 2 내지 20 미크론의 두께 및 약 0.5 내지 3 mm의 직경들을 갖는다. 일 실시예에서, 메사들(202)은 약 3 내지 16 미크론의 두께들 및 약 0.5 내지 2 mm의 직경들을 갖는다. 일 실시예에서, 메사들은, 약 10 미크론의 두께 및 약 1 mm의 직경을 갖는다. 일 실시예에서, 메사들은, 약 10 내지 12 미크론의 두께 및 약 2 mm의 직경을 갖는다. 일부 실시예들에서, 메사들은 균일한 형상 및 사이즈를 갖는다. 대안적으로, 다양한 메사들이 상이한 형상들 및/또는 상이한 사이즈들을 가질 수 있다. 타원형 메사들(202)의 측벽들은 수직일 수 있거나 경사질 수 있다. 특히, 메사들(202) 각각은 라운드형 에지들을 가지며, 라운드형 에지들에서, 메사들(202)은 기판(244)과 접촉할 것이다. 이는, 메사들(202)의 칩핑을 최소화할 수 있고 그리고 기판(244)의 후면측 상에서의 입자 오염을 감소시킬 수 있다. 부가적으로, 라운드형 에지들은, 척킹에 기인한 기판(244)의 후면측의 스크래칭(scratching)을 감소시키거나 제거할 수 있다. 대안적으로, 메사들(202)은 챔퍼형(chamfered) 에지들을 가질 수 있다.
[0035] 메사들(220)의 몇몇 예시적인 측면 프로파일들이 도 3a 내지 도 3d에 예시된다. 도시된 바와 같이, 도 3a 내지 도 3d의 예시적인 측면 프로파일들 각각에서, 메사들의 에지들은 라운드형이다. 도 3a 내지 도 3b의 측면 프로파일들은 탑 햇 프로파일의 변형들이다.
[0036] 도 2a 내지 도 2b를 다시 참조하면, 메사들(202)은, 조밀하고 컨포멀한 세라믹 층을 형성하는 증착 프로세스(이를테면, 이온 보조 증착(IAD))에 의해 형성되는 증착된 메사들이다. 메사들(202)의 증착은 도 5를 참조하여 논의된다. 예시된 실시예에서, 메사들(202)은, 표면(212) 상에 보호 세라믹 코팅을 먼저 증착함이 없이 정전 퍽(200)의 표면(212) 상에 직접 증착되었다. 그러나, 보호 세라믹 코팅은 또한, 타원형 메사들(202)의 증착 전에 또는 그 후에 증착될 수 있다. 메사들(202)의 평균 표면 거칠기는 약 2 내지 12 마이크로-인치일 수 있다. 일 실시예에서, 메사들(202)의 평균 표면 거칠기는 약 4 내지 8 마이크로-인치이다.
[0037] 일 실시예에서, 메사들(202)은 YAG로 형성된다. 일 실시예에서, 메사들은, 이트륨, 알루미늄 및 산소를 포함하는 비정질 세라믹(예컨대, 비정질 형태의 YAG)으로 구성된다. 비정질 세라믹은, 적어도 8 중량 %(% by weight) 이트륨을 포함할 수 있다. 일 실시예에서, 비정질 세라믹은, 약 8 내지 20 중량 % 이트륨, 20 내지 32 중량 % 알루미늄, 및 60 내지 70 중량 % 산소를 포함한다. 일 실시예에서, 비정질 세라믹은, 약 9 내지 10 중량 % 이트륨, 약 25 내지 26 중량 % 알루미늄, 및 약 65 내지 66 중량 % 산소를 포함한다. 대안적인 실시예들에서, 메사들(202)은 Al2O2, AlN, Y2O3, 또는 AlON일 수 있다.
[0038] 정전 퍽(200)의 표면(212)은, 정전 퍽(200)의 바깥 둘레(outer perimeter)(220)에 있는 링(218)의 형태의 상승된(raised) 립을 더 포함한다. 링(218)은, 타원형 메사들(202)의 두께 및 재료 조성과 동일하거나 대략적으로 동일한 두께 및 재료 조성을 가질 수 있다. 링(218)은, 메사(202)가 형성되는 것과 동시에, 증착에 의해 형성될 수 있다. 링(218)은 또한, 링(218)이 기판(244)과 접촉하는 라운드형 에지들을 가질 수 있다. 대안적으로, 링(218)은, 챔퍼형 에지들을 가질 수 있거나, 라운드형이 아니고 챔퍼형도 아닌 에지들을 가질 수 있다. 일 실시예에서, 링(218)의 내측 에지는 라운드형이고, 링(218)의 외측 에지는 라운드형이 아니다.
[0039] 타원형 메사들(202) 및 링(218)의 최상부들은 지지되는 기판(244)의 후면측과 접촉한다. 타원형 메사들(202)은, 정전 퍽(200)의 표면(212)과 기판(244)의 후면측의 접촉 영역을 최소화하고, 척킹 및 디-척킹(de-chucking) 동작들을 용이하게 한다. 기판(244)과 정전 척(200) 간의 열 전달을 가능하게 하기 위해, 기판과 정전 척(200) 사이의 영역 내로 가스(이를테면, He)가 또한 펌핑(pump)될 수 있다. 링(218)은, 가스가 정전 척(200)과 기판(244) 사이의 공간을 벗어나는 것을 방지하는 시일링(sealing) 링으로서 작용할 수 있다.
[0040] 도 4는, 일 실시예에 따른 정전 척(400)의 측면 횡단면도를 예시한다. 정전 척(400)은, 본드(452)(이를테면, 실리콘 본드)에 의해 정전 퍽(402)에 커플링되는 열 전도성 베이스(464)(예컨대, 금속 베이스)를 포함한다. 본드(452)는, 예컨대, 폴리디메틸 실록산(PDMS) 본드일 수 있다. 정전 퍽(402)은, 하나 이상의 매립형 전극들을 갖는 실질적으로 디스크 형상의 유전체 세라믹 바디일 수 있다. 정전 퍽(402)은, 벌크 소결 세라믹(bulk sintered ceramic), 이를테면, 알루미늄 산화물(Al2O3), 알루미늄 질화물(AlN), 티타늄 산화물(TiO), 티타늄 질화물(TiN), 실리콘 탄화물(SiC) 등일 수 있다. 정전 퍽(402)은, 하나 이상의 매립형 전극들(436) 및/또는 저항성 가열 엘리먼트들(438)(예컨대, 내측 저항성 가열 엘리먼트 및 외측 저항성 가열 엘리먼트)을 포함할 수 있다. 석영 링(446) 또는 다른 보호 링이 정전 척(400)의 부분들을 둘러싸고 커버할 수 있다. 기판(444)은 정전 척(400) 위를 향해 아래로 낮춰질 수 있으며, 하나 이상의 전극들(436)에 신호를 제공함으로써 정전력(electrostatic force)들을 통해 제 위치에 홀딩될 수 있다.
[0041] 열 전도성 베이스(464)는, 정전 퍽(402)에 물리적 지지를 제공하도록 구성된다. 일부 실시예들에서, 열 전도성 베이스(464)는 또한, 온도 제어를 제공하도록 구성된다. 열 전도성 베이스(464)는, 열 전도성 재료, 예컨대 알루미늄 또는 스테인리스 강과 같은 금속으로 만들어질 수 있다. 열 전도성 베이스(464)는, 하나 이상의 열 교환기들, 예컨대, 매립형 가열 엘리먼트, 채널들을 통해 냉각 유체 및 가열 유체를 순환시킴으로써 열 교환을 제공하는 유체 채널들, 또는 이들의 결합을 포함할 수 있다. 도 1에서, 열 전도성 베이스(464)는, 도관들(470)(예컨대, 내측 도관 및 외측 도관)로 또한 지칭되는 다수의 유체 채널들을 포함하며, 이를 통해 유체들이 유동됨으로써, 열 전도성 베이스(464)와 정전 척(400)의 다른 컴포넌트들 및 기판(444) 간의 열 에너지 교환을 통해, 열 전도성 베이스(464), 정전 척(400), 및 기판(444)을 가열 또는 냉각시킬 수 있다. 열 전도성 베이스(464)의 온도는 온도 센서(490)를 사용하여 모니터링될 수 있다.
[0042] 일 실시예에서, 정전 척(150)은 부가적으로, 정전 퍽(402)의 표면에 있는 결함들(이를테면, 미세 균열들, 세공(pore)들, 핀홀(pinhole)들 등)을 충전(fill)하고 그리고/또는 커버하는 세라믹 코팅(496)을 포함한다. 세라믹 코팅(496)은 커버 세라믹 코팅 또는 블랭킷 세라믹 코팅으로 지칭될 수 있으며, 정전 퍽(402)의 전체 표면을 커버할 수 있다. 대안적으로, 정전 척(150)은, 세라믹 코팅(496)을 포함하지 않을 수 있다. 일 실시예에서, 세라믹 코팅(496)은, 정전 퍽(402)과 동일한 세라믹으로 구성된다. 따라서, 정전 퍽(402)이 AlN이면, 커버 세라믹 코팅(496) 또한 AlN이다. 대안적으로, 정전 퍽(402)이 Al2O3이면, 세라믹 코팅(496) 또한 Al2O3이다. 대안적으로, 세라믹 코팅은, (아래에 논의되는) 제2 세라믹 코팅(494)과 동일한 재료로 구성될 수 있다. 일 실시예에서, 세라믹 코팅(496)은, 1 미크론 미만 내지 수십 미크론까지의 두께를 갖는다.
[0043] 세라믹 코팅(496)은 처음에, 최대 약 5 미크론 또는 그 초과의 깊이를 가질 수 있는 세공들을 충전하도록 증착될 때, 적어도 5 미크론의 두께를 가질 수 있다. 그러나, 세라믹 코팅(496)은 1 미크론 또는 그 미만의 두께에 이르도록 연마될 수 있다. 일부 예시들에서, 세라믹 코팅(496)은 실질적으로, 연마되어 제거될 수 있어서, 세라믹 코팅(496)이 충전한 정전 퍽(402)의 세공들 내에만 남게 된다. 세라믹 코팅(496)은, 2 내지 12 마이크로-인치의 평균 표면 거칠기(Ra)로 연마될 수 있다. 일 실시예에서, 세라믹 코팅(496)은, 약 4 내지 8 마이크로-인치의 표면 거칠기로 연마된다. 커버 세라믹 코팅이 사용되지 않는다면, 정전 퍽(402)의 표면은 2 내지 12 마이크로-인치의 표면 거칠기로 연마될 수 있다.
[0044] 일 실시예에서, 세라믹 코팅(496)(또는 정전 퍽(402))은, 대략적으로 4 내지 8 마이크로-인치의 평균 표면 거칠기로 연마된다. 하부 표면 거칠기는 입자 오염 및 시일 결정입계(grain boundary)들을 최소화하도록 이루어지는 것이 바람직하다. 일반적으로, 표면 거칠기가 낮을수록 입자 오염이 덜 발생한다. 더욱이, 세라믹 코팅(494) 및/또는 정전 퍽(402)에서 결정입계들을 시일링함으로써, 세라믹 코팅(494) 및/또는 정전 퍽(402)은 부식에 더 내성이 있게 된다. 그러나, 표면 거칠기가 낮을수록, 세라믹 코팅(494) 및/또는 메사들(492)의 후속 증착을 위해 존재하는 핵형성 부위(nucleation site)들의 수가 많아진다. 더욱이, 표면 거칠기를 낮추는 것은, 정전 퍽(402) 위의 후속 코팅들의 접착 강도를 감소시킨다. 따라서, 세라믹 코팅(496) 및/또는 정전 퍽(402)의 표면이 약 4 마이크로-인치 미만으로 연마될 때 성능이 예상치 못하게 열화된다는 것을 알게 되었다.
[0045] 정전 척(400)은 부가적으로, 실시예들에서 보호 세라믹 코팅인 세라믹 코팅(494)을 포함한다. 세라믹 코팅(494)은 세라믹 코팅(496) 위에 배치될 수 있거나, 어떠한 커버 세라믹 코팅도 증착되지 않는 경우에는 정전 퍽(402) 위에 배치될 수 있다. 세라믹 코팅(494)은, 정전 퍽(402)을 부식성 화학물들(이를테면, 수소계 플라즈마들, 염소계 플라즈마들, 및 불소계 플라즈마들)로부터 보호한다. 세라믹 코팅(494)은, 수 미크론 내지 수백 미크론의 두께를 가질 수 있다.
[0046] 일 실시예에서, 세라믹 코팅(494)은 약 5 내지 30 미크론의 두께를 갖는다. 세라믹 코팅(494)은 고도로 컨포멀한 코팅일 수 있고, 세라믹 코팅(496) 및/또는 정전 퍽(402)의 표면 거칠기에 실질적으로 매칭하는 표면 거칠기를 가질 수 있다. 세라믹 코팅(496)이 증착 및 연마되면, 세라믹 코팅(494)에는 실질적으로 세공들, 핀홀들, 미세 균열들 등이 없을 수 있다. 세라믹 코팅(494)은 Al2O3, AlN, Y2O3, Y3Al5O12(YAG), 및 AlON일 수 있다. 일 실시예에서, 세라믹 코팅(494)은, 적어도 8 중량 % 이트륨을 갖는 비정질 YAG이다. 일 실시예에서, 세라믹 코팅(494)은 약 9 기가 파스칼(GPa)의 비커스 경도(Vickers hardness)(5 Kgf)를 갖는다. 부가적으로, 세라믹 코팅(494)은 일 실시예에서, 약 4.55 g/cm3의 밀도, 약 280 MPa의 굽힘 강도(flexural strength), 약 2.0 MPa·m1/2의 파괴 인성(fracture toughness), 약 160 MPa의 영률(Youngs Modulus), 약 8.2 x 10-6/K (20~900℃)의 열 팽창 계수, 약 12.9 W/mK의 열 전도도, 실온에서의 1014 Ω·cm 초과의 체적 저항률(volume resistivity), 및 대략적으로 0.2 내지 0.3의 마찰 계수를 갖는다.
[0047] 위에 간략히 언급된 바와 같이, 세라믹 코팅(494) 및 메사들(492)의 구조는, 거칠기와 연관된 다수의 핵형성 부위들로 인하여, 정전 퍽(402) 및/또는 세라믹 코팅(496)의 거칠기에 적어도 부분적으로 의존한다. 정전 퍽(402) 및/또는 세라믹 코팅(496)의 표면 거칠기가 약 3 마이크로-인치 미만인 경우, 세라믹 코팅(494)이 증착되는 표면은 매우 많은 핵형성 부위들을 갖는다. 이러한 많은 수의 핵형성 부위들은 완전히 비정질인 구조를 초래한다. 그러나, 약 4 내지 8 마이크로-인치의 표면 거칠기를 갖는 표면 상에 세라믹 코팅(494)을 증착함으로써, 세라믹 코팅(494)은, 순수하게 비정질인 구조로서가 아닌 많은 수직 파이버(vertical fiber)들을 갖는 비정질 구조로서 증착되거나 또는 성장한다.
[0048] 일 실시예에서, 메사들(492) 및 링(493)은 세라믹 코팅(494) 위에 증착된다. 그러한 실시예에서, 메사들(492)은, 세라믹 코팅(494)의 재료와 동일한 재료로 구성될 수 있다. 대안적으로, 메사들(492) 및 링(493)은 세라믹 코팅(494) 전에 증착될 수 있다(그리고 그에 따라, 세라믹 코팅(494) 밑에 있을 수 있음). 그러한 실시예에서, 메사들(492) 및 링(493)은, 정전 퍽(402)의 재료와 동일한 재료 또는 세라믹 코팅(494)의 재료와 동일한 재료 중 어느 하나일 수 있다. 메사들은, 일부 실시예들에서, 높이가 약 3 내지 15(일 실시예에서는 약 10 내지 15) 미크론 그리고 직경이 약 0.5 내지 3 mm일 수 있다.
[0049] 정전 척(400)이 사용 후에 리퍼비싱(refurbish)되어야 한다면, 세라믹 코팅(494)의 두께는 실시예들에서 적어도 20 미크론일 수 있고, 일 실시예에서는 약 20 내지 30 미크론일 수 있다. 정전 척(400)을 리퍼비싱하기 위해, 메사들(492)이 그라인딩(grinding)에 의해 제거될 수 있고 그리고 세라믹 코팅(494)의 부분이 그라인딩에 의해 부가적으로 제거될 수 있다. 그라인딩 동안 제거될 재료의 양은, 정전 척(400)의 표면에서의 보우(bow)의 양에 의존할 수 있다. 예컨대, 메사들의 두께가 8 미크론이고 정전 척(400)에 5 미크론의 보우가 존재한다면, 메사들(492)을 완전히 제거하고 5 미크론의 보우를 제거하기 위해, 정전 척(400)의 표면으로부터 대략적으로 15 미크론이 제거될 수 있다. 실시예들에서, 적어도 20 미크론의 두께는, 아래에 놓인 정전 퍽(402)이 리퍼비시먼트(refurbishment) 동안 그라인딩되지 않음을 보장할 수 있다. 일단 메사들 및 보우가 그라인딩을 통해 제거되었으면, 세라믹 코팅(494)의 나머지 위에 새로운 세라믹 코팅이 적용될 수 있고, 새로운 메사들(492) 및/또는 다른 표면 피쳐들이 본원에 설명된 바와 같이 새로운 세라믹 코팅 위에 형성될 수 있다.
[0050] 도 5는, 정전 척을 제작하기 위한 프로세스(500)의 일 실시예를 예시한다. 프로세스(500)는, 본원의 실시예들에 설명된 정전 척들 중 임의의 정전 척(이를테면, 도 4의 정전 척(400))을 제조하기 위해 수행될 수 있다. 프로세스(500)의 블록(505)에서, 세라믹 바디에 있는 세공들, 핀홀들, 미세 균열 등을 충전하기 위해, 초기 세라믹 코팅(커버 세라믹 코팅으로 지칭됨)이 정전 척의 세라믹 바디 상에 증착된다. 커버 세라믹 코팅은, 세라믹 바디의 재료와 동일한 재료로 형성될 수 있다. 예컨대, 세라믹 바디 및 커버 세라믹 코팅 둘 모두는 AlN 또는 Al2O3일 수 있다. 대안적으로, 커버 세라믹 코팅은, 후속하여 증착되는 보호 세라믹 코팅의 재료와 동일한 재료로 형성될 수 있다. 예컨대, 커버 세라믹 코팅 및 보호 세라믹 코팅 둘 모두는 YAG, Y2O3, Al2O3, AlN 또는 AlON일 수 있다.
[0051] 일 실시예에서, 커버 세라믹 코팅은, 이온 보조 증착(IAD)을 통해 증착된다. 예시적인 IAD 방법들은, 이온 충격(bombardment)을 포함하는 증착 프로세스들, 이를테면, 본원에서 설명되는 바와 같은 코팅들을 형성하기 위한, 이온 충격의 존재 하에서의 스퍼터링 및 증발(예컨대, ARE(activated reactive evaporation))을 포함한다. 일 예시적인 IAD 프로세스는 전자 빔 IAD(EB-IAD)이다. 커버 세라믹 코팅을 증착하는 데 사용될 수 있는 다른 컨포멀하고 조밀한 증착 프로세스들은, 저압 플라즈마 분무(LPPS), 플라즈마 분무 물리 기상 증착(PS-PVD), 및 플라즈마 분무 화학 기상 증착(PS-CVD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 스퍼터링, 또는 이들의 결합들을 포함한다. 다른 컨포멀한 증착 기법들이 또한 사용될 수 있다.
[0052] 커버 세라믹 코팅을 증착하는 데 IAD가 사용되는 경우, 커버 세라믹 코팅은, 이온들과 같은 에너제틱(energetic) 입자들의 존재 하에서의 증착 재료들의 축적에 의해 세라믹 바디 상에 형성된다. 증착 재료들은, 원자들, 이온들, 라디칼(radical)들 등을 포함할 수 있다. 에너제틱 입자들은, 박막 보호 층이 형성될 때, 박막 보호 층에 충돌하여 그 층을 압축(compact)시킬 수 있다. 재료 소스는 증착 재료들의 플럭스(flux)를 제공하는 한편, 에너제틱 입자 소스는 에너제틱 입자들의 플럭스를 제공하며, 이들 둘 모두는 IAD 프로세스 전체에 걸쳐 세라믹 바디에 충돌한다. 에너제틱 입자 소스는 산소 또는 다른 이온 소스일 수 있다. 에너제틱 입자 소스는 또한, 입자 생성 소스들로부터(예컨대, 플라즈마, 반응성 가스들로부터, 또는 증착 재료들을 제공하는 재료 소스로부터) 유래하는 다른 타입들의 에너제틱 입자들, 이를테면 비활성 라디칼들, 뉴트론 원자(neutron atom)들, 및 나노-사이즈 입자들을 제공할 수 있다.
[0053] 증착 재료들을 제공하는 데 사용되는 재료 소스(예컨대, 타겟 바디)는, 커버 세라믹 코팅이 구성되어야 하는 것과 동일한 세라믹에 대응하는 벌크 소결 세라믹일 수 있다. 다른 타겟 재료들이 또한 사용될 수 있는데, 이를테면, 분말들, 소성 분말(calcined powder)들, (예컨대, 생소지 프레싱(green body pressing) 또는 고온 프레싱(hot pressing)에 의해 형성된) 사전형성(preform)된 재료, 또는 기계가공된 바디(예컨대, 융합된 재료)가 사용될 수 있다.
[0054] IAD는, 재료 및 에너제틱 이온 소스들을 제공하기 위해 하나 또는 그 초과의 플라즈마들 또는 빔들(예컨대, 전자 빔들)을 활용할 수 있다. 플라즈마 내성 코팅의 증착 동안, 반응성 종이 또한 제공될 수 있다. 일 실시예에서, 에너제틱 입자들은, 비-반응성 종(예컨대, Ar) 또는 반응성 종(예컨대, O) 중 적어도 하나를 포함한다. 추가적인 실시예들에서, 반응성 종, 이를테면 CO 및 할로겐류(Cl, F, Br 등)가 또한, 플라즈마 내성 코팅의 형성 동안 유입될 수 있다. IAD 프로세스들에 있어서, 에너제틱 입자들은 다른 증착 파라미터들과 독립적으로 에너제틱 이온(또는 다른 입자) 소스에 의해 제어될 수 있다. 에너제틱 이온 플럭스의 에너지(예컨대, 속도), 밀도, 및 입사각에 따라, 세라믹 코팅의 조성, 구조, 결정질 배향, 및 입자 사이즈가 조작될 수 있다. 조정될 수 있는 부가적인 파라미터들은 작동 거리(working distance) 및 입사각이다.
[0055] 개선된 코팅 특성들을 달성하기 위해 사후(post) 코팅 열 처리가 사용될 수 있다. 예컨대, 이는, 비정질 코팅을 더 높은 내침식성을 갖는 결정질 코팅으로 변환하는 데 사용될 수 있다. 다른 예는, 반응 구역 또는 전이(transition) 층의 형성에 의한, 코팅 대 기판 본딩 강도를 개선하는 것이다.
[0056] IAD 증착 커버 세라믹 코팅은, (예컨대, 플라즈마 분무 또는 스퍼터링에 의해 야기된 막 응력과 비교하여) 상대적으로 낮은 막 응력을 가질 수 있다. 상대적으로 낮은 막 응력은, 세라믹 바디가 매우 편평하게(12 인치 직경을 갖는 바디의 경우 전체 세라믹 바디에 걸쳐 약 50 미크론 미만의 곡률로) 유지되는 것을 야기할 수 있다. IAD 증착 커버 세라믹 코팅은 부가적으로, 1 % 미만의 다공성(porosity)을 그리고 일부 실시예들에서는 약 0.1 % 미만의 다공성을 가질 수 있다. 따라서, IAD 증착 커버 세라믹 코팅은 조밀한 구조이다. 부가적으로, IAD 증착 커버 세라믹 코팅은, 낮은 균열 밀도 및 세라믹 바디에 대한 높은 접착을 가질 수 있다.
[0057] 세라믹 바디는, 앞서 설명된 정전 퍽일 수 있다. 세라믹 바디는, 이를테면, 매립형 전극 및/또는 매립형 가열 엘리먼트들을 형성하기 위한 일부 프로세싱을 거칠 수 있다. 세라믹 바디의 하부 표면은, 실리콘 본드에 의해 열 전도성 베이스에 본딩될 수 있다. 대안적인 실시예에서, 블록(505)의 동작은 수행되지 않는다.
[0058] 블록(510)에서, 약 2 내지 12 마이크로-인치의 표면 거칠기를 갖는 연마된 표면을 생성하도록 세라믹 바디의 표면이 연마된다. 일 실시예에서, 세라믹 바디의 표면은, 약 4 내지 8 마이크로-인치의 평균 표면 거칠기(Ra)로 연마된다. 연마는, 초기 세라믹 코팅을 감소시킬 수 있고 그리고/또는 세공들, 핀홀들 등에 충전된 초기 세라믹 코팅의 부분을 제외한 초기 세라믹 코팅을 거의 완전히 제거할 수 있다.
[0059] 블록(520)에서, 세라믹 바디의 연마된 표면 상에(예컨대, 초기 세라믹 코팅 위에) 세라믹 코팅(예컨대, 보호 세라믹 코팅)이 증착 또는 성장된다. 일 실시예에서, 세라믹 코팅은 YAG, Y2O3, Al2O3, AlN 또는 AlON이다. 세라믹 코팅은, 블록(505)과 관련하여 논의된 증착 기법들 중 임의의 기법에 의해 증착될 수 있는 컨포멀한 코팅일 수 있다. 예컨대, 세라믹 코팅은 IAD(이를테면, EB-IAD)를 수행함으로써 증착될 수 있다. 세라믹 코팅은, 최대 수백 미크론의 두께로 증착될 수 있다. 일 실시예에서, 세라믹 코팅은 대략적으로 5 내지 30 미크론의 두께로 증착된다. 일 실시예에서, 세라믹 코팅은 약 5 내지 10 미크론의 두께로 증착된다. 일 실시예에서, 세라믹 코팅은 약 20 내지 30 미크론의 두께로 증착된다.
[0060] 블록(520)에서, 코팅된 세라믹 바디 위에 네거티브 마스크가 배치된다. 네거티브 마스크는, 디스크형 형상을 갖는 원형 마스크일 수 있다. 네거티브 마스크는, 세라믹 바디의 직경보다 약간 더 작은 직경을 가질 수 있다. 네거티브 마스크는 부가적으로 많은 관통 홀들을 포함할 수 있으며, 여기서, 각각의 관통 홀은, 세라믹 바디 상에 형성될 메사의 네거티브이다. 네거티브 마스크는, 도 6a 내지 도 6c 및 도 7과 관련하여 아래에서 더 상세히 논의된다. 일 실시예에서, 네거티브 마스크는, 접착제에 의해 세라믹 바디에 본딩된다(예컨대, 세라믹 바디에 아교접착됨(glued)). 대안적으로, 네거티브 마스크는, 기계적 홀더(holder)에 의해 세라믹 바디 위의 제 위치에 홀딩될 수 있다.
[0061] 블록(525)에서, 라운드형 에지들을 갖는 메사들을 형성하기 위해 네거티브 마스크의 홀들을 통해 세라믹 재료가 증착된다. 부가적으로, 세라믹 재료는, 세라믹 바디의 둘레에서 세라믹 바디의 노출된 부분 상에 증착되어 세라믹 바디 상에 링을 형성할 수 있다. 링은 메사들과 동시에 형성될 수 있다. 메사들 및 링은 컨포멀하고 조밀할 수 있으며, 위에서 블록(505)과 관련하여 논의된 증착 기법들 중 임의의 기법에 의해 증착될 수 있다. 예컨대, 메사들 및 링은 IAD(이를테면, EB-IAD)를 사용하여 증착될 수 있다.
[0062] 일 실시예에서, 마스크의 홀들은, 플레어링된 최상부 단부들 및 플레어링된 최하부 단부들을 갖는다. 플레어링된 최상부 단부들은, 홀들 내로 재료를 퍼넬링하고 증착률을 증가시키기 위한 퍼넬로서 작용한다. 홀들의 종횡비(예컨대, 1:2 내지 2:1의 종횡비)와 함께, 플레어링된 최하부 단부들은, 증착되는 메사들 및/또는 증착되는 링의 형상을 제어하도록 기능할 수 있다. 예컨대, 플레어링된 최하부 단부들과 결합된 종횡비는, 증착되는 메사들로 하여금 라운드형 에지들 및/또는 탑 햇 프로파일을 갖게 할 수 있다. 더욱이, 플레어링된 최하부 단부들은, 메사들이 홀들의 벽들에 접촉하는 것을 방지한다. 이는, 메사들이 마스크에 본딩되는 것 및 마스크를 세라믹 바디에 본딩하는 것을 방지할 수 있다.
[0063] 일 실시예에서, 링의 내측 에지는 라운드형이지만, 링의 외측 에지는 라운드형이 아니다. 그 이유는, 증착 동안 네거티브 마스크의 형상이 링의 내측 에지가 라운형이 되는 것을 야기할 수 있지만, 링의 외측 에지에는 증착되는 형상을 제어하기 위한 마스크의 어떠한 부분도 존재하지 않을 수 있기 때문이다. 대안적으로, 링의 에지들은 라운드형이 아닐 수 있다.
[0064] 블록(530)에서, 세라믹 바디로부터 마스크가 제거된다. 블록(535)에서, 메사들 및 링이 연마된다. 메사들을 연마하기 위해 소프트(soft) 연마 프로세스가 수행될 수 있다. 소프트 연마는, 메사들의 벽들뿐만 아니라 메사들의 최상부들을 적어도 부분적으로 연마할 수 있다.
[0065] 방법(500)에서, 메사들 및 링의 증착 이전에 보호 세라믹 코팅이 증착되었다. 그러나, 대안적인 실시예들에서, 메사들 및 링은 보호 세라믹 코팅 이전에 증착될 수 있으며, 보호 세라믹 코팅이 메사들 위에 증착될 수 있다. 보호 세라믹 코팅이 고도로 컨포멀할 수 있으므로, 메사들 및 링의 형상은, 메사들 및 링 위의 보호 세라믹 코팅의 증착 후에 변경되지 않을 수 있다.
[0066] 도 6a 내지 도 6c는, 정전 척(640)의 표면 상에 라운드형 에지들을 갖는 원형 메사들을 형성하기 위한 마스크(610)를 통한 세라믹 재료의 증착을 예시한다. 마스크(615)는 다수의 홀들(615)을 포함한다. 일 실시예에서, 마스크는, 두께가 대략적으로 1 내지 3 mm이다. 일 실시예에서, 마스크는, 두께가 대략적으로 2 mm이다. 일 실시예에서, 홀들은, 대략적으로 0.5 내지 3 mm의 직경을 갖는 원형 홀들이다. 일 실시예에서, 홀들은, 약 0.5 내지 2 mm의 직경을 갖는다. 일 실시예에서, 홀들은, 약 1 mm의 직경을 갖는다. 일 실시예에서, 홀들은 동일하게 사이즈가 정해진다. 대안적으로, 홀들은 상이한 직경들을 가질 수 있다. 일 실시예에서, 홀들은, 1:2 내지 2:1의 폭 대 높이 종횡비를 갖는다.
[0067] 예시된 바와 같이, 일부 실시예들에서, 홀들은 플레어링된 최상부 단부들(620) 및 플레어링된 최하부 단부들(625)을 갖는다. 플레어링된 단부들은, (예컨대, 홀드(hold)에 수직으로 센터링(center)된) 홀들의 가장 좁은 영역에서의 홀들의 직경보다 대략적으로 30 내지 70 % 더 큰 직경을 가질 수 있다. 일 실시예에서, 플레어링된 단부들은, 가장 좁은 영역에서의 홀들의 직경보다 대략적으로 50 % 더 큰 직경을 갖는다. 최상부 단부들 및 최하부 단부들은, 동일한 형상 및 사이즈의 플레어(flare)들을 가질 수 있다. 대안적으로, 최상부 단부들은, 최하부 단부들에서의 플레어들과 상이한 사이즈들 및/또는 형상들의 플레어들을 가질 수 있다.
[0068] 마스크(610)가 정전 척(640) 위에 배치되며, 정전 척(640)은, 정전 척(640)의 표면 상에 증착된 보호 세라믹 층(635)을 포함한다. 도 6a에서, 라운드형 에지들을 갖는 작은 메사들(630)이 증착되었다. 도 6b에서, 증착이 계속되고, 작은 메사들(630)은 라운드형 에지들을 갖는 더 큰 메사들(631)이 된다. 도 6c에서, 증착이 계속되어 완료되며, 메사들(632)은 그들의 최종 사이즈에 도달한다. 특히, 메사들(632)은, 플레어링된 최하부 단부들(625) 때문에 홀들(615)의 벽들과 접촉하지 않는다.
[0069] 도 7은, 일 실시예에 따른 정전 척의 세라믹 바디(705) 상에 메사들 및 링을 형성하는 데 사용되는 마스크(710)의 평면도를 예시한다. 도시된 바와 같이, 마스크(710)는, 세라믹 바디(705)의 제2 직경보다 작은 제1 직경을 갖는 네거티브 마스크이다. 따라서, 증착 프로세스는, 세라믹 바디가 마스크(710)에 의해 커버되지 않는 세라믹 바디의 둘레에 링이 형성되는 것을 야기할 수 있다. 마스크(710)는 부가적으로 많은 홀들(715)을 포함한다. 증착 프로세스는, 홀들(715) 각각에 메사들이 형성되는 것을 야기한다.
[0070] 이전의 설명은, 본 발명의 수개의 실시예들의 양호한 이해를 제공하기 위해, 특정한 시스템들, 컴포넌트들, 방법들 등의 예들과 같은 다수의 특정한 세부사항들을 기재한다. 그러나, 본 발명의 적어도 몇몇 실시예들이, 그러한 특정한 세부사항들 없이도 실시될 수 있다는 것이 당업자에게 명백할 것이다. 다른 예시들에서, 본 발명을 불필요하게 불명료하게 하는 것을 피하기 위해, 잘-알려진 컴포넌트들 또는 방법들은 상세히 설명되지 않거나, 또는 간단한 블록도 포맷으로 제시된다. 따라서, 설명된 특정한 세부사항들은 단지 예시적인 것일 뿐이다. 특정한 구현들은, 이들 예시적인 세부사항들로부터 변할 수 있고, 여전히 본 발명의 범위 내에 있는 것으로 고려될 수 있다.
[0071] 본 명세서 전체에 걸친, "일 실시예" 또는 "실시예"에 대한 언급은, 실시예와 관련하여 설명된 특정한 피쳐, 구조, 또는 특성이 적어도 하나의 실시예에 포함되는 것을 의미한다. 따라서, 본 명세서 전체에 걸친 다양한 위치들에서의 "일 실시예에서" 또는 "실시예에서"라는 문구의 출현들이 모두 반드시 동일한 실시예를 지칭하는 것은 아니다. 부가하여, "또는"이라는 용어는, 배타적인 "또는"이 아니라 포괄적인 "또는"을 의미하도록 의도된다. "약" 또는 "대략적으로"라는 용어가 본원에서 사용되는 경우, 이는 제시되는 공칭 값이 ±10% 내에서 정확함을 의미하도록 의도된다.
[0072] 본원에서의 방법들의 동작들이 특정 순서로 도시되고 설명되지만, 각각의 방법의 동작들의 순서는, 특정한 동작들이 역순으로 수행될 수 있도록, 또는 특정한 동작이 적어도 부분적으로 다른 동작들과 동시에 수행될 수 있도록 변경될 수 있다. 다른 실시예에서, 별개의 동작들의 하위-동작들 또는 명령들은 간헐적인 및/또는 교번적인 방식으로 이루어질 수 있다. 일 실시예에서, 다수의 금속 본딩 동작들은 단일 단계로서 수행된다.
[0073] 상기 설명은 제한적인 것이 아니라 예시적인 것으로 의도됨이 이해되어야 한다. 상기 설명을 읽고 이해할 시에, 다수의 다른 실시예들이 당업자들에게 명백할 것이다. 따라서, 본 발명의 범위는, 첨부된 청구항들에 권리가 부여되는 균등물들의 전체 범위와 함께, 첨부된 청구항들에 관하여 결정되어야 한다.

Claims (15)

  1. 정전 척(electrostatic chuck)으로서,
    매립형(embedded) 전극을 포함하는 세라믹 바디(body);
    상기 세라믹 바디의 표면 상의 세라믹 코팅; 및
    상기 세라믹 코팅 상의 복수의 타원형 메사(mesa)들을 포함하며,
    상기 복수의 타원형 메사들은 라운드형 에지(rounded edge)들을 갖는, 정전 척.
  2. 제1항에 있어서,
    상기 세라믹 바디는 AlN 또는 Al2O3을 포함하고,
    상기 세라믹 코팅은, Al2O3, AlN, Y2O3, Y3Al5O12(YAG), 및 AlON으로 이루어진 그룹으로부터의 재료를 포함하고, 그리고
    상기 복수의 타원형 메사들은 각각 상기 재료를 포함하는, 정전 척.
  3. 제1항에 있어서,
    상기 복수의 타원형 메사들은 복수의 원형 메사들을 포함하는, 정전 척.
  4. 제3항에 있어서,
    상기 세라믹 코팅은 약 5 내지 30 미크론의 두께를 갖고, 그리고
    상기 복수의 원형 메사들은, 약 0.5 내지 2.0 mm의 직경 및 약 2 내지 20 미크론의 두께를 갖는, 정전 척.
  5. 제1항에 있어서,
    상기 세라믹 코팅과 상기 세라믹 바디 사이의 부가적인 세라믹 코팅을 더 포함하며,
    상기 부가적인 세라믹 코팅은, 상기 세라믹 바디에 있는 세공(pore)들을 충전(fill)하고, 그리고
    상기 부가적인 세라믹 코팅은, 상기 세라믹 바디의 재료와 동일한 재료를 포함하는, 정전 척.
  6. 제1항에 있어서,
    상기 세라믹 코팅은 약 4 내지 10 마이크로-인치의 평균 표면 거칠기(surface roughness)를 갖는, 정전 척.
  7. 제1항에 있어서,
    상기 세라믹 코팅은, 이트륨, 알루미늄, 및 산소를 포함하는 비정질 세라믹을 포함하고,
    상기 비정질 세라믹의 적어도 8 %는 이트륨인, 정전 척.
  8. 방법으로서,
    연마(polish)된 표면을 생성하기 위해 정전 척의 세라믹 바디의 표면을 연마하는 단계;
    코팅된 세라믹 바디를 생성하기 위해 상기 세라믹 바디의 연마된 표면 상에 세라믹 코팅을 증착하는 단계;
    상기 코팅된 세라믹 바디 위에 마스크를 배치하는 단계 ― 상기 마스크는 복수의 타원형 홀(hole)들을 포함함 ―;
    상기 코팅된 세라믹 바디 상에 복수의 타원형 메사들을 형성하기 위해, 상기 마스크의 복수의 타원형 홀들을 통해 세라믹 재료를 증착하는 단계 ― 상기 복수의 타원형 메사들은 라운드형 에지들을 가짐 ―;
    상기 코팅된 세라믹 바디로부터 상기 마스크를 제거하는 단계; 및
    상기 복수의 타원형 메사들을 연마하는 단계를 포함하는, 방법.
  9. 제8항에 있어서,
    상기 세라믹 바디의 표면을 연마하는 단계 이전에 상기 세라믹 바디 상에 초기 세라믹 코팅을 증착하는 단계를 더 포함하며,
    상기 초기 세라믹 코팅은 상기 세라믹 바디에 있는 세공들을 충전하는, 방법.
  10. 제9항에 있어서,
    상기 초기 세라믹 코팅은 상기 세라믹 바디의 재료와 동일한 재료를 포함하는, 방법.
  11. 제8항에 있어서,
    상기 세라믹 바디는 AlN 또는 Al2O3을 포함하고,
    상기 세라믹 코팅은, Al2O3, AlN, Y2O3, Y3Al5O12(YAG), 및 AlON으로 이루어진 그룹으로부터의 재료를 포함하고, 그리고
    상기 복수의 타원형 메사들은 각각 상기 재료를 포함하는, 방법.
  12. 제8항에 있어서,
    상기 세라믹 코팅은, 이트륨, 알루미늄, 및 산소를 포함하는 비정질 세라믹을 포함하고,
    상기 비정질 세라믹의 적어도 8 %는 이트륨인, 방법.
  13. 제8항에 있어서,
    상기 마스크에 있는 상기 복수의 타원형 홀들은, 최상부 단부 및 최하부 단부에서 플레어링된(flared) 복수의 원형 홀들인, 방법.
  14. 제13항에 있어서,
    상기 복수의 타원형 메사들은, 약 0.5 내지 2.0 mm의 직경 및 약 2 내지 20 미크론의 두께를 갖는 복수의 원형 메사들인, 방법.
  15. 제8항에 있어서,
    상기 세라믹 바디의 표면을 연마하는 단계는, 약 4 내지 10 마이크로-인치의 평균 표면 거칠기로 상기 표면을 연마하는 단계를 포함하는, 방법.
KR1020187017129A 2015-11-17 2016-06-01 증착된 표면 피쳐들을 가진 기판 지지 어셈블리 KR102554268B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237022907A KR20230107413A (ko) 2015-11-17 2016-06-01 증착된 표면 피쳐들을 가진 기판 지지 어셈블리

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/944,018 2015-11-17
US14/944,018 US10020218B2 (en) 2015-11-17 2015-11-17 Substrate support assembly with deposited surface features
PCT/US2016/035306 WO2017087029A1 (en) 2015-11-17 2016-06-01 Substrate support assembly with deposited surface features

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237022907A Division KR20230107413A (ko) 2015-11-17 2016-06-01 증착된 표면 피쳐들을 가진 기판 지지 어셈블리

Publications (2)

Publication Number Publication Date
KR20180071409A true KR20180071409A (ko) 2018-06-27
KR102554268B1 KR102554268B1 (ko) 2023-07-10

Family

ID=58690319

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237022907A KR20230107413A (ko) 2015-11-17 2016-06-01 증착된 표면 피쳐들을 가진 기판 지지 어셈블리
KR1020187017129A KR102554268B1 (ko) 2015-11-17 2016-06-01 증착된 표면 피쳐들을 가진 기판 지지 어셈블리

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237022907A KR20230107413A (ko) 2015-11-17 2016-06-01 증착된 표면 피쳐들을 가진 기판 지지 어셈블리

Country Status (6)

Country Link
US (4) US10020218B2 (ko)
JP (1) JP6860564B2 (ko)
KR (2) KR20230107413A (ko)
CN (3) CN115527914A (ko)
TW (3) TWI728977B (ko)
WO (1) WO2017087029A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102613639B1 (ko) 2023-09-11 2023-12-14 주식회사 티엠씨 세라믹재 부품의 표면처리방법

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9999947B2 (en) * 2015-05-01 2018-06-19 Component Re-Engineering Company, Inc. Method for repairing heaters and chucks used in semiconductor processing
DE102015007216B4 (de) * 2015-06-03 2023-07-20 Asml Netherlands B.V. Verfahren zur Herstellung einer Halteplatte, insbesondere für einen Clamp zur Waferhalterung, Verfahren zur Herstellung einer Haltevorrichtung zur Halterung eines Bauteils, Halteplatte und Haltevorrichtung
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR102474583B1 (ko) * 2016-07-25 2022-12-06 교세라 가부시키가이샤 시료 유지구
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10654147B2 (en) 2017-10-17 2020-05-19 Applied Materials, Inc. Polishing of electrostatic substrate support geometries
CN110000696A (zh) * 2017-12-29 2019-07-12 比亚迪股份有限公司 耐磨治具及其制备方法
KR102140725B1 (ko) * 2018-01-22 2020-08-04 상구정공(주) 기판 지지장치 및 이의 제작방법
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
CN111902917A (zh) * 2018-03-22 2020-11-06 应用材料公司 对用于半导体器件制造的处理部件的陶瓷表面进行激光抛光
CN108538776B (zh) * 2018-03-29 2021-11-16 北京北方华创微电子装备有限公司 静电卡盘及其制造方法
US11551962B2 (en) 2018-03-30 2023-01-10 Sumitomo Osaka Cement Co., Ltd. Ceramic substrate and susceptor
CN111954921A (zh) 2018-04-09 2020-11-17 应用材料公司 用于图案化应用的碳硬掩模及相关的方法
US20200013590A1 (en) * 2018-07-06 2020-01-09 Tokyo Electron Limited Protective layer for chucks during plasma processing to reduce particle formation
US11133211B2 (en) * 2018-08-22 2021-09-28 Lam Research Corporation Ceramic baseplate with channels having non-square corners
US11114330B2 (en) 2018-08-24 2021-09-07 Axcelis Technologies, Inc. Substrate support having customizable and replaceable features for enhanced backside contamination performance
CN110896045B (zh) * 2018-09-12 2022-12-30 中微半导体设备(上海)股份有限公司 一种升举顶针组件,静电夹盘及其所在的处理装置
WO2020149936A1 (en) * 2019-01-18 2020-07-23 Applied Materials, Inc. Heated pedestal design for improved heat transfer and temperature uniformity
SG11202112558TA (en) * 2019-05-16 2021-12-30 Applied Materials Inc Methods and apparatus for minimizing substrate backside damage
WO2020261990A1 (ja) * 2019-06-28 2020-12-30 日本碍子株式会社 ウエハ載置台及びその製法
KR20220037456A (ko) * 2019-07-01 2022-03-24 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 결합 재료들을 최적화하는 것에 의한 막 특성들의 조절
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
EP4256100A1 (en) * 2020-12-02 2023-10-11 Oerlikon Surface Solutions AG, Pfäffikon Improved plasma resistant coatings for electrostatic chucks
US11699611B2 (en) * 2021-02-23 2023-07-11 Applied Materials, Inc. Forming mesas on an electrostatic chuck
US11955361B2 (en) * 2021-04-15 2024-04-09 Applied Materials, Inc. Electrostatic chuck with mesas
US20220351951A1 (en) * 2021-04-29 2022-11-03 Applied Materials, Inc. Substrate support apparatus, methods, and systems having elevated surfaces for heat transfer
US20230114751A1 (en) * 2021-10-08 2023-04-13 Applied Materials, Inc. Substrate support
US20230380016A1 (en) * 2022-05-17 2023-11-23 Applied Materials, Inc. High-temperature substrate support assembly with failure protection

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000062849A (ko) * 1999-03-13 2000-10-25 조셉 제이. 스위니 기판 지지 척위로 웨이퍼 스페이싱 마스크를 제조하는장치 및 방법
KR20060081562A (ko) * 2005-01-10 2006-07-13 (주)엔트로피 정전척의 제조방법
KR20060132466A (ko) * 2005-06-17 2006-12-21 동경 엘렉트론 주식회사 기판 탑재대, 기판 처리 장치 및 기판 탑재대의 제조 방법
KR20090071848A (ko) * 2007-12-28 2009-07-02 주식회사 동부하이텍 정전척(esc) 패드 재생용 마스크
JP2015023168A (ja) * 2013-07-19 2015-02-02 株式会社日立ハイテクノロジーズ プラズマ処理装置、及びステージ製造方法

Family Cites Families (159)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
US4609563A (en) 1985-02-28 1986-09-02 Engelhard Corporation Metered charge system for catalytic coating of a substrate
FR2583220B1 (fr) 1985-06-11 1987-08-07 Thomson Csf Procede de realisation d'au moins deux metallisations d'un composant semi-conducteur, recouvertes d'une couche de dielectrique et composant obtenu par ce dielectrique
FR2631165B1 (fr) 1988-05-05 1992-02-21 Moulene Daniel Support conditionneur de temperature pour petits objets tels que des composants semi-conducteurs et procede de regulation thermique utilisant ce support
ES2054357T3 (es) 1989-05-08 1994-08-01 Philips Nv Aparato y metodo para tratar substratos planos bajo una presion reducida.
JP2638649B2 (ja) 1989-12-22 1997-08-06 東京エレクトロン株式会社 静電チャック
US5108025A (en) 1991-05-20 1992-04-28 Gte Laboratories Incorporated Ceramic-metal composite article and joining method
US5113472A (en) 1991-05-28 1992-05-12 Allied-Signal Inc. Optical waveguides of aluminum garnet
JP3271352B2 (ja) 1993-01-13 2002-04-02 ソニー株式会社 静電チャック及びその作製方法並びに基板処理装置及び基板搬送装置
KR100260587B1 (ko) 1993-06-01 2000-08-01 히가시 데쓰로 정전척 및 그의 제조방법
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
JPH07304133A (ja) * 1994-05-13 1995-11-21 Shin Etsu Chem Co Ltd セラミックス基板およびその製造方法
US5548470A (en) 1994-07-19 1996-08-20 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5886863A (en) 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
WO1997039607A1 (fr) 1996-04-12 1997-10-23 Hitachi, Ltd. Dispositif de traitement au plasma
JP3160229B2 (ja) 1997-06-06 2001-04-25 日本エー・エス・エム株式会社 プラズマcvd装置用サセプタ及びその製造方法
US6177023B1 (en) 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
JP2000306986A (ja) 1999-04-19 2000-11-02 Nippon Eng Kk 静電チャック
JP2001077185A (ja) 1999-09-01 2001-03-23 Shin Etsu Chem Co Ltd 静電チャック及びその製造方法
CN1207939C (zh) 1999-09-29 2005-06-22 东京电子株式会社 多区电阻加热器
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
JP2002057207A (ja) 2000-01-20 2002-02-22 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびその製造方法ならびに半導体製造装置
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
WO2001058828A1 (fr) 2000-02-07 2001-08-16 Ibiden Co., Ltd. Substrat ceramique pour dispositif de production ou d'examen de semi-conducteurs
JP2001253777A (ja) 2000-03-13 2001-09-18 Ibiden Co Ltd セラミック基板
JP2001308075A (ja) 2000-04-26 2001-11-02 Toshiba Ceramics Co Ltd ウェーハ支持体
US6444957B1 (en) 2000-04-26 2002-09-03 Sumitomo Osaka Cement Co., Ltd Heating apparatus
US6736942B2 (en) 2000-05-02 2004-05-18 Johns Hopkins University Freestanding reactive multilayer foils
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
DE60136171D1 (de) 2000-05-02 2008-11-27 Univ Johns Hopkins Verfahren zur herstellung einer reaktiven mehrschichtfolie sowie daraus resultierendes produkt
US6503368B1 (en) 2000-06-29 2003-01-07 Applied Materials Inc. Substrate support having bonded sections and method
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6579818B2 (en) 2000-08-28 2003-06-17 Kyocera Corporation Glass ceramic sintered product
WO2002047129A1 (fr) 2000-12-05 2002-06-13 Ibiden Co., Ltd. Substrat ceramique pour dispositifs de production et de controle de semi-conducteurs et procede de production dudit substrat ceramique
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
JP3626933B2 (ja) * 2001-02-08 2005-03-09 東京エレクトロン株式会社 基板載置台の製造方法
JP2005033221A (ja) * 2001-02-08 2005-02-03 Tokyo Electron Ltd 基板載置台および処理装置
JP3893888B2 (ja) 2001-03-19 2007-03-14 株式会社日立製作所 プラズマ処理装置
US20030010292A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Electrostatic chuck with dielectric coating
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
CN1484855A (zh) 2001-08-10 2004-03-24 揖斐电株式会社 陶瓷接合体
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
KR100427459B1 (ko) 2001-09-05 2004-04-30 주성엔지니어링(주) 아크 방지용 정전척
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
JP2003224180A (ja) 2002-01-28 2003-08-08 Kyocera Corp ウエハ支持部材
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US6780787B2 (en) 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
JP4107643B2 (ja) 2002-07-23 2008-06-25 日本碍子株式会社 接合体の製造方法
US6838646B2 (en) 2002-08-22 2005-01-04 Sumitomo Osaka Cement Co., Ltd. Susceptor device
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040055709A1 (en) 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
US6682820B1 (en) 2002-10-31 2004-01-27 Saint-Gobain Ceramics & Plastics, Inc. Recession resistant coated ceramic part
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
JP3967278B2 (ja) 2003-03-07 2007-08-29 日本碍子株式会社 接合部材及び静電チャック
US20040187787A1 (en) 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
DE10317792A1 (de) 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
US20050016684A1 (en) 2003-07-25 2005-01-27 Applied Materials, Inc. Process kit for erosion resistance enhancement
US7072165B2 (en) 2003-08-18 2006-07-04 Axcelis Technologies, Inc. MEMS based multi-polar electrostatic chuck
US7072166B2 (en) 2003-09-12 2006-07-04 Axcelis Technologies, Inc. Clamping and de-clamping semiconductor wafers on a J-R electrostatic chuck having a micromachined surface by using force delay in applying a single-phase square wave AC clamping voltage
JP4189373B2 (ja) 2003-10-31 2008-12-03 株式会社トクヤマ 窒化アルミニウム接合体及びその製造方法
JP2005243243A (ja) 2004-02-24 2005-09-08 Ngk Insulators Ltd 加熱方法
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
TWI290875B (en) 2004-02-28 2007-12-11 Applied Materials Inc Methods and apparatus for transferring a substrate carrier within an electronic device manufacturing facility
JP4349952B2 (ja) 2004-03-24 2009-10-21 京セラ株式会社 ウェハ支持部材とその製造方法
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
KR20050121913A (ko) 2004-06-23 2005-12-28 삼성전자주식회사 베이크 장치
TWI267940B (en) 2004-06-28 2006-12-01 Kyocera Corp Electrostatic chuck
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
JP4806179B2 (ja) 2004-10-08 2011-11-02 古河スカイ株式会社 ヒータプレートの製造方法
JP4787568B2 (ja) * 2004-11-16 2011-10-05 日本碍子株式会社 接合剤、窒化アルミニウム接合体及びその製造方法
US7718007B2 (en) 2005-03-17 2010-05-18 Tokyo Electron Limited Substrate supporting member and substrate processing apparatus
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
JP5478065B2 (ja) 2005-08-17 2014-04-23 アプライド マテリアルズ インコーポレイテッド ロウ付けプレートおよび抵抗ヒーターを有する基板サポート
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US20070090516A1 (en) 2005-10-18 2007-04-26 Applied Materials, Inc. Heated substrate support and method of fabricating same
JP4727434B2 (ja) 2006-01-18 2011-07-20 住友大阪セメント株式会社 静電チャック装置
JP2007317772A (ja) 2006-05-24 2007-12-06 Shinko Electric Ind Co Ltd 静電チャック装置
US20080016684A1 (en) 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080006204A1 (en) 2006-07-06 2008-01-10 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
JP2008016709A (ja) 2006-07-07 2008-01-24 Shinko Electric Ind Co Ltd 静電チャックおよびその製造方法
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US20080062610A1 (en) 2006-08-10 2008-03-13 Shinji Himori Electrostatic chuck device
CN100468619C (zh) 2006-08-23 2009-03-11 北京北方微电子基地设备工艺研究中心有限责任公司 刻蚀设备的控温装置及其控制晶片温度的方法
US20090186195A1 (en) 2006-09-08 2009-07-23 Reactive Nanotechnologies, Inc. Reactive Multilayer Joining With Improved Metallization Techniques
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
US7773650B2 (en) 2006-12-28 2010-08-10 Nichia Corporation Nitride semiconductor laser element
JP5053696B2 (ja) 2007-04-26 2012-10-17 信越化学工業株式会社 静電チャック
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
JP5135915B2 (ja) 2007-06-28 2013-02-06 東京エレクトロン株式会社 載置台構造及び熱処理装置
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
TWI459851B (zh) 2007-09-10 2014-11-01 Ngk Insulators Ltd heating equipment
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
JP5236927B2 (ja) 2007-10-26 2013-07-17 信越化学工業株式会社 耐腐食性積層セラミックス部材
JP5080954B2 (ja) 2007-12-17 2012-11-21 日本発條株式会社 ヒータユニットとその製造方法
US8129029B2 (en) * 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US20090302002A1 (en) 2008-02-29 2009-12-10 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
KR20090097229A (ko) 2008-03-11 2009-09-16 전영재 반도체 및 lcd 제조용 정전척
JP4450106B1 (ja) 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
TWI475594B (zh) * 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
JP5025576B2 (ja) 2008-06-13 2012-09-12 新光電気工業株式会社 静電チャック及び基板温調固定装置
US9543181B2 (en) 2008-07-30 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Replaceable electrostatic chuck sidewall shield
EP2321846A4 (en) 2008-08-12 2012-03-14 Applied Materials Inc ELECTROSTATIC FODDER ASSEMBLY
JP5248242B2 (ja) 2008-08-28 2013-07-31 日本発條株式会社 異材接合体の製造方法およびその方法による異材接合体
US7929269B2 (en) 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) * 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
KR100997374B1 (ko) * 2009-08-21 2010-11-30 주식회사 코미코 정전척 및 이의 제조 방법
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
US8597448B2 (en) 2009-12-29 2013-12-03 Novellus Systems, Inc. Electrostatic chucks and methods for refurbishing same
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
US8619406B2 (en) 2010-05-28 2013-12-31 Fm Industries, Inc. Substrate supports for semiconductor applications
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
CN103493194B (zh) 2011-06-02 2016-05-18 应用材料公司 静电夹盘的氮化铝电介质修复
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
TWM431174U (en) * 2011-12-07 2012-06-11 Chung Shan Inst Of Science Shade used for plasma melt-spraying
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
US20130273313A1 (en) * 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
JP2014027207A (ja) * 2012-07-30 2014-02-06 Hitachi Chemical Co Ltd 誘電体及びこの誘電体を用いた静電チャック
US9916998B2 (en) * 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
CN103021773B (zh) * 2012-12-31 2016-03-16 中微半导体设备(上海)有限公司 多孔复合陶瓷部件、其制备方法以及等离子体处理腔室
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
JP6070827B2 (ja) 2013-03-29 2017-02-01 住友大阪セメント株式会社 静電チャック装置
TWM503654U (zh) * 2014-10-21 2015-06-21 Leading Prec Inc 層疊式靜電吸附盤及其半導體設備
TWI548753B (zh) * 2014-12-30 2016-09-11 財團法人工業技術研究院 組成物及應用其製成之塗層結構
FR3042137B1 (fr) * 2015-10-07 2017-12-01 Safran Piece de turbomachine revetue d'un revetement ceramique de protection, procede de fabrication et d'utilisation d'une telle piece
US10020218B2 (en) * 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000062849A (ko) * 1999-03-13 2000-10-25 조셉 제이. 스위니 기판 지지 척위로 웨이퍼 스페이싱 마스크를 제조하는장치 및 방법
KR20060081562A (ko) * 2005-01-10 2006-07-13 (주)엔트로피 정전척의 제조방법
KR20060132466A (ko) * 2005-06-17 2006-12-21 동경 엘렉트론 주식회사 기판 탑재대, 기판 처리 장치 및 기판 탑재대의 제조 방법
KR20090071848A (ko) * 2007-12-28 2009-07-02 주식회사 동부하이텍 정전척(esc) 패드 재생용 마스크
JP2015023168A (ja) * 2013-07-19 2015-02-02 株式会社日立ハイテクノロジーズ プラズマ処理装置、及びステージ製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102613639B1 (ko) 2023-09-11 2023-12-14 주식회사 티엠씨 세라믹재 부품의 표면처리방법

Also Published As

Publication number Publication date
TWI728977B (zh) 2021-06-01
KR20230107413A (ko) 2023-07-14
JP2018536287A (ja) 2018-12-06
CN108352354A (zh) 2018-07-31
CN115527915A (zh) 2022-12-27
US20200243368A1 (en) 2020-07-30
US10679885B2 (en) 2020-06-09
WO2017087029A1 (en) 2017-05-26
US20170140970A1 (en) 2017-05-18
TW202135221A (zh) 2021-09-16
TWI774205B (zh) 2022-08-11
CN115527914A (zh) 2022-12-27
KR102554268B1 (ko) 2023-07-10
US11476146B2 (en) 2022-10-18
JP6860564B2 (ja) 2021-04-14
TW202246198A (zh) 2022-12-01
US20220254672A1 (en) 2022-08-11
CN108352354B (zh) 2022-10-14
TWI809980B (zh) 2023-07-21
US11769683B2 (en) 2023-09-26
US20180301364A1 (en) 2018-10-18
US10020218B2 (en) 2018-07-10
TW201719799A (zh) 2017-06-01

Similar Documents

Publication Publication Date Title
US11476146B2 (en) Substrate support assembly with deposited surface features
US11680308B2 (en) Plasma erosion resistant rare-earth oxide based thin film coatings
US9916998B2 (en) Substrate support assembly having a plasma resistant protective layer
KR101831665B1 (ko) 금속 본딩된 보호 층을 갖는 기판 지지 조립체

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant