JP6860564B2 - 堆積された表面フィーチャを有する基板支持アセンブリ - Google Patents

堆積された表面フィーチャを有する基板支持アセンブリ Download PDF

Info

Publication number
JP6860564B2
JP6860564B2 JP2018525446A JP2018525446A JP6860564B2 JP 6860564 B2 JP6860564 B2 JP 6860564B2 JP 2018525446 A JP2018525446 A JP 2018525446A JP 2018525446 A JP2018525446 A JP 2018525446A JP 6860564 B2 JP6860564 B2 JP 6860564B2
Authority
JP
Japan
Prior art keywords
ceramic
ceramic coating
mesas
electrostatic chuck
ceramic body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018525446A
Other languages
English (en)
Other versions
JP2018536287A (ja
Inventor
ウェンデル グレン ジュニア ボーイド
ウェンデル グレン ジュニア ボーイド
ビジャイ ディー パルキー
ビジャイ ディー パルキー
テンファン クオ
テンファン クオ
ゼンウェン ディン
ゼンウェン ディン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018536287A publication Critical patent/JP2018536287A/ja
Application granted granted Critical
Publication of JP6860564B2 publication Critical patent/JP6860564B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Adjustment Of The Magnetic Head Position Track Following On Tapes (AREA)

Description

本発明の実施形態は、一般に、堆積された表面フィーチャを備えたプラズマ耐性保護層を有する静電チャック等の基板支持アセンブリに関する。
背景
半導体産業では、デバイスは絶えず減少するサイズの構造を製造する多数の製造プロセスにより製造される。いくつかの製造プロセス(例えば、プラズマエッチングプロセス及びプラズマクリーニングプロセス等)は、プラズマの高速流に静電チャック(ESC)(例えば、ウェハ処理中のESCのエッジ及びチャンバ洗浄中の全ESC)等の基板支持体を暴露し、基板をエッチング又はクリーニングする。プラズマは高い腐食性を有する場合があり、処理チャンバ及びプラズマに暴露される他の表面を腐食する可能性がある。
ESCは、典型的には、表面フィーチャを有し、この表面フィーチャは、ESCの表面上にポジマスクを配置し、次に、ポジマスクを介してESCの露出部分をビードブラストすることにより形成される。ポジマスクは、ウェハ上に残るパターンの正確なコピーを含むマスクである。ビードブラストプロセスは、ESC表面に鋭いエッジ及びクラッキングを生じさせる。更に、形成された表面フィーチャ間の空間(バレーと呼ばれる)は高い粗さを有し、粒子及び熱膨張中に破損する可能性のあるピークを捕獲するトラップを提供する。捕獲された粒子及び破損したピークは、処理中に保持されるウェハの背面に粒子汚染を起こす可能性がある。
一実施形態では、静電チャックは、熱伝導ベースと、熱伝導ベースに接合されたセラミック体とを含み、セラミック体は埋設電極を有する。保護セラミックコーティングが、セラミック体の表面を覆う。複数の堆積された楕円形メサは、セラミック体の表面上に分布している。楕円形メサの各々は、丸いエッジを有する。
一実施形態では、静電チャックを製造する方法は、静電チャックのセラミック体の表面を研磨して研磨された表面を製造することを含む。更に、この方法は、セラミック体の研磨された表面上に保護セラミックコーティングを堆積して、コーティングされたセラミック体を製造することを含む。更に、この方法は、コーティングされたセラミック体上に複数の楕円形の穴(例えば、円形の穴)を含むマスクを配置することを含む。更に、この方法は、マスクの複数の楕円形の穴を介してセラミック材料を堆積して、コーティングされたセラミック体上に複数の楕円形メサを形成することを含み、複数の楕円形のメサ(例えば、円形メサ)は丸いエッジを有する。次いで、マスクが除去され、複数の楕円形メサが研磨される。
一実施形態では、静電チャックの表面上への楕円形メサの堆積のための円形マスクは、マスクが配置される静電チャックの第2の直径よりも小さい第1の直径を有する本体を含む。更に、円形マスクは、本体に複数の楕円形貫通穴を含み、楕円形貫通穴は、約1:2〜約2:1のアスペクト比を有する。楕円形の穴の少なくとも1は、フレア状の上端部及びフレア状の下端部を有し、フレア状の上端部は、静電チャック上に楕円形の穴を介して粒子を通して静電チャック上に楕円形メサを形成し、フレア状の下端部は楕円形メサがマスクに接触することを防止する。
本発明は、同様の参照番号が同様の要素を示す添付図面の図において、限定としてではなく例として示されている。本開示における「一の(a)」又は「一の(one)」実施形態への異なる参照は必ずしも同じ実施形態に限定されず、そのような参照は少なくとも1を意味することに留意すべきである。
処理チャンバの一実施形態の断面側面図である。 静電チャックの表面上の楕円形のメサの例示的パターンの平面図を示す。 図2Aの静電チャックの垂直断面図を示す。 本発明の実施形態による例示的メサの側面プロファイルを示す。 静電チャックの一実施形態の断面側面図である。 静電チャックの製造方法の一実施形態を示す。 静電チャックの表面上に丸いエッジを備えた円形のメサを形成するためのマスクを介したセラミック材料の堆積を示す。 一実施形態による、静電チャックのセラミック体上にメサ及びリングを形成するために用いられるマスクの上面図を示す。
実施形態の詳細な説明
本発明の実施形態は、丸いエッジを備え、堆積されたメサを有する基板支持アセンブリ(例えば、静電チャック)を提供する。また、実施形態は、基板支持アセンブリのセラミック体上に形成された保護セラミックコーティングを有する基板支持アセンブリを提供する。保護セラミックコーティングは、セラミック体の保護のためにプラズマ腐食耐性を提供することができる。メサは保護セラミックコーティングの上に堆積することができ、また、プラズマ腐食耐性を有することができる。
一実施形態において、静電チャックは、熱伝導ベース(例えば、金属又は金属合金ベース)及び熱伝導ベースに結合されたセラミック体(例えば、静電パック)を含む。保護層として作用する保護セラミックコーティングはセラミック体の表面を覆い、多数の楕円形(例えば、円形)メサが保護セラミックコーティング上に配置される。一実施形態において、静電チャックは、まず、セラミック体上に保護セラミックコーティングを堆積し、次いで、マスクの穴を介してセラミック体上に楕円形メサを堆積することにより製造される。本明細書で使用される場合、用語「メサ」は、急な側面と、平坦な又は緩やかに傾斜した上面を有する基板上の突起を意味する。
特に、本明細書の実施形態に記載される静電チャック及び他の基板支持体はメサを有し、このメサはネガマスクを介した堆積により製造される。ネガマスクは、静電チャック上に形成されるべきパターンの正反対のものを含むマスクである。即ち、ネガマスクは、静電チャック上にフィーチャを形成するための空隙を有する。対照的に、メサは、伝統的には、ポジマスク(静電チャック上に転写されるべきパターンの正確なコピーを含むマスク)を介して静電チャックの表面をビードブラストすることにより静電チャックの表面上に形成される。ビードブラストプロセスにより形成されたメサは、静電チャックにより支持されたウェハの背面でチッピングを生じ、粒子汚染を起こす可能性がある鋭いエッジを有する。しかしながら、本明細書に記載された実施形態により堆積されるメサは、チッピングを生じさせにくい丸いエッジ(例えば、トップハットプロファイル)を有する。
更に、静電チャック内にメサを生成するために伝統的に使用されるビードブラストプロセスによれば、生成されたメサ間の領域(バレー)が高い表面粗さを有することになる。高い表面粗さはパーティクルのトラップとして作用する可能性があり、このパーティクルは後に処理中に支持されたウェハの背面に放出される可能性がある。更に、バレーの粗い表面の局部的なピークは、熱サイクル中にクラッキングが生じ、破損する可能性がある。これは、粒子汚染物質の追加的な供給源として作用するおそれがある。しかしながら、本明細書に記載された実施形態では、静電パックの表面は、メサの堆積前に研磨される。従って、堆積されたメサ間のバレーは、非常に低い表面粗さ(例えば、約4〜10マイクロインチ)を有し、背面の粒子汚染を更に低減する。
更に、本明細書の実施形態に記載される静電チャックは、静電チャックの保護層として作用するブランケット保護セラミックコーティングを含む。保護セラミックコーティングは静電チャックの表面を覆い、静電チャックの表面が研磨された後に静電チャック上に堆積される。保護セラミックコーティングは非常にコンフォーマルであり、研磨された静電チャックとほぼ同じ表面粗さを有する。保護セラミックコーティング及び保護セラミックコーティング上に堆積されるメサは、各々、プラズマ耐性材料(例えば、イットリウムアルミニウムガーネット(YAG)等)であってもよい。従って、静電チャック上に形成されたメサを含む静電チャックは、塩素、フッ素及び水素ベースのプラズマに耐性を有することができる。
図1は、内部に基板支持アセンブリ148が配置された半導体処理チャンバ100の一実施形態の断面図である。本明細書で説明される実施形態によれば、基板支持アセンブリ148は、丸いエッジを備え、堆積されたメサを有する静電パック166を有する静電チャック150を含む。
処理チャンバ100は、内部容積106を囲むチャンバ本体102と蓋104を含む。チャンバ本体102は、アルミニウム、ステンレス鋼又は他の適切な材料から製造することができる。チャンバ本体102は、一般に、側壁108及び底部110を含む。外側ライナ116が側壁108に隣接して配置され、チャンバ本体102を保護することができる。外側ライナ116は、プラズマ又はハロゲン含有ガスに耐性を有する材料で製造され、及び/又は、コーティングされることができる。一実施形態では、外側ライナ116は、酸化アルミニウムから製造される。別の実施形態では、外側ライナ116は、イットリア、イットリウム合金又はその酸化物から製造されるか、又は、コーティングされる。
排気ポート126がチャンバ本体102内に画定され、内部容積106をポンプシステム128に結合することができる。ポンプシステム128は、処理チャンバ100の内部容積106の圧力を排気し、調節するために用いられる1以上のポンプ及びスロットルバルブを含むことができる。
蓋104は、チャンバ本体102の側壁108上で支持することができる。蓋104は処理チャンバ100の内部容積106へのアクセスを可能にするように開口することができ、閉じている間、処理チャンバ100にシールを提供することができる。ガスパネル158が処理チャンバ100に結合され、蓋104の一部であるガス分配アセンブリ130を介して内部容積106にプロセス、及び/又は、クリーニングガスを提供することができる。処理チャンバに流入させることができる処理ガスの例は、ハロゲン含有ガス(とりわけ、C、SF、SiCl、HBr、NF、CF、CHF、CH、Cl及びSiF等)及び他のガス(例えば、O又はNO等)を含む。特に、処理ガスは、塩素系プラズマ、フッ素系プラズマ、及び/又は、水素系プラズマを生成するために使用することができ、これは腐食性がかなり高い。ガス分配アセンブリ130は、ガス分配アセンブリ130の下流表面に複数の開口132を有し、ガス流を基板支持アセンブリ148により支持される基板144(例えば、ウェハ)の表面に向けることができる。追加的に又は代替的に、ガス分配アセンブリ130は、ガスがセラミックガスノズルを介して供給される中心穴を有することができる。
基板支持アセンブリ148は、ガス分配アセンブリ130の下の処理チャンバ100の内部容積106内に配置される。基板支持アセンブリ148は、処理中に、基板144を保持する。内側ライナ118は、基板支持アセンブリ148の周囲でコーティングされてもよい。内側ライナ118は、外側ライナ116に関して論じられたような耐ハロゲン含有ガス材料であってもよい。一実施形態では、内側ライナ118は、外側ライナ116と同じ材料で製造することができる。
一実施形態では、基板支持アセンブリ148は、ペデスタル152を支持するマウンティングプレート162と、静電チャック150を含む。マウンティングプレート162は、チャンバ本体102の底部110に結合されてもよく、ユーティリティー(例えば、流体、電力線、センサリード等)を熱伝導性ベース164及び静電パック166に送る経路を含む。
静電パック166は、チャッキング電源182により制御される1以上のクランプ電極(チャッキング電極とも呼ばれる)180を含むセラミック体であってもよい。一実施形態では、静電パック166は、窒化アルミニウム(AlN)又は酸化アルミニウム(Al)で構成される。代替的に、静電パック166は、酸化チタン(TiO)、窒化チタン(TiN)、炭化ケイ素(SiC)等で構成することができる。更に、電極180(又は静電パック166に配置された他の電極)は、整合回路188を介して、1又は複数の高周波(RF)電源184、186に結合されてもよく、処理チャンバ100内で処理、及び/又は、他のガスから形成されたプラズマを維持する。1以上のRF電源184、186は、一般に、約50kHz〜約3GHzの周波数及び約10,000ワットまでの電力を有するRF信号を生成することができる。
静電パック166の上面は、静電パック166上に堆積される保護セラミックコーティング136により覆われる。一実施形態では、保護セラミックコーティングは、YAl12(イットリウム・アルミニウム・ガーネット、YAG)コーティングである。代替的に、保護セラミックコーティングは、Al、AlN、Y(イットリア)、又はAlON(アルミニウムオキシナイトライド)であってもよい。更に、静電パック166の上面は、上面に堆積された複数のメサ、及び/又は、他の表面フィーチャを含む。メサ、及び/又は、他の表面フィーチャは、保護セラミックコーティング146がその上に堆積される前又は後に、静電パック166の表面上に堆積されてもよい。
更に、静電パック166は1以上のガス経路(例えば、静電パック166に穿孔された穴)を含む。動作中、背面ガス(例えば、He)を制御された圧力でガス経路内に供給し、静電パック166と基板144との間の熱伝達を向上してもよい。
熱伝導ベース164は、例えば、アルミニウム又はアルミニウム合金で構成される金属ベースであってもよい。代替的には、熱伝導ベース164は、セラミック体の熱膨張係数と一致するようにSiCで浸透されたアルミニウム−シリコン合金等のセラミックの複合体で製造されてもよい。熱伝導ベース164は、良好な強度及び耐久性並びに熱伝達特性を提供すべきである。一実施形態では、熱伝導ベース164は、200ワット/メートルケルビン(W/mK)を超える熱伝導率を有する。
熱伝導ベース164、及び/又は、静電パック166は、1又は複数の埋設加熱素子176、埋設熱アイソレータ174、及び/又は、コンジット168、170を含み、基板支持アセンブリ148の横方向の温度プロファイルを制御することができる。コンジット168、170を流体源172に流体的に結合し、コンジット168、170を介して温度調節流体を循環させることができる。一実施形態では、埋設熱アイソレータ174は、コンジット168、170の間に配置することができる。1以上の埋設加熱要素176は、ヒータ電源178により調節することができる。コンジット168、170及び1又は複数の埋設加熱要素176は、熱伝導ベース164の温度を制御するために用いられ、これにより、静電パック166及び処理中の基板144を加熱/冷却することができる。静電パック166及び熱伝導ベース164の温度は、複数の温度センサ190、192を使用してモニタすることができ、温度センサ190、192はコントローラ195を用いてモニタすることができる。
図2Aは、静電パック200の表面212上の楕円形メサ202の例示的パターンの平面図を示す。説明のために、16個のメサのみが示されている。しかしながら、静電パック200の表面上には、数百又は数千のメサが形成されていてもよい。図2Bは、図2Aの中心線3−3に沿った図2Aの静電パックの垂直断面図を示す。静電パック200は、1以上の埋設電極250を含む。静電パック200は、静電チャック(例えば、図1の静電チャック150等)の最上部の構成要素である場合もある。静電パック200は、その上に位置する支持された基板244の形状及びサイズと実質的に一致し得る環状周縁部を有するディスク状の形状を有する。一実施形態では、静電パック200は、図1の静電パック166に対応する。
図2Aに示される例において、楕円形メサ202は、静電パック200の表面212上の同心円204、206に沿って配置されて示されている。しかしながら、静電パック200の表面212に分布されたメサ202のいなかるパターンも可能である。一実施形態では、楕円形メサ202は円形である。代替的に、楕円形メサ202は、長円形であってもよく、又は他の楕円形であってもよい。
メサ202は、2〜200ミクロン(μm)の間の厚さ及び0.5〜5mmの間の平面における寸法(例えば、直径)を有する個々のパッドとして形成される。一実施形態では、メサ202は、2〜20ミクロンの厚さと、約0.5〜3mmの直径を有する。一実施形態では、メサ202は、約3〜16ミクロンの厚さと、約0.5〜2mmの直径を有する。一実施形態では、メサは約10ミクロンの厚さと、約1mmの直径を有する。一実施形態では、メサは約10〜12ミクロンの厚さと、約2mmの直径を有する。いくつかの実施形態では、メサは均一な形状及びサイズを有する。代替的に、様々なメサが、異なる形状、及び/又は、異なるサイズを有してもよい。楕円形メサ202の側壁は、垂直であっても傾斜していてもよい。特に、メサ202の各々は、メサ202が基板244に接触する丸いエッジを有する。これによって、メサ202のチッピングを最小にし、基板244の背面の粒子汚染を低減することができる。更に、丸いエッジは、チャッキングに起因する基板244の背面のスクラッチングを減少させ、又は、消滅させることができる。代替的に、メサ202は面取りされたエッジを有していてもよい。
メサ220のいくつかの例示的な側面プロファイルが、図3A〜図3Dに示されている。図示のように、図3A〜図3Dの例示的な側面プロファイルの各々において、メサのエッジは丸い。図3A〜図3Bの側面プロファイルは、トップハットプロファイルの変形例である。
再び図2A〜図2Bを参照すると、メサ202は、高密度でコンフォーマルなセラミック層を形成する堆積プロセス(例えば、イオンアシスト堆積(IAD)等)により形成された堆積メサである。メサ202の堆積は、図5を参照して説明される。図示の実施形態では、メサ202は、最初に表面212上に保護セラミックコーティングを堆積することなく、静電パック200の表面212上に直接堆積された。しかしながら、楕円形メサ202の堆積の前又は後に、保護セラミックコーティングを堆積してもよい。メサ202の平均表面粗さは、約2〜12マイクロインチであってもよい。一実施形態では、メサ202の平均表面粗さは約4〜8マイクロインチである。
一実施形態では、メサ202はYAGで形成される。一実施形態において、メサは、イットリウム、アルミニウム及び酸素を含むアモルファスセラミック(例えば、アモルファス形態のYAG)で構成される。アモルファスセラミックは、少なくとも8重量%のイットリウムを含むことができる。一実施形態では、アモルファスセラミックは、約8〜20重量%のイットリウム、20〜32重量%のアルミニウム及び60〜70重量%の酸素を含む。一実施形態では、アモルファスセラミックは、約9〜10重量%のイットリウム、約25〜26重量%のアルミニウム、及び約65〜66重量%の酸素を含む。代替的な実施形態では、メサ202は、Al、AlN、Y、又はAlONであってもよい。
更に、静電パック200の表面212は、静電パック200の外周220におけるリング218の形態で隆起したリップを含む。リング218は、楕円形メサ202と同じ又はほぼ同じである厚さ及び材料組成を有することができる。リング218は、メサ202が形成されるのと同時に堆積により形成することができる。また、リング218は、リング218が基板244に接触する丸いエッジを有することができる。代替的に、リング218は面取りされたエッジを有していてもよく、丸くも面取りもされていないエッジを有していてもよい。一実施形態では、リング218の内側エッジは丸く、リング218の外側エッジは丸くない。
楕円形メサ202及びリング218の頂部は、支持基板244の背面に接触する。楕円形メサ202は、基板244の背面と静電パック200の表面212との接触面積を最小化し、チャッキング及びデチャッキング操作を容易にする。また、ガス(例えば、He等)を基板と静電チャック200との間の領域に圧送し、基板244と静電チャック200との間の熱伝達を容易にすることもできる。リング218は、ガスが静電チャック200と基板244の間の空間から逃げることを防止するシーリングリングとして作用することができる。
図4は、一実施形態による、静電チャック400の断面側面図を示す。静電チャック400は、ボンド452(例えば、シリコンボンド等)により静電パック402に結合された熱伝導ベース464(例えば、金属ベース)を含む。ボンド452は、例えば、ポリジメチルシロキサン(PDMS)ボンドであってもよい。静電パック402は、1以上の埋設電極を備えた実質的にディスク形状の誘電セラミック体であってもよい。静電パック402は、バルク焼結セラミック(例えば、酸化アルミニウム(Al)、窒化アルミニウム(AlN)、酸化チタン(TiO)、窒化チタン(TiN)、炭化ケイ素(SiC)等)であってもよい。静電パック402は、1以上の埋設電極436、及び/又は、抵抗加熱要素438(例えば、内側抵抗加熱要素及び外側抵抗加熱要素)を含むことができる。石英リング446又は他の保護リングが、静電チャック400の部分を囲み、覆ってもよい。基板444は、静電チャック400上に下降し、1以上の電極436に信号を供給することにより静電気力を介して所定位置に保持されることができる。
熱伝導ベース464は、静電パック402に物理的支持を提供するように構成される。いくつかの実施形態では、熱伝導ベース464は、温度制御を提供するようにも構成される。熱伝導ベース464は、熱伝導性材料、例えば、アルミニウム又はステンレス鋼等の金属で製造することができる。熱伝導ベース464は、1以上の熱交換器(例えば、埋設加熱要素、チャネルを通って冷却及び加熱流体を循環させて熱交換を提供する流体チャネル、又はそれらの組み合わせ)を含むことができる。図1において、熱伝導ベース464は、コンジット470(例えば、内側コンジット及び外側コンジット)とも呼ばれる複数の流体チャンネルを含み、これらを介して流体が流れ、熱伝導ベース464と静電チャック400の他の要素と基板444の間の熱エネルギー交換により、熱伝導ベース464、静電チャック400及び基板444を加熱又は冷却することができる。熱伝導ベース464の温度は温度センサを用いてモニタすることができる。
更に、一実施形態では、静電チャック150は、静電パック402の表面の欠陥(例えば、マイクロクラック、小孔、ピンホール等)を充填する、及び/又は、カバーするセラミックコーティング496を含む。セラミックコーティング496は、カバーセラミックコーティング又はブランケットセラミックコーティングと呼ぶことができ、静電パック402の表面全体を覆うことができる。代替的に、静電チャック150はセラミックコーティング496を含まなくてもよい。一実施形態では、セラミックコーティング496は静電パック402と同一のセラミックで構成することができる。従って、静電パック402がAlNである場合、カバーセラミックコーティング496もまたAlNである。代替的に、静電パック402がAlである場合、セラミックコーティング496もAlである。代替的に、セラミックコーティングは、第2のセラミックコーティング494(以下で説明する)と同じ材料で構成されてもよい。一実施形態では、セラミックコーティング496は、1ミクロン未満から数十ミクロンの厚さを有する。
セラミックコーティング496が約5ミクロンまでの深さを有する可能性がある小孔を充填するように堆積されると、セラミックコーティング496は最初は少なくとも5ミクロンの厚さを有する可能性がある。しかしながら、セラミックコーティング496は、1ミクロン以下の厚さまで研磨することができる。いくつかの例では、セラミックコーティング496は、実質的に研磨されて除去され、これにより、セラミックコーティング496が充填された静電パック402の小孔内にのみ残るようにしてもよい。セラミックコーティング496は、2〜12マイクロインチの平均表面粗さ(Ra)に研磨することができる。一実施形態では、セラミックコーティング496は、約4〜8マイクロインチの表面粗さに研磨される。カバーセラミックコーティングを用いない場合、静電パック402の表面は、2〜12マイクロインチの表面粗さに研磨されてもよい。
一実施形態では、セラミックコーティング496(又は静電パック402)は、約4〜8マイクロインチの平均表面粗さに研磨される。粒子汚染を最小限にし、粒界をシールするために、より低い表面粗さが望ましい。一般に、表面粗さが小さいほど、発生する粒子汚染はより少ない。更に、セラミックコーティング494、及び/又は、静電パック402内の粒界をシールすることにより、セラミックコーティング494、及び/又は、静電パック402は、より耐腐食性になる。しかしながら、表面粗さが小さいほど、セラミックコーティング494、及び/又は、メサ492のその後の堆積のために存在する核生成サイトの数が増える。更に、表面粗さを低下させることにより、静電パック402上の次のコーティングとの接着強度が低下する。従って、セラミックコーティング496、及び/又は、静電パック402の表面が約4マイクロインチ未満に研磨されると、性能が低下することが予想外に発見された。
更に、静電チャック400はセラミックコーティング494を含み、これは実施形態では保護セラミックコーティングである。セラミックコーティング494はセラミックコーティング496の上に配置されてもよく、又は、カバーセラミックコーティングが堆積されない場合、静電パック402上に配置されてもよい。セラミックコーティング494は、腐食性ケミストリ(例えば、水素系プラズマ、塩素系プラズマ及びフッ素系プラズマ等)から静電パック402を保護する。セラミックコーティング494は、数ミクロンから数百ミクロンの厚さを有することができる。
一実施形態では、セラミックコーティング494は、約5〜30ミクロンの厚さを有する。セラミックコーティング494は高度にコンフォーマルなコーティングであってもよく、セラミックコーティング496、及び/又は、静電パック402の表面粗さに実質的に一致する表面粗さを有してもよい。セラミックコーティング496が堆積され、研磨された場合、セラミックコーティング494から小孔、ピンホール、マイクロクラック等を実質的になくすことができる。セラミックコーティング494は、Al、AlN、Y、YAl12(YAG)、及びAlONであってもよい。一実施形態では、セラミックコーティング494は、少なくとも8重量%のイットリウムを有するアモルファスYAGである。一実施形態では、セラミックコーティング494は、約9ギガパスカル(GPa)のビッカース硬さ(5Kgf)を有する。更に、セラミックコーティング494は、一実施形態では、約4.55g/cmの密度、約280MPaの曲げ強度、約2.0MPa・m1/2の破壊抵抗性、約160MPaのヤング率、約8.2×10-6/K(20〜900℃)の熱膨張係数、約12.9W/mKの熱伝導率、室温で1014Ω・cmより大きい体積抵抗率、及び、約0.2〜0.3の摩擦係数を有する。
上記で簡単に述べたように、セラミックコーティング494及びメサ492の構造は、粗さに関連する多くの核生成サイトに起因して、静電パック402、及び/又は、セラミックコーティング496の粗さに少なくとも部分的に依存する。静電パック402、及び/又は、セラミックコーティング496の表面粗さが約3マイクロインチ未満である場合、セラミックコーティング494が堆積される表面は、非常に多くの核生成サイトを有する。この多数の核生成サイトは、完全なアモルファスの構造をもたらす。しかしながら、セラミックコーティング494を約4〜8マイクロインチの表面粗さを有する表面上に堆積することにより、セラミックコーティング494は、純粋なアモルファス構造ではなく、多くの垂直繊維を有するアモルファス構造として成長し又は堆積される。
一実施形態では、メサ492及びリング493が、セラミックコーティング494上に堆積される。このような実施形態では、メサ492を、セラミックコーティング494と同じ材料で構成することができる。代替的には、メサ492及びリング493をセラミックコーティング494の前に堆積してもよい(従って、セラミックコーティング494の下にあってもよい)。このような実施形態では、メサ492及びリング493は、静電パック402と同じ材料であるか、又は、セラミックコーティング494と同じ材料であってもよい。いくつかの実施形態では、メサは約3〜15ミクロンの高さ(一実施形態では約10〜15)であり、直径約0.5〜3mmである。
静電チャック400が使用後に改修される場合、セラミックコーティング494の厚さは、実施形態では少なくとも20ミクロン、一実施形態では約20〜30ミクロンである可能性がある。静電チャック400を改修するため、メサ492を研削により除去し、セラミックコーティング494の一部を研削により更に除去することができる。研削の間に除去される材料の量は、静電チャック400の表面の湾曲の量に依存する可能性がある。例えば、メサが8ミクロンの厚さであり、静電チャック400に5ミクロンの湾曲がある場合、静電チャック400の表面から約15ミクロンを除去し、メサ492を完全に除去し、5ミクロンの湾曲を除去することができる。実施形態において、少なくとも20ミクロンの厚さは、下にある静電パック402が改修中に研削されないことを確実にすることができる。メサ及び湾曲が研削により除去されると、新しいセラミックコーティングがセラミックコーティング494の残りの部分に施され、本明細書で説明されるように新しいメサ492、及び/又は、他の表面フィーチャを新しいセラミックコーティングの上に形成することができる。
図5は、静電チャックを製造するためのプロセス500の一実施形態を示す。プロセス500は、本明細書の実施形態に記載された静電チャック(例えば、図4の静電チャック400等)のいずれをも製造するために実行することができる。プロセス500のブロック505において、初期のセラミックコーティング(カバーセラミックコーティングと呼ばれる)を静電チャックのセラミック体上に堆積し、セラミック体内の小孔、ピンホール、マイクロクラッキング等を充填する。カバーセラミックコーティングは、セラミック体と同じ材料で形成されてもよい。例えば、セラミック体及びカバーセラミックコーティングの両方は、AlN又はAlであってもよい。代替的に、カバーセラミックコーティングは、続いて堆積される保護セラミックコーティングと同じ材料で形成されてもよい。例えば、カバーセラミックコーティングと保護セラミックコーティングの両方は、YAG、Y、Al、AlN又はAlONであってもよい。
一実施形態において、カバーセラミックコーティングは、イオンアシスト堆積(IAD)により堆積される。例示的なIAD法は、イオンボンバードメント(例えば、蒸発(例えば、活性化反応性蒸発(ARE))とイオンボンバードメントの存在下でのスパッタリングを一体化し、本明細書に記載のコーティングを形成する堆積プロセスを含む。例示的なIADプロセスは、電子ビームIAD(EB−IAD)である。カバーセラミックコーティングを堆積するために用いることができる他のコンフォーマルで高密度の堆積プロセスは、低圧プラズマスプレー(LPPS)、プラズマスプレー物理気相堆積(PS−PVD)、プラズマスプレー化学気相堆積(PS−CVD)、化学気相堆積(CVD)、物理気相堆積(PVD)、スパッタリング、又は、これらの組み合わせを含む。他のコンフォーマル堆積技術を用いることもできる。
IADを用いてカバーセラミックコーティングを堆積する場合、カバーセラミックコーティングは、高エネルギー粒子(例えば、イオン等)の存在下で堆積材料の蓄積によりセラミック体上に形成される。堆積材料は、原子、イオン、ラジカル等を含むことができる。エネルギー粒子は、薄膜保護層が形成される際に、薄膜保護層に衝突して圧縮することができる。材料源は堆積材料のフラックスを提供する一方、エネルギー粒子源はエネルギー粒子のフラックスを提供し、その両方はIADプロセスを通してセラミック体に衝突する。エネルギー粒子源は、酸素又は他のイオン源であってもよい。また、エネルギー粒子源は、粒子生成源(例えば、プラズマ、反応性ガス、又は、堆積材料を提供する材料源)から来る不活性ラジカル、中性原子及びナノサイズ粒子のような他のタイプのエネルギー粒子を提供することができる。
堆積材料を提供するために用いられる材料源(例えば、ターゲット本体)は、カバーセラミックコーティングが構成されるのと同じセラミックに対応するバルク焼結セラミックであってもよい。他のターゲット材料を使用することもできる(例えば、粉末、焼成粉末、プリフォーム材料(例えば、グリーン体のプレス又はホットプレスにより形成されるもの)又は機械加工された物体(例えば溶融材料))。
IADは、1又は複数のプラズマ又はビーム(例えば、電子ビーム)を利用して、材料及びエネルギーイオン源を提供することができる。また、反応性種をプラズマ耐性コーティングの堆積中に提供することができる。一実施形態では、エネルギー粒子は、非反応性種(例えば、Ar)又は反応性種(例えば、O)の少なくとも1を含む。また、更なる実施形態では、反応性種(例えば、CO及びハロゲン(Cl、F、Br等)等)をプラズマ耐性コーティングの形成中に導入することができる。IADプロセスでは、エネルギー粒子を、他の堆積パラメータとは無関係に、エネルギーイオン(又は他の粒子)源により制御することができる。エネルギーイオンフラックスのエネルギー(例えば、速度)、密度及び入射角に応じて、セラミックコーティングの組成、構造、結晶配向及び粒度を操作することができる。調整可能な追加のパラメータは、作動距離及び入射角である。
コーティング後の熱処理を用いて、コーティング特性の向上を達成することができる。例えば、アモルファスコーティングをより高い耐侵食性を有する結晶性コーティングに変換するために用いることができる。別の例は、反応ゾーン又は遷移層の形成により、コーティングと基板の接着強度を改善することである。
IAD堆積カバーセラミックコーティングは、比較的低い膜応力(例えば、プラズマ溶射又はスパッタリングにより生じる膜応力と比較して)を有することができる。比較的低いフィルム応力は、セラミック体を非常に平坦に保ち、直径12インチの本体のセラミック体全体に亘って約50ミクロン未満の湾曲という結果を生じさせることができる。更に、IAD堆積カバーセラミックコーティングは、1%未満の多孔性を有し、いくつかの実施形態では、約0.1%未満の多孔性を有することができる。従って、IAD堆積カバーセラミックコーティングは、高密度な構造である。更に、IAD堆積カバーセラミックコーティングは、低いクラック密度及びセラミック体に対する高い接着性を有することができる。
セラミック体は、前述した静電パックであってもよい。セラミック体は、埋設電極、及び/又は、埋設加熱要素を形成するような処理を受けていてもよい。セラミック体の下面は、シリコンボンドにより熱伝導性ベースに接合されてもよい。代替的な実施形態では、ブロック505のオペレーションは実行されない。
ブロック510において、セラミック体の表面は研磨され、約2〜12マイクロインチの表面粗さを有する研磨面を形成する。一実施形態では、セラミック体の表面は、約4〜8マイクロインチの平均表面粗さ(Ra)に研磨される。研磨は、初期のセラミックコーティングを減少させることができ、及び/又は、小孔、ピンホール等に充填された初期セラミックコーティングの一部を除き、初期セラミックコーティングをほぼ完全に除去することができる。
ブロック520において、セラミックコーティング(例えば、保護セラミックコーティング)が、セラミック体の研磨された表面上に(例えば、初期セラミックコーティング上に)堆積又は成長する。一実施形態では、セラミックコーティングは、YAG、Y、Al、AlN又はAlONである。セラミックコーティングは、ブロック505を参照して説明された堆積技術のいずれかにより堆積することができるコンフォーマルコーティングであってもよい。例えば、セラミックコーティングは、IAD(例えば、EB−IAD等)を実行することにより堆積することができる。セラミックコーティングは、数百ミクロンまでの厚さに堆積することができる。一実施形態では、セラミックコーティングは、約5〜30ミクロンの厚さに堆積される。一実施形態では、セラミックコーティングは、約5〜10ミクロンの厚さに堆積される。一実施形態では、セラミックコーティングは、約20〜30ミクロンの厚さに堆積される。
ブロック520において、ネガマスクがコーティングされたセラミック体上に配置される。ネガマスクは、ディスク状の形状を有する円形マスクであってもよい。ネガマスクは、セラミック体の直径より僅かに小さい直径を有することができる。更に、ネガマスクは、多数の貫通穴を含むことができ、各貫通穴は、セラミック体上に形成されるメサのネガである。ネガマスクについては、図6A〜図6C及び図7を参照して以下に詳細に説明する。一実施形態において、ネガマスクは、接着剤によりセラミック体に結合される(例えば、セラミック体に接着される)。代替的に、ネガマスクは、機械的なホルダによりセラミック体の所定の位置に保持されてもよい。
ブロック525において、セラミック材料はネガマスクの穴を介して堆積され、丸いエッジを備えたメサを形成する。更に、セラミック材料は、セラミック体の周縁部でセラミック体の露出部分上に堆積され、その上にリングを形成することができる。リングは、メサと同時に形成されてもよい。メサ及びリングは、コンフォーマルで、高密度であってもよく、上記ブロック505を参照して説明された堆積技術のいずれかにより堆積してもよい。例えば、メサ及びリングは、IAD(例えば、EB−IAD等)を用いて堆積してもよい。
一実施形態では、マスクの穴は、フレア状に広がった上端部及びフレア状の下端部を有する。フレア状の上端部は、材料を穴に流入させて堆積速度を高めるための漏斗として作用する。フレア状の下端部は、穴のアスペクト比(例えば、1:2〜2:1のアスペクト比)と共に、堆積されるメサ、及び/又は、堆積されるリングの形状を制御するように作用することができる。例えば、フレア状の下端部と組み合わされたアスペクト比は、堆積されるメサが丸いエッジ、及び/又は、トップハットプロファイルを有することを可能にする。更に、フレア状の下端部は、メサが穴の壁に接触することを防止する。これにより、メサがマスクに結合すること、及び、マスクがセラミック体に結合することを防ぐことができる。
一実施形態において、リングの内側エッジは丸いが、リングの外側エッジは丸くない。これは、ネガマスクの形状により、リングの内側エッジは堆積中に丸められるが、マスクのいかなる部分もリングの外側エッジにおいて堆積形状を制御しないからである。代替的に、リングのエッジが丸くなくてもよい。
ブロック530において、マスクがセラミック体から除去される。ブロック535において、メサ及びリングが研磨される。メサを研磨するためにソフト研磨プロセスを実行することができる。ソフト研磨は、少なくとも部分的にメサの壁及びメサの頂部を研磨することができる。
方法500では、保護セラミックコーティングを、メサ及びリングの堆積前に堆積した。しかしながら、代替的な実施形態では、メサ及びリングを保護セラミックコーティング前に堆積することができ、保護セラミックコーティングをメサ上に堆積することができる。保護セラミックコーティングは、高度にコンフォーマルであってもよく、従って、メサ及びリングの上で保護セラミックコーティングが堆積した後であっても、メサ及びリングの形状は変更されない可能性がある。
図6A〜図6Cは、静電チャック640の表面上に丸いエッジを備えた円形メサを形成するためのマスク610を介したセラミック材料の堆積を示す。マスク615は複数の穴615を含む。一実施形態では、マスクの厚さは約1〜3mmである。一実施形態では、マスクの厚さは約2mmである。一実施形態では、穴は約0.5〜3mmの直径を有する円形穴である。一実施形態では、穴は約0.5〜2mmの直径を有する。一実施形態では、穴は約1mmの直径を有する。一実施形態では、穴は等しい大きさである。代替的に、穴は異なる直径を有してもよい。一実施形態では、穴は、1:2〜2:1のアスペクト比(幅の高さに対する比)を有する。
図示されるように、いくつかの実施形態では、穴はフレア状の上端部620とフレア状の下端部625を有する。フレア状の端部は、穴の最も狭い領域での穴の直径よりも約30〜70%大きい直径を有することができる(例えば、保持中に垂直にセンタリングされる)。一実施形態では、フレア状の端部は、最も狭い領域での穴の直径よりも約50%大きい直径を有する。上端部及び下端部は、同じ形状及びサイズのフレアを有することができる。代替的に、上端部は、下端部のフレアとは異なるサイズ、及び/又は、形状のフレアを有することができる。
マスク610は静電チャック640上に配置され、静電チャックはその表面上に堆積された保護セラミック層635を含む。図6Aでは、丸いエッジを備えた小さなメサ630が堆積された。図6Bでは、堆積は継続し、小さなメサ630が丸いエッジを備えた大きなメサ631となった。図6Cでは、堆積が継続して完了し、メサ632は最終サイズに達した。特に、メサ632はフレア状の下端部625により穴615の壁に接触しない。
図7は、一実施形態による、静電チャックのセラミック体705上にメサ及びリングを形成するために用いられるマスク710の平面図を示す。図示のように、マスク710は、セラミック体705の第2の直径よりも小さい第1の直径を有するネガマスクである。従って、堆積プロセスにより、マスク710により覆われていないセラミック体であるセラミック体の周縁部にリングを形成することができる。更に、マスク710は多くの穴715を含む。堆積プロセスにより、メサが穴715の各々に形成される。
上記の説明は、本発明のいくつかの実施形態の良好な理解を提供するために、多数の特定の詳細(例えば、特定のシステム、コンポーネント、方法等)を述べている。しかしながら、当業者には、本発明の少なくともいくつかの実施形態は、これらの特定の詳細なしに実施することができることは明らかであろう。他の例では、本発明を不必要に不明瞭にすることを避けるために、周知の要素又は方法は詳細には記載されず、又は、単純なブロック図形式で示されている。従って、記載された特定の詳細は単なる例示である。特定の実施形態はこれらの例示的な詳細を変えることができ、依然として本発明の範囲内にあると考えられる。
本明細書を通して、「一(one)実施形態」又は「一(a)実施形態」は、実施形態に関連して説明した特定のフィーチャ、構造又は特性が少なくとも1の実施形態に含まれることを意味する。従って、本明細書を通じて「一(one)実施形態」又は「一(a)実施形態」という表現が出た場合、必ずしも全て同じ実施形態を指しているとは限らない。更に、「又は」という用語は、排他的な「又は」ではなく包括的な「又は」を意味することを意図している。「約」又は「およそ」という用語が本明細書で用いられる場合、提示される名目値が±10%以内で正確であること意味する。
本明細書の方法のオペレーションは特定の順序で示され説明されているが、各方法のオペレーションの順序は変えられてもよく、これによって、特定のオペレーションを逆の順序で実行し、又は、特定のオペレーション、特に少なくともその一部を他のオペレーションと並行して実行することができる。別の実施形態では、個別オペレーションのインストラクション又はサブオペレーションは、間欠的、及び/又は、交互の方法であってもよい。一実施形態では、複数の金属ボンディングオペレーションが単一ステップとして実行される。
上記の説明は例示的なものであり、限定的でないことを意図していると理解すべきである。上記の説明を読み、理解すれば、当業者には他の多くの実施形態は明らかである。従って、本発明の範囲は、添付の特許請求の範囲を参照して、このような特許請求の範囲が権利を与えられる均等物の全範囲とともに決定されるべきである。

Claims (14)

  1. 静電チャックであって、
    埋設電極を含むセラミック体と、
    セラミック体の表面上の第1セラミックコーティングであって、セラミック体内の小孔を充填する第1セラミックコーティングと、
    第1セラミックコーティング上の第2セラミックコーティングと、
    第2セラミックコーティング上の複数の楕円形メサを備え、複数の楕円形のメサは丸いエッジを有する静電チャック。
  2. セラミック体は、AlN又はAlを含み、
    セラミックコーティングは、Al、AlN、Y、YAl12(YAG)、及びAlONからなる群からの材料を含み、
    複数の楕円形メサの各々は前記材料を含む請求項1記載の静電チャック。
  3. 複数の楕円形メサは複数の円形メサを含む請求項1記載の静電チャック。
  4. セラミックコーティングは約5〜30ミクロンの厚さを有し、
    複数の円形メサは、約0.5〜2.0mmの直径及び約2〜20ミクロンの厚さを有する請求項3記載の静電チャック。
  5. 第1セラミックコーティングはセラミック体と同一材料を含む請求項1記載の静電チャック。
  6. セラミックコーティングは約4〜10マイクロインチの平均表面粗さを有する請求項1記載の静電チャック。
  7. セラミックコーティングは、イットリウム、アルミニウム及び酸素を含むアモルファスセラミックを含み、アモルファスセラミックの少なくとも8%がイットリウムである請求
    項1記載の静電チャック。
  8. 研磨する前に静電チャックのセラミック体上に第1セラミックコーティングを堆積させる工程であって、第1セラミックコーティングはセラミック体内の小孔を充填している工程と、
    第1セラミックコーティングを研磨して、研磨された表面を形成する工程と、
    研磨された表面上に第2セラミックコーティングを堆積し、コーティングされたセラミック体を製造する工程と、
    複数の楕円形の穴を含むマスクをコーティングされたセラミック体上に配置する工程と、
    マスクの複数の楕円形の穴を介してセラミック材料を堆積し、コーティングされたセラミック体上に複数の楕円形メサを形成する工程であって、複数の楕円形メサは丸いエッジを有する工程と、
    コーティングされたセラミック体からマスクを除去する工程と、
    複数の楕円形メサを研磨する工程を含む方法。
  9. 第1セラミックコーティングはセラミック体と同一材料を含む請求項8記載の方法。
  10. セラミック体はAlN又はAlを含み、
    セラミックコーティングは、Al、AlN、Y、YAl12(YAG)、及びAlONからなる群からの材料を含み、
    複数の楕円形メサの各々は前記材料を含む請求項8記載の方法。
  11. セラミックコーティングは、イットリウム、アルミニウム及び酸素を含むアモルファスセラミックを含み、アモルファスセラミックの少なくとも8%がイットリウムである請求項8記載の方法。
  12. マスクの複数の楕円形の穴は、上端部及び下端部がフレア状に広がった複数の円形の穴である請求項8記載の方法。
  13. 複数の楕円形メサは、直径が約0.5〜2.0mmであり、厚さが約2〜20ミクロンの複数の円形メサである請求項12記載の方法。
  14. セラミック体の表面を研磨する工程は、表面を約4〜10マイクロインチの平均表面粗さに研磨する工程を含む請求項8記載の方法。
JP2018525446A 2015-11-17 2016-06-01 堆積された表面フィーチャを有する基板支持アセンブリ Active JP6860564B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/944,018 2015-11-17
US14/944,018 US10020218B2 (en) 2015-11-17 2015-11-17 Substrate support assembly with deposited surface features
PCT/US2016/035306 WO2017087029A1 (en) 2015-11-17 2016-06-01 Substrate support assembly with deposited surface features

Publications (2)

Publication Number Publication Date
JP2018536287A JP2018536287A (ja) 2018-12-06
JP6860564B2 true JP6860564B2 (ja) 2021-04-14

Family

ID=58690319

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018525446A Active JP6860564B2 (ja) 2015-11-17 2016-06-01 堆積された表面フィーチャを有する基板支持アセンブリ

Country Status (6)

Country Link
US (4) US10020218B2 (ja)
JP (1) JP6860564B2 (ja)
KR (2) KR20230107413A (ja)
CN (3) CN115527914A (ja)
TW (3) TWI728977B (ja)
WO (1) WO2017087029A1 (ja)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9999947B2 (en) * 2015-05-01 2018-06-19 Component Re-Engineering Company, Inc. Method for repairing heaters and chucks used in semiconductor processing
DE102015007216B4 (de) * 2015-06-03 2023-07-20 Asml Netherlands B.V. Verfahren zur Herstellung einer Halteplatte, insbesondere für einen Clamp zur Waferhalterung, Verfahren zur Herstellung einer Haltevorrichtung zur Halterung eines Bauteils, Halteplatte und Haltevorrichtung
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR102474583B1 (ko) * 2016-07-25 2022-12-06 교세라 가부시키가이샤 시료 유지구
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10654147B2 (en) 2017-10-17 2020-05-19 Applied Materials, Inc. Polishing of electrostatic substrate support geometries
CN110000696A (zh) * 2017-12-29 2019-07-12 比亚迪股份有限公司 耐磨治具及其制备方法
KR102140725B1 (ko) * 2018-01-22 2020-08-04 상구정공(주) 기판 지지장치 및 이의 제작방법
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
CN111902917A (zh) * 2018-03-22 2020-11-06 应用材料公司 对用于半导体器件制造的处理部件的陶瓷表面进行激光抛光
CN108538776B (zh) * 2018-03-29 2021-11-16 北京北方华创微电子装备有限公司 静电卡盘及其制造方法
US11551962B2 (en) 2018-03-30 2023-01-10 Sumitomo Osaka Cement Co., Ltd. Ceramic substrate and susceptor
CN111954921A (zh) 2018-04-09 2020-11-17 应用材料公司 用于图案化应用的碳硬掩模及相关的方法
US20200013590A1 (en) * 2018-07-06 2020-01-09 Tokyo Electron Limited Protective layer for chucks during plasma processing to reduce particle formation
US11133211B2 (en) * 2018-08-22 2021-09-28 Lam Research Corporation Ceramic baseplate with channels having non-square corners
US11114330B2 (en) 2018-08-24 2021-09-07 Axcelis Technologies, Inc. Substrate support having customizable and replaceable features for enhanced backside contamination performance
CN110896045B (zh) * 2018-09-12 2022-12-30 中微半导体设备(上海)股份有限公司 一种升举顶针组件,静电夹盘及其所在的处理装置
WO2020149936A1 (en) * 2019-01-18 2020-07-23 Applied Materials, Inc. Heated pedestal design for improved heat transfer and temperature uniformity
SG11202112558TA (en) * 2019-05-16 2021-12-30 Applied Materials Inc Methods and apparatus for minimizing substrate backside damage
WO2020261990A1 (ja) * 2019-06-28 2020-12-30 日本碍子株式会社 ウエハ載置台及びその製法
KR20220037456A (ko) * 2019-07-01 2022-03-24 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 결합 재료들을 최적화하는 것에 의한 막 특성들의 조절
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
EP4256100A1 (en) * 2020-12-02 2023-10-11 Oerlikon Surface Solutions AG, Pfäffikon Improved plasma resistant coatings for electrostatic chucks
US11699611B2 (en) * 2021-02-23 2023-07-11 Applied Materials, Inc. Forming mesas on an electrostatic chuck
US11955361B2 (en) * 2021-04-15 2024-04-09 Applied Materials, Inc. Electrostatic chuck with mesas
US20220351951A1 (en) * 2021-04-29 2022-11-03 Applied Materials, Inc. Substrate support apparatus, methods, and systems having elevated surfaces for heat transfer
US20230114751A1 (en) * 2021-10-08 2023-04-13 Applied Materials, Inc. Substrate support
US20230380016A1 (en) * 2022-05-17 2023-11-23 Applied Materials, Inc. High-temperature substrate support assembly with failure protection
KR102613639B1 (ko) 2023-09-11 2023-12-14 주식회사 티엠씨 세라믹재 부품의 표면처리방법

Family Cites Families (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
US4609563A (en) 1985-02-28 1986-09-02 Engelhard Corporation Metered charge system for catalytic coating of a substrate
FR2583220B1 (fr) 1985-06-11 1987-08-07 Thomson Csf Procede de realisation d'au moins deux metallisations d'un composant semi-conducteur, recouvertes d'une couche de dielectrique et composant obtenu par ce dielectrique
FR2631165B1 (fr) 1988-05-05 1992-02-21 Moulene Daniel Support conditionneur de temperature pour petits objets tels que des composants semi-conducteurs et procede de regulation thermique utilisant ce support
ES2054357T3 (es) 1989-05-08 1994-08-01 Philips Nv Aparato y metodo para tratar substratos planos bajo una presion reducida.
JP2638649B2 (ja) 1989-12-22 1997-08-06 東京エレクトロン株式会社 静電チャック
US5108025A (en) 1991-05-20 1992-04-28 Gte Laboratories Incorporated Ceramic-metal composite article and joining method
US5113472A (en) 1991-05-28 1992-05-12 Allied-Signal Inc. Optical waveguides of aluminum garnet
JP3271352B2 (ja) 1993-01-13 2002-04-02 ソニー株式会社 静電チャック及びその作製方法並びに基板処理装置及び基板搬送装置
KR100260587B1 (ko) 1993-06-01 2000-08-01 히가시 데쓰로 정전척 및 그의 제조방법
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
JPH07304133A (ja) * 1994-05-13 1995-11-21 Shin Etsu Chem Co Ltd セラミックス基板およびその製造方法
US5548470A (en) 1994-07-19 1996-08-20 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5886863A (en) 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
WO1997039607A1 (fr) 1996-04-12 1997-10-23 Hitachi, Ltd. Dispositif de traitement au plasma
JP3160229B2 (ja) 1997-06-06 2001-04-25 日本エー・エス・エム株式会社 プラズマcvd装置用サセプタ及びその製造方法
US6177023B1 (en) 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
US6258227B1 (en) * 1999-03-13 2001-07-10 Applied Materials, Inc. Method and apparatus for fabricating a wafer spacing mask on a substrate support chuck
JP2000306986A (ja) 1999-04-19 2000-11-02 Nippon Eng Kk 静電チャック
JP2001077185A (ja) 1999-09-01 2001-03-23 Shin Etsu Chem Co Ltd 静電チャック及びその製造方法
CN1207939C (zh) 1999-09-29 2005-06-22 东京电子株式会社 多区电阻加热器
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
JP2002057207A (ja) 2000-01-20 2002-02-22 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびその製造方法ならびに半導体製造装置
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
WO2001058828A1 (fr) 2000-02-07 2001-08-16 Ibiden Co., Ltd. Substrat ceramique pour dispositif de production ou d'examen de semi-conducteurs
JP2001253777A (ja) 2000-03-13 2001-09-18 Ibiden Co Ltd セラミック基板
JP2001308075A (ja) 2000-04-26 2001-11-02 Toshiba Ceramics Co Ltd ウェーハ支持体
US6444957B1 (en) 2000-04-26 2002-09-03 Sumitomo Osaka Cement Co., Ltd Heating apparatus
US6736942B2 (en) 2000-05-02 2004-05-18 Johns Hopkins University Freestanding reactive multilayer foils
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
DE60136171D1 (de) 2000-05-02 2008-11-27 Univ Johns Hopkins Verfahren zur herstellung einer reaktiven mehrschichtfolie sowie daraus resultierendes produkt
US6503368B1 (en) 2000-06-29 2003-01-07 Applied Materials Inc. Substrate support having bonded sections and method
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6579818B2 (en) 2000-08-28 2003-06-17 Kyocera Corporation Glass ceramic sintered product
WO2002047129A1 (fr) 2000-12-05 2002-06-13 Ibiden Co., Ltd. Substrat ceramique pour dispositifs de production et de controle de semi-conducteurs et procede de production dudit substrat ceramique
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
JP3626933B2 (ja) * 2001-02-08 2005-03-09 東京エレクトロン株式会社 基板載置台の製造方法
JP2005033221A (ja) * 2001-02-08 2005-02-03 Tokyo Electron Ltd 基板載置台および処理装置
JP3893888B2 (ja) 2001-03-19 2007-03-14 株式会社日立製作所 プラズマ処理装置
US20030010292A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Electrostatic chuck with dielectric coating
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
CN1484855A (zh) 2001-08-10 2004-03-24 揖斐电株式会社 陶瓷接合体
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
KR100427459B1 (ko) 2001-09-05 2004-04-30 주성엔지니어링(주) 아크 방지용 정전척
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
JP2003224180A (ja) 2002-01-28 2003-08-08 Kyocera Corp ウエハ支持部材
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US6780787B2 (en) 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
JP4107643B2 (ja) 2002-07-23 2008-06-25 日本碍子株式会社 接合体の製造方法
US6838646B2 (en) 2002-08-22 2005-01-04 Sumitomo Osaka Cement Co., Ltd. Susceptor device
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040055709A1 (en) 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
US6682820B1 (en) 2002-10-31 2004-01-27 Saint-Gobain Ceramics & Plastics, Inc. Recession resistant coated ceramic part
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
JP3967278B2 (ja) 2003-03-07 2007-08-29 日本碍子株式会社 接合部材及び静電チャック
US20040187787A1 (en) 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
DE10317792A1 (de) 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
US20050016684A1 (en) 2003-07-25 2005-01-27 Applied Materials, Inc. Process kit for erosion resistance enhancement
US7072165B2 (en) 2003-08-18 2006-07-04 Axcelis Technologies, Inc. MEMS based multi-polar electrostatic chuck
US7072166B2 (en) 2003-09-12 2006-07-04 Axcelis Technologies, Inc. Clamping and de-clamping semiconductor wafers on a J-R electrostatic chuck having a micromachined surface by using force delay in applying a single-phase square wave AC clamping voltage
JP4189373B2 (ja) 2003-10-31 2008-12-03 株式会社トクヤマ 窒化アルミニウム接合体及びその製造方法
JP2005243243A (ja) 2004-02-24 2005-09-08 Ngk Insulators Ltd 加熱方法
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
TWI290875B (en) 2004-02-28 2007-12-11 Applied Materials Inc Methods and apparatus for transferring a substrate carrier within an electronic device manufacturing facility
JP4349952B2 (ja) 2004-03-24 2009-10-21 京セラ株式会社 ウェハ支持部材とその製造方法
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
KR20050121913A (ko) 2004-06-23 2005-12-28 삼성전자주식회사 베이크 장치
TWI267940B (en) 2004-06-28 2006-12-01 Kyocera Corp Electrostatic chuck
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
JP4806179B2 (ja) 2004-10-08 2011-11-02 古河スカイ株式会社 ヒータプレートの製造方法
JP4787568B2 (ja) * 2004-11-16 2011-10-05 日本碍子株式会社 接合剤、窒化アルミニウム接合体及びその製造方法
KR20060081562A (ko) * 2005-01-10 2006-07-13 (주)엔트로피 정전척의 제조방법
US7718007B2 (en) 2005-03-17 2010-05-18 Tokyo Electron Limited Substrate supporting member and substrate processing apparatus
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
JP4657824B2 (ja) * 2005-06-17 2011-03-23 東京エレクトロン株式会社 基板載置台、基板処理装置および基板載置台の製造方法
JP5478065B2 (ja) 2005-08-17 2014-04-23 アプライド マテリアルズ インコーポレイテッド ロウ付けプレートおよび抵抗ヒーターを有する基板サポート
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US20070090516A1 (en) 2005-10-18 2007-04-26 Applied Materials, Inc. Heated substrate support and method of fabricating same
JP4727434B2 (ja) 2006-01-18 2011-07-20 住友大阪セメント株式会社 静電チャック装置
JP2007317772A (ja) 2006-05-24 2007-12-06 Shinko Electric Ind Co Ltd 静電チャック装置
US20080016684A1 (en) 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080006204A1 (en) 2006-07-06 2008-01-10 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
JP2008016709A (ja) 2006-07-07 2008-01-24 Shinko Electric Ind Co Ltd 静電チャックおよびその製造方法
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US20080062610A1 (en) 2006-08-10 2008-03-13 Shinji Himori Electrostatic chuck device
CN100468619C (zh) 2006-08-23 2009-03-11 北京北方微电子基地设备工艺研究中心有限责任公司 刻蚀设备的控温装置及其控制晶片温度的方法
US20090186195A1 (en) 2006-09-08 2009-07-23 Reactive Nanotechnologies, Inc. Reactive Multilayer Joining With Improved Metallization Techniques
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
US7773650B2 (en) 2006-12-28 2010-08-10 Nichia Corporation Nitride semiconductor laser element
JP5053696B2 (ja) 2007-04-26 2012-10-17 信越化学工業株式会社 静電チャック
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
JP5135915B2 (ja) 2007-06-28 2013-02-06 東京エレクトロン株式会社 載置台構造及び熱処理装置
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
TWI459851B (zh) 2007-09-10 2014-11-01 Ngk Insulators Ltd heating equipment
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
JP5236927B2 (ja) 2007-10-26 2013-07-17 信越化学工業株式会社 耐腐食性積層セラミックス部材
JP5080954B2 (ja) 2007-12-17 2012-11-21 日本発條株式会社 ヒータユニットとその製造方法
US8129029B2 (en) * 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
KR20090071848A (ko) * 2007-12-28 2009-07-02 주식회사 동부하이텍 정전척(esc) 패드 재생용 마스크
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US20090302002A1 (en) 2008-02-29 2009-12-10 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
KR20090097229A (ko) 2008-03-11 2009-09-16 전영재 반도체 및 lcd 제조용 정전척
JP4450106B1 (ja) 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
TWI475594B (zh) * 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
JP5025576B2 (ja) 2008-06-13 2012-09-12 新光電気工業株式会社 静電チャック及び基板温調固定装置
US9543181B2 (en) 2008-07-30 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Replaceable electrostatic chuck sidewall shield
EP2321846A4 (en) 2008-08-12 2012-03-14 Applied Materials Inc ELECTROSTATIC FODDER ASSEMBLY
JP5248242B2 (ja) 2008-08-28 2013-07-31 日本発條株式会社 異材接合体の製造方法およびその方法による異材接合体
US7929269B2 (en) 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) * 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
KR100997374B1 (ko) * 2009-08-21 2010-11-30 주식회사 코미코 정전척 및 이의 제조 방법
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
US8597448B2 (en) 2009-12-29 2013-12-03 Novellus Systems, Inc. Electrostatic chucks and methods for refurbishing same
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
US8619406B2 (en) 2010-05-28 2013-12-31 Fm Industries, Inc. Substrate supports for semiconductor applications
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
CN103493194B (zh) 2011-06-02 2016-05-18 应用材料公司 静电夹盘的氮化铝电介质修复
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
TWM431174U (en) * 2011-12-07 2012-06-11 Chung Shan Inst Of Science Shade used for plasma melt-spraying
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
US20130273313A1 (en) * 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
JP2014027207A (ja) * 2012-07-30 2014-02-06 Hitachi Chemical Co Ltd 誘電体及びこの誘電体を用いた静電チャック
US9916998B2 (en) * 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
CN103021773B (zh) * 2012-12-31 2016-03-16 中微半导体设备(上海)有限公司 多孔复合陶瓷部件、其制备方法以及等离子体处理腔室
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
JP6070827B2 (ja) 2013-03-29 2017-02-01 住友大阪セメント株式会社 静電チャック装置
JP6239296B2 (ja) * 2013-07-19 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置のステージ製造方法
TWM503654U (zh) * 2014-10-21 2015-06-21 Leading Prec Inc 層疊式靜電吸附盤及其半導體設備
TWI548753B (zh) * 2014-12-30 2016-09-11 財團法人工業技術研究院 組成物及應用其製成之塗層結構
FR3042137B1 (fr) * 2015-10-07 2017-12-01 Safran Piece de turbomachine revetue d'un revetement ceramique de protection, procede de fabrication et d'utilisation d'une telle piece
US10020218B2 (en) * 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features

Also Published As

Publication number Publication date
TWI728977B (zh) 2021-06-01
KR20230107413A (ko) 2023-07-14
JP2018536287A (ja) 2018-12-06
CN108352354A (zh) 2018-07-31
CN115527915A (zh) 2022-12-27
US20200243368A1 (en) 2020-07-30
US10679885B2 (en) 2020-06-09
WO2017087029A1 (en) 2017-05-26
US20170140970A1 (en) 2017-05-18
KR20180071409A (ko) 2018-06-27
TW202135221A (zh) 2021-09-16
TWI774205B (zh) 2022-08-11
CN115527914A (zh) 2022-12-27
KR102554268B1 (ko) 2023-07-10
US11476146B2 (en) 2022-10-18
TW202246198A (zh) 2022-12-01
US20220254672A1 (en) 2022-08-11
CN108352354B (zh) 2022-10-14
TWI809980B (zh) 2023-07-21
US11769683B2 (en) 2023-09-26
US20180301364A1 (en) 2018-10-18
US10020218B2 (en) 2018-07-10
TW201719799A (zh) 2017-06-01

Similar Documents

Publication Publication Date Title
JP6860564B2 (ja) 堆積された表面フィーチャを有する基板支持アセンブリ
TWI795981B (zh) 稀土氧化物系抗電漿腐蝕薄膜塗層
US9916998B2 (en) Substrate support assembly having a plasma resistant protective layer
CN107916399B (zh) 稀土氧化物的顶部涂层的离子辅助沉积
TWI751269B (zh) 用於腔室蓋與噴嘴上之稀土氧化物系塗層的離子輔助沉積
US9358702B2 (en) Temperature management of aluminium nitride electrostatic chuck
TW201601937A (zh) 用於高溫應用的耐電漿腐蝕薄膜塗層

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190509

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200629

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200714

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20201013

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201214

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210302

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210326

R150 Certificate of patent or registration of utility model

Ref document number: 6860564

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250