CN115527914A - 具有沉积表面特征结构的基板支撑组件 - Google Patents

具有沉积表面特征结构的基板支撑组件 Download PDF

Info

Publication number
CN115527914A
CN115527914A CN202211186117.4A CN202211186117A CN115527914A CN 115527914 A CN115527914 A CN 115527914A CN 202211186117 A CN202211186117 A CN 202211186117A CN 115527914 A CN115527914 A CN 115527914A
Authority
CN
China
Prior art keywords
ceramic coating
chamber component
coated chamber
mesas
protective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202211186117.4A
Other languages
English (en)
Inventor
小温德尔·格伦·博伊德
维贾伊·D·帕克赫
郭塘坊
丁震文
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN115527914A publication Critical patent/CN115527914A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Adjustment Of The Magnetic Head Position Track Following On Tapes (AREA)

Abstract

一种制造静电卡盘的方法,包括抛光静电卡盘的陶瓷主体的表面,以产生抛光表面,并将陶瓷涂层沉积到所述陶瓷主体的所述抛光表面上,以产生经涂布的陶瓷主体。所述方法进一步包括在所述经涂布的陶瓷主体之上设置掩模,所述掩模包括多个椭圆形孔,并通过所述掩模的所述多个椭圆形孔沉积陶瓷材料,以在所述经涂布的陶瓷主体上形成多个椭圆形台面,其中所述多个椭圆形台面具有圆边。然后从所述经涂布的陶瓷主体移除所述掩模,并抛光所述多个椭圆形台面。

Description

具有沉积表面特征结构的基板支撑组件
本申请是申请日为2016年6月1日申请的申请号为201680067355.X,并且发明名称为“具有沉积表面特征结构的基板支撑组件”的发明专利申请的分案申请。
技术领域
本发明的实施方式大体涉及具有带有沉积表面特征结构的耐等离子体保护层的基板支撑组件,诸如静电卡盘(electrostatic chuck)。
背景技术
在半导体工业中,装置是藉由数种产生尺寸不断减小的结构的制造工艺制造的。一些制造工艺(诸如等离子体蚀刻和等离子体清洁工艺)使诸如静电卡盘(ESC)之类的基板支撑件暴露(例如,在晶片处理的过程中暴露ESC的边缘及在腔室清洁的过程中暴露整个ESC)于高速等离子体流,以蚀刻或清洁基板。等离子体可能是高腐蚀性的,而且可能会腐蚀处理腔室及其他暴露于等离子体的表面。
ESC通常具有藉由将正像掩模(positive mask)放在ESC的表面上、然后通过正像掩模喷砂处理(bead blasting)ESC的暴露部分所形成的表面特征结构。正像掩模是含有将保持在晶片上的图案的精确复制本的掩模。喷砂处理工艺导致ESC表面中的尖锐边缘和裂纹。此外,形成的表面特征结构之间的空间(被称为谷)具有高粗糙度,该粗糙度会提供捕集颗粒的凹坑(trap)及会在热膨胀过程中断裂的尖峰。陷入的颗粒和断裂的尖峰会在处理过程中在保持的晶片背侧上造成颗粒污染。
发明内容
在一个实施方式中,一种静电卡盘包括导热底座及粘接于所述导热底座的陶瓷主体,所述陶瓷主体具有嵌入式电极。保护性陶瓷涂层覆盖所述陶瓷主体的表面。使多个沉积的椭圆形台面(elliptical mesa)分布于所述陶瓷主体的所述表面之上。这些椭圆形台面每个都具有圆边(rounded edge)。
在一个实施方式中,一种制造静电卡盘的方法包括抛光所述静电卡盘的陶瓷主体的表面,以产生抛光表面。所述方法进一步包括将保护性陶瓷涂层沉积到所述陶瓷主体的所述抛光表面上,以产生经涂布的陶瓷主体。所述方法进一步包括在所述经涂布的陶瓷主体之上设置掩模,所述掩模包括多个椭圆形孔(例如圆形孔)。所述方法进一步包括通过所述掩模的所述多个椭圆形孔沉积陶瓷材料,以在所述经涂布的陶瓷主体上形成多个椭圆形台面,其中所述多个椭圆形台面(例如圆形台面)具有圆边。然后移除所述掩模,并抛光所述多个椭圆形台面。
在一个实施方式中,一种用于将椭圆形台面沉积到静电卡盘的表面上的圆形掩模包括具有第一直径的主体,所述第一直径小于所述静电卡盘的第二直径,所述掩模将被放置于所述静电卡盘上。所述圆形掩模进一步包括在所述主体中的多个椭圆形通孔,这些椭圆形通孔具有大约1∶2至大约2∶1的深宽比。至少一个椭圆形孔具有喇叭形(flared)顶端和喇叭形底端,其中所述喇叭形顶端用于通过所述椭圆形孔注入颗粒到所述静电卡盘上,以在所述静电卡盘上形成椭圆形台面,而且其中所述喇叭形底端防止所述椭圆形台面接触所述掩模。
附图说明
本发明藉由举例的方式、而不是藉由限制的方式在附图的图式中进行说明,在这些附图中类似的元件符号指示类似的元件。应当指出的是,在本公开内容中不同的提及“一”或“一个”实施方式未必是指相同的实施方式,而且这样的提及意指至少一个。
图1绘示处理腔室的一个实施方式的截面侧视图;
图2A绘示静电卡盘的表面上的椭圆形台面的例示图案的俯视图;
图2B绘示图2A的静电卡盘的垂直截面图;
图3A-D图示根据本发明的实施方式的例示台面的侧面轮廓;
图4绘示静电卡盘的一个实施方式的截面侧视图;
图5图示用于制造静电卡盘的工艺的一个实施方式;
图6A-C图示通过掩模在静电卡盘的表面上沉积陶瓷材料以形成具有圆边的圆形台面;和
图7图示根据一个实施方式用于在静电卡盘的陶瓷主体上形成台面和环的掩模的俯视图。
具体实施方式
本发明的实施方式提供具有带圆边的沉积台面的基板支撑组件(例如静电卡盘)。实施方式还提供具有形成于基板支撑组件的陶瓷主体之上的保护性陶瓷涂层的基板支撑组件。所述保护性陶瓷涂层可以提供耐等离子体腐蚀性用于保护所述陶瓷主体。台面可被沉积在所述保护性陶瓷涂层之上,而且还可以耐等离子体腐蚀。
在一个实施方式中,静电卡盘包括导热底座(例如金属或金属合金底座)及粘接于所述导热底座的陶瓷主体(例如静电圆盘(electrostatic puck))。充当保护层的保护性陶瓷涂层覆盖所述陶瓷主体的表面,而且许多的椭圆形(例如圆形)台面被设置在所述保护性陶瓷涂层之上。在一个实施方式中,藉由先将保护性陶瓷涂层沉积在陶瓷主体上、然后通过掩模中的孔将椭圆形台面沉积到陶瓷主体上来制造静电卡盘。本文中使用的术语台面意指基板上具有陡边和平坦或平缓倾斜顶表面的突起。
尤其,本文的实施方式中描述的静电卡盘和其他基板支撑件具有藉由通过负像掩模(negative mask)沉积台面所产生的台面。负像掩模是含有与将被形成在静电卡盘上的图案确切相反的图案的掩模。换句话说,负像掩模具有将要在静电卡盘上形成特征结构的空隙。与此相反,传统上是藉由通过正像掩模(含有与将被转移到静电卡盘上的图案的精确复制本的掩模)喷砂处理静电卡盘的表面而将台面形成在静电卡盘的表面上。通过喷砂处理工艺形成的台面具有尖锐的边缘,尖锐的边缘会碎裂并在被静电卡盘支撑的晶片的背侧上造成颗粒污染。然而,根据本文描述的实施方式沉积的台面具有更加不易碎裂的圆边(例如顶帽形轮廓(top-hat profile))。
此外,传统上用于在静电卡盘中产生台面的喷砂处理工艺会导致产生的台面之间的区域(谷)具有高的表面粗糙度。高的表面粗糙度会作为捕集颗粒的凹坑,然后颗粒可能在处理过程中被释放到支撑晶片的背侧上。此外,谷的粗糙表面中的局部尖峰会在热循环过程中断裂和脱落。这会成为颗粒污染物的另一个来源。然而,在本文描述的实施方式中,静电圆盘的表面在沉积台面之前被抛光。因此,沉积的台面之间的谷具有非常低的表面粗糙度(例如大约4-10微英寸),从而进一步减少背侧的颗粒污染。
在本文的实施方式中描述的静电卡盘还包括充当用于所述静电卡盘的保护层的毯覆保护性陶瓷涂层(blanket protective ceramic coating)。所述保护性陶瓷涂层覆盖所述静电卡盘的表面,并在所述静电卡盘的表面被抛光之后被沉积到所述静电卡盘上。所述保护性陶瓷涂层是非常保形的(conformal),并具有与抛光的静电卡盘大致相同的表面粗糙度。所述保护性陶瓷涂层和被沉积在所述保护性陶瓷涂层上的台面可以每个都是耐等离子体材料,诸如钇铝石榴石(yttrium aluminum garnet,YAG)。因此,静电卡盘(包括被形成在静电卡盘上的台面)可以耐氯、氟和氢基等离子体。
图1为其中设置有基板支撑组件148的半导体处理腔室100的一个实施方式的截面图。根据本文描述的实施方式,基板支撑组件148包括具有静电圆盘166的静电卡盘150,静电圆盘166具有放置的圆边台面。
处理腔室100包括包围内部容积106的腔室主体102和盖体104。腔室主体102可以由铝、不锈钢或其他适当材料制成。腔室主体102通常包括侧壁108和底部110。可将外衬垫116设置于邻近侧壁108,以保护腔室主体102。外衬垫116可由耐等离子体或含卤素气体的材料制成和/或涂有耐等离子体或含卤素气体的材料。在一个实施方式中,外衬垫116是由氧化铝制成的。在另一个实施方式中,外衬垫116是由氧化钇、钇合金、或上述的氧化物制成的和/或涂有氧化钇、钇合金、或上述的氧化物。
可以将排气口126界定于腔室主体102中,而且可以将内部容积106耦接到泵系统128。泵系统128可包括一个或更多个泵和节流阀,用于抽出和调节处理腔室100的内部容积106的压力。
盖体104可被支撑在腔室主体102的侧壁108上。盖体104可被打开以允许进出处理腔室100的内部容积106,而且可以在关闭时为处理腔室100提供密封。可以将气体面板(gaspanel)158耦接到处理腔室100,以通过气体分配组件130提供处理和/或清洁气体到内部容积106,气体分配组件130是盖体104的一部分。可流入处理腔室的处理气体的实例包括含卤素气体,诸如C2F6、SF6、SiCl4、HBr、NF3、CF4、CHF3、CH2F3、Cl2和SiF4等等、以及其他气体,诸如O2或N2O。值得注意的是,所述处理气体可被用于产生高腐蚀性的氯基等离子体、氟基等离子体和/或氢基等离子体。气体分配组件130可在气体分配组件130的下游表面上具有多个孔洞(aperture)132,以将气流引导到由基板支撑组件148支撑的基板144(例如晶片)的表面。另外,或替代地,气体分配组件130可以具有中心孔,在所述中心孔通过陶瓷气体喷嘴供应气体。
基板支撑组件148被设置在处理腔室100的内部容积106中、气体分配组件130的下方。在处理过程中基板支撑组件148保持基板144。内衬垫118可被涂布在基板支撑组件148的周围。内衬垫118可以是耐含卤素气体的材料,诸如参照外衬垫116讨论的那些材料。在一个实施方式中,内衬垫118可以由与外衬垫116相同的材料制成。
在一个实施方式中,基板支撑组件148包括支撑基座152的安装板162、和静电卡盘150。安装板162可耦接到腔室主体102的底部110,并包括用于为公用设施(例如流体、电力线、传感器引线等)设定到导热底座164和静电圆盘166的路线的通道。在一个实施方式中,静电卡盘150还包括藉由聚硅氧粘接剂(silicone bond)138粘结于静电圆盘166的导热底座164。
静电圆盘166可以是包括一个或更多个夹持电极(clamping electrode)(也被称为卡紧电极(chucking electrode))180的陶瓷主体,卡紧电极180由卡紧电源(chuckingpower source)182控制。在一个实施方式中,静电圆盘166是由氮化铝(AlN)或氧化铝(Al2O3)组成的。静电圆盘166可以替代地由氧化钛(TiO)、氮化钛(TiN)、碳化硅(SiC)、或类似物组成。卡紧电极180(或其他位于静电圆盘166中的电极)可进一步通过匹配电路188耦接到一个或更多个射频(RF)电源184、186,以在处理腔室100内保持从处理气体和/或其他气体形成的等离子体。一个或更多个RF电源184、186通常能够产生频率约50kHz至约3GHz且功率高达约10,000瓦的RF信号。
静电圆盘166的上表面被保护性陶瓷涂层136覆盖,保护性陶瓷涂层136被沉积在静电圆盘166上。在一个实施方式中,所述保护性陶瓷涂层为Y3Al5O12(钇铝石榴石,YAG)涂层。或者,所述保护性陶瓷涂层可以是Al2O3、AlN、Y2O3(氧化钇)、或AlON(铝氧氮化物)。静电圆盘166的上表面进一步包括已被沉积到所述上表面上的多个台面和/或其他表面特征结构。可以在静电圆盘166上沉积保护性陶瓷涂层136之前或之后将所述台面和/或其他表面特征结构沉积到静电圆盘166的表面上。
静电圆盘166还包括一个或更多个气体通道(例如在静电圆盘166中钻出的孔)。在操作中,可以在受控的压力下提供背侧气体(例如He)到气体通道中,以增强静电圆盘166与基板144之间的传热。
导热底座164可以是由例如铝或铝合金构成的金属底座。或者,导热底座164可以由陶瓷复合物制成,诸如渗入SiC的铝-硅合金,以匹配陶瓷主体的热膨胀系数。导热底座164应提供良好的强度和耐用性以及传热性能。在一个实施方式中,导热底座164具有每米开尔文(meter Kelvin)超过200瓦的导热率(W/m K)。
导热底座164和/或静电圆盘166可包括一个或更多个嵌入式加热元件176、嵌入式热隔离体174和/或管道168、170,以控制基板支撑组件148的横向温度分布。管道168、170可以流体耦接到流体源172,流体源172使温度调节流体循环通过管道168、170。在一个实施方式中,嵌入式热隔离体174可被设置在管道168、170之间。可藉由加热器电源178调节一个或更多个嵌入式加热元件176。可以利用管道168、170和一个或更多个嵌入式加热元件176来控制导热底座164的温度,从而加热和/或冷却静电圆盘166和被处理的基板144。可以使用多个温度传感器190、192来监控静电圆盘166和导热底座164的温度,温度传感器190、192可以使用控制器195监控。
图2A描绘静电圆盘200的表面212上的椭圆形台面202的例示图案的俯视图。为了说明的目的,只图示出16个台面。然而,静电圆盘200的表面可以具有数百或数千个形成在其上的台面。图2B描绘沿着图2A的中心线3-3所作的静电圆盘的垂直截面图。静电圆盘200包括一个或更多个嵌入式电极250。静电圆盘200可以是静电卡盘最上面的部件,诸如图1的静电卡盘150。静电圆盘200具有环形的周边的像圆盘的形状,可以大致上匹配位于静电圆盘200上的被支撑基板244的形状和尺寸。在一个实施方式中,静电圆盘200对应于图1的静电圆盘166。
在图2A所示的实例中,将椭圆形台面202描绘为沿着静电圆盘200的表面212上的同心圆204和206定位。然而,任何分布于静电圆盘200的表面212之上的椭圆形台面202图案都是可能的。在一个实施方式中,椭圆形台面202是圆形的。或者,椭圆形台面202的形状可以是椭圆形或具有其他的椭圆形状。
椭圆形台面202被形成为厚度介于2微米-200微米(μm)之间并且在平面图中的尺寸(例如直径)介于0.5mm和5mm之间的各个垫。在一个实施方式中,椭圆形台面202具有介于2微米-20微米的厚度和约0.5mm-3mm的直径。在一个实施方式中,椭圆形台面202具有约3微米-16微米的厚度和约0.5mm-2mm的直径。在一个实施方式中,台面具有约10微米的厚度和约1mm的直径。在一个实施方式中,台面具有约10微米-12微米的厚度和约2mm的直径。在一些实施方式中,台面具有均一的形状和尺寸。或者,各种台面可以具有不同的形状和/或不同的尺寸。椭圆形台面202的侧壁可以是垂直的或倾斜的。值得注意的是,每一个椭圆形台面202都具有圆边,其中椭圆形台面202将在圆边与基板244接触。这可以最少化椭圆形台面202的碎裂并减少在基板244背侧上的颗粒污染。此外,圆边可以减少或消除基板244的背侧由于卡紧所造成的刮伤。或者,椭圆形台面202可以具有去角的边缘(chamfered edge)。
将椭圆形台面202的一些例示侧面轮廓图示在图3A-3D中。如图所示,在图3A-3D的每一个例示侧面轮廓中,台面的边缘是圆的。图3A-B的侧面轮廓是顶帽形轮廓的变化。
返回参照图2A-2B,椭圆形台面202是已藉由形成致密、保形陶瓷层的沉积工艺形成的沉积台面,所述沉积工艺诸如离子辅助沉积(IAD)。参照图5讨论椭圆形台面202的沉积。在图示的实施方式中,椭圆形台面202已被直接沉积到静电圆盘200的表面212上,没有在表面212上先沉积保护性陶瓷涂层。然而,也可以在沉积椭圆形台面202之前或之后沉积保护性陶瓷涂层。椭圆形台面202的平均表面粗糙度可为约2微英寸-12微英寸。在一个实施方式中,椭圆形台面202的平均表面粗糙度为约4微英寸-8微英寸。
在一个实施方式中,椭圆形台面202是由YAG形成的。在一个实施方式中,台面是由非晶形陶瓷构成的,所述非晶形陶瓷包括钇、铝和氧(例如处于非晶形形式的YAG)。非晶形陶瓷可包括至少8重量%的钇。在一个实施方式中,非晶形陶瓷包括约8-20重量%的钇、20-32重量%的铝、和60-70重量%的氧。在一个实施方式中,非晶形陶瓷包括约9-10重量%的钇、约25-26重量%的铝、和约65-66重量%的氧。在替代的实施方式中,椭圆形台面202可以是Al2O2、AlN、Y2O3、或AlON。
静电圆盘200的表面212还在静电圆盘200的外周220包括处于环218的形式的凸起唇部(raised lip)。环218具有的厚度和材料成分可以与椭圆形台面202的厚度和材料成分相同或大致相同。在形成椭圆形台面202的同时,环218可以已经藉由沉积形成。环218也可具有圆边,环218在所述圆边与基板244接触。或者,环218可具有去角的边缘,或者可具有既不是圆形、也不是去角的边缘。在一个实施方式中,环218的内缘是圆形的,而环218的外缘不是圆形的。
椭圆形台面202和环218的顶部接触被支撑基板244的背侧。椭圆形台面202最小化基板244的背侧与静电圆盘200的表面212的接触面积,并促进卡紧和解卡紧(de-chucking)操作。还可以将诸如He之类的气体泵入基板与静电卡盘200之间的区域,以促进基板244与静电卡盘200之间的传热。环218可以作为防止气体从静电卡盘200与基板244之间的空间逸出的密封环。
图4根据一个实施方式图示静电卡盘400的截面侧视图。静电卡盘400包括藉由诸如聚硅氧粘接剂之类的粘接剂452耦接到静电圆盘402的导热底座464(例如金属底座)。粘接剂452例如可以是聚二甲基硅氧烷(PDMS)粘接剂。静电圆盘402可以是具有一个或更多个嵌入式电极的大致圆盘状介电陶瓷主体。静电圆盘402可以是烧结陶瓷块(bulk sinteredceramic),诸如氧化铝(Al2O3)、氮化铝(AlN)、氧化钛(TiO)、氮化钛(TiN)、碳化硅(SiC)和类似物。静电圆盘402可包括一个或更多个嵌入式电极436和/或电阻加热元件438(例如内电阻加热元件和外电阻加热元件。石英环446或其他保护环可以围绕并覆盖静电卡盘400的某些部分。可以将基板444降低到静电卡盘400之上并藉由提供信号到一个或更多个电极436经由静电力保持在适当位置。
导热底座464被配置成对静电圆盘402提供物理支撑。在一些实施方式中,导热底座464还被配置成提供温度控制。导热底座464可以由导热材料制成,例如金属,诸如铝或不锈钢。导热底座464可包括一个或更多个热交换器,例如嵌入式加热元件、藉由使冷却和加热流体循环通过沟道来提供热交换的流体沟道、或上述的组合。在图1中,导热底座464包括多个也被称为管道470(例如内管道和外管道)的流体沟道,可以使流体流过管道470,以通过导热底座464和静电卡盘400的其他元件与基板444之间的热能交换来加热或冷却导热底座464、静电卡盘400、和基板444。可以使用温度传感器490来监控导热底座464的温度。
在一个实施方式中,静电卡盘400另外包括陶瓷涂层496,陶瓷涂层496填充和/或覆盖在静电圆盘402的表面中的缺陷,诸如微裂纹、孔隙(pore)、针孔和类似物。陶瓷涂层496可被称为覆盖陶瓷涂层或毯覆陶瓷涂层,而且可以覆盖静电圆盘402的整个表面。或者,静电卡盘400可以不包括陶瓷涂层496。在一个实施方式中,陶瓷涂层496是由与静电圆盘402相同的陶瓷构成的。因此,假使静电圆盘402为AlN,则覆盖陶瓷涂层496也是AlN。或者,假使静电圆盘402是Al2O3,则陶瓷涂层496也是Al2O3。或者,陶瓷涂层可以由与第二陶瓷涂层494(下面讨论)相同的材料构成。在一个实施方式中,陶瓷涂层496具有小于1微米至多达几十微米的厚度。
当被沉积以填充深度多达约5微米或更大的孔隙时,陶瓷涂层496最初可以具有至少5微米的厚度。然而,陶瓷涂层496可以被抛光到1微米或更小的厚度。在一些情况下,陶瓷涂层496可以实质上被抛光掉,使得陶瓷涂层496只保持在所填充的静电圆盘402的孔隙中。陶瓷涂层496可被抛光到2微英寸-12微英寸的平均表面粗糙度(Ra)。在一个实施方式中,陶瓷涂层496被抛光到约4微英寸-8微英寸的表面粗糙度。如果不使用覆盖陶瓷涂层,则可以将静电圆盘402的表面抛光到2微英寸-12微英寸的表面粗糙度。
在一个实施方式中,陶瓷涂层496(或静电圆盘402)被抛光到大约4微英寸-8微英寸的平均表面粗糙度。较低的表面粗糙度对于最少化颗粒污染和密封晶粒边界而言是理想的。一般来说,表面粗糙度越低,则出现的颗粒污染越少。此外,藉由在陶瓷涂层496和/或静电圆盘402中密封晶粒边界,陶瓷涂层496和/或静电圆盘402变得更耐腐蚀。然而,表面粗糙度越低,则存在用于后续沉积第二陶瓷涂层494和/或台面492的成核位点的数量越大。此外,降低表面粗糙度会降低后续涂层在静电圆盘402上的粘着强度。因此,意外发现的是,当陶瓷涂层496和/或静电圆盘402的表面被抛光到小于约4微英寸时性能会降低。
静电卡盘400还包括第二陶瓷涂层494,在实施方式中第二陶瓷涂层494是一种保护性陶瓷涂层。第二陶瓷涂层494可被设置在陶瓷涂层496之上,或者假使没有沉积覆盖陶瓷涂层,则第二陶瓷涂层494可以被设置在静电圆盘402之上。第二陶瓷涂层494保护静电圆盘402免于腐蚀性化学品的侵蚀,所述腐蚀性化学品诸如氢基等离子体、氯基等离子体、和氟基等离子体。第二陶瓷涂层494可以具有几微米到几百微米的厚度。
在一个实施方式中,第二陶瓷涂层494具有约5微米-30微米的厚度。第二陶瓷涂层494可以是高度保形的涂层,并且第二陶瓷涂层494具有的表面粗糙度可以实质上匹配陶瓷涂层496和/或静电圆盘402的表面粗糙度。假使沉积并抛光了陶瓷涂层496,则第二陶瓷涂层494可以实质上无孔隙、针孔、微裂纹等。第二陶瓷涂层494可以是Al2O3、AlN、Y2O3、Y3Al5O12(YAG)、和AlON。在一个实施方式中,第二陶瓷涂层494是具有至少8重量%的钇的非晶形YAG。在一个实施方式中,第二陶瓷涂层494具有约9千兆帕斯卡(GPA)的维氏硬度(5Kgf)。另外,在一个实施方式中,第二陶瓷涂层494具有大约4.55g/cm3的密度、约280MPa的挠曲强度(flexural strength)、约2.0MPa.m1/2的断裂韧度、约160MPa的杨氏模量、约8.2x10-6/K的热膨胀系数(20~900℃)、约12.9W/mK的热导率、在室温下大于1014Ω·cm的体积电阻率、以及大约0.2-0.3的摩擦系数。
如以上简要提及的,由于一些与粗糙度相关的成核位点,第二陶瓷涂层494和台面492的结构至少部分地依赖于静电圆盘402和/或陶瓷涂层496的粗糙度。当静电圆盘402和/或陶瓷涂层496的表面粗糙度低于约3微英寸时,上面被沉积第二陶瓷涂层494的表面具有非常多的成核位点。此大量的成核位点生成了完全非晶形的结构。然而,藉由将第二陶瓷涂层494沉积到表面粗糙度约4微英寸-8微英寸的表面上,第二陶瓷涂层494生长或被沉积为具有许多垂直纤维的非晶形结构,而不是纯粹非晶形的结构。
在一个实施方式中,台面492和环493被沉积在第二陶瓷涂层494之上。在这样的实施方式中,台面492可以由与第二陶瓷涂层494相同的材料构成。或者,可以在第二陶瓷涂层494之前沉积台面492和环493(因此可以在第二陶瓷涂层494下方)。在这样的实施方式中,台面492和环493可以是与静电圆盘402相同的材料或是与第二陶瓷涂层494相同的材料。台面可以为大约3微米-15微米高(在一个实施方式中为约10微米-15微米高),而且在一些实施方式中,直径为约0.5mm-3mm。
假使静电卡盘400在使用后进行整修,则在实施方式中第二陶瓷涂层494的厚度可以是至少20微米,而且在一个实施方式中为大约20微米-30微米。为了整修静电卡盘400,可以藉由研磨移除台面492,而且可以另外藉由研磨移除一部分的第二陶瓷涂层494。在研磨过程中移除的材料量可以依赖于静电卡盘400表面的弯曲量。例如,假使台面是8微米厚并且在静电卡盘400中有5微米的弯曲,则可以从静电卡盘400的表面移除大约15微米,以完全移除台面492并移除5微米的弯曲。在实施方式中,至少20微米的厚度可以确保下方的静电圆盘402在整修过程中不被研磨。一旦经由研磨移除了台面和弯曲,则可以将新的陶瓷涂层施加于第二陶瓷涂层494的剩余部分,而且可以如本文所述在新的陶瓷涂层上形成新的台面492和/或其他表面特征结构。
图5图示用于制造静电卡盘的工艺500的一个实施方式。可以进行工艺500以制造在本文的实施方式中描述的任何静电卡盘,诸如图4的静电卡盘400。在工艺500的方块505,将初始陶瓷涂层(被称为覆盖陶瓷涂层)沉积到静电卡盘的陶瓷主体上以填充陶瓷主体中的孔隙、针孔、微裂纹等。覆盖陶瓷涂层可以由与陶瓷主体相同的材料形成。例如,陶瓷主体和覆盖陶瓷涂层都可以是AlN或Al2O3。或者,覆盖陶瓷涂层可以由与后续沉积的保护性陶瓷涂层相同的材料形成。例如,覆盖陶瓷涂层和保护性陶瓷涂层都可以是YAG、Y2O3、Al2O3、AlN或AlON。
在一个实施方式中,覆盖陶瓷涂层是经由离子辅助沉积(IAD)所沉积的。示例性的IAD法包括其中并入离子轰击的沉积工艺,诸如在离子轰击存在下的蒸发(例如活化反应蒸发(ARE))和溅射,以形成本文描述的涂层。一种例示的IAD工艺是电子束IAD(EB-IAD)。其他可用于沉积覆盖陶瓷涂层的保形致密沉积工艺包括低压等离子体喷涂(LPPS)、等离子体喷涂物理气相沉积(PS-PVD)、和等离子体喷涂化学气相沉积(PS-CVD)、化学气相沉积(CVD)、物理气相沉积(PVD)、溅射、或上述工艺的组合。还可以使用其他的保形沉积技术。
假使使用IAD沉积覆盖陶瓷涂层,则可在诸如离子之类的高能颗粒存在下藉由沉积材料的积累而在陶瓷主体上形成覆盖陶瓷涂层。沉积材料可包括原子、离子、自由基(radical)等等。高能颗粒可以在形成时撞击和压实薄膜保护层。材料源提供沉积材料流,而高能颗粒源提供高能颗粒流,前述两者在整个IAD工艺期间皆撞击于陶瓷主体上。高能颗粒源可以是氧或其他离子源。高能颗粒源还可以提供其他类型的高能颗粒,诸如来自颗粒产生源(例如来自等离子体、反应气体或来自提供沉积材料的材料源)的惰性自由基(inertradical)、中子原子、和纳米尺寸颗粒。
用于提供沉积材料的材料源(例如靶材主体)可以是对应于构成覆盖陶瓷涂层的相同陶瓷的烧结陶瓷块。也可以使用其他靶材,诸如粉末、煅烧粉末、预成型材料(例如藉由生坯压制或热压形成的材料)、或机械加工主体(例如熔融材料)。
IAD可以利用一种或更多种等离子体或束(例如电子束)来提供材料和高能离子源。还可以在耐等离子体涂层的沉积过程中提供反应物种。在一个实施方式中,高能颗粒包括不反应物种(例如Ar)或反应物种(例如O)中的至少一者。在进一步的实施方式中,还可以在耐等离子体涂层的形成过程中引入诸如CO和卤素(Cl、F、Br等)之类的反应物种。使用IAD工艺时,可以独立于其他沉积参数藉由高能离子(或其他颗粒)源来控制高能颗粒。可以根据高能离子流的能量(例如速度)、密度和入射角来操纵陶瓷涂层的成分、结构、结晶取向和晶粒尺寸。可以调整的其他参数是工作距离和入射角。
可以使用涂布后热处理来实现改良的涂层性质。例如,可以使用涂布后热处理来将非晶形涂层转化为具有较高耐蚀性的结晶涂层。另一个实例是藉由形成反应区域或过渡层来改善涂层对基板的粘接强度。
IAD沉积的覆盖陶瓷涂层可以具有相对较低的膜应力(例如与藉由等离子体喷涂或溅射导致的膜应力相比)。相对较低的膜应力可导致陶瓷主体保持非常平坦,对于直径12英寸的陶瓷主体来说,在整个陶瓷主体间具有小于约50微米的曲率。IAD沉积的覆盖陶瓷涂层可以另外具有小于1%、而且在一些实施方式中小于约0.1%的孔隙率。因此,IAD沉积的覆盖陶瓷涂层是致密的结构。此外,IAD沉积的覆盖陶瓷涂层可具有低裂纹密度和对陶瓷主体的高粘着性。
陶瓷主体可以是先前描述的静电圆盘。陶瓷主体可能已经历一些处理,诸如用于形成嵌入式电极和/或嵌入式加热元件。陶瓷主体的下表面可以藉由聚硅氧粘接剂粘接于导热底座。在替代的实施方式中,不进行方块505的操作。
在方块510,将陶瓷主体的表面抛光,以产生表面粗糙度约2微英寸-12微英寸的抛光表面。在一个实施方式中,陶瓷主体的表面被抛光到平均表面粗糙度(Ra)为约4微英寸-8微英寸。除了填充孔隙、针孔等的部分初始陶瓷涂层之外,抛光可以减少初始陶瓷涂层和/或可以几乎完全移除初始陶瓷涂层。
在方块515,使陶瓷涂层(例如保护性陶瓷涂层)沉积或生长在陶瓷主体的抛光表面上(例如在初始陶瓷涂层之上)。在一个实施方式中,陶瓷涂层是YAG、Y2O3、Al2O3、AlN或AlON。陶瓷涂层可以是可藉由参照方块505讨论的任何沉积技术沉积的保形涂层。例如,陶瓷涂层可以藉由进行诸如EB-IAD之类的IAD进行沉积。陶瓷涂层可被沉积到高达几百微米的厚度。在一个实施方式中,陶瓷涂层被沉积到大约5微米-30微米的厚度。在一个实施方式中,陶瓷涂层被沉积到约5微米-10微米的厚度。在一个实施方式中,陶瓷涂层被沉积到约20微米-30微米的厚度。
在方块520,将负像掩模设置在经涂布的陶瓷主体之上。负像掩模可以是具有类圆盘形状的圆形掩模。负像掩模可以具有比陶瓷主体的直径略小的直径。负像掩模可以另外包括许多通孔,其中每个通孔都是将被形成在陶瓷主体上的台面的负像。在下面参照图6A-C和图7更详细地讨论负像掩模。在一个实施方式中,藉由粘着剂将负像掩模粘接于陶瓷主体(例如被胶粘于陶瓷主体)。或者,可以藉由机械保持器将负像掩模保持在陶瓷主体之上的适当位置。
在方块525,通过负像掩模的孔沉积陶瓷材料,以形成具有圆边的台面。此外,可以在陶瓷主体的周边将陶瓷材料沉积在陶瓷主体的暴露部分上,以在陶瓷主体上形成环。环可以与台面在同一时间形成。台面和环可以是保形且致密的,而且可以藉由以上参照方块505讨论的任何沉积技术沉积。例如,可以使用诸如EB-IAD之类的IAD沉积台面和环。
在一个实施方式中,掩模中的孔具有喇叭形顶端和喇叭形底端。所述喇叭形顶端充当漏斗,以将材料注入孔中并提高沉积速率。所述喇叭形底端结合孔的深宽比(例如1∶2至2∶1的深宽比)可以发挥控制沉积台面和/或沉积环的形状的功能。例如,深宽比与所述喇叭形底端组合可以导致沉积的台面具有圆边和/或顶帽形轮廓。此外,所述喇叭形底端防止台面接触孔的壁。这可以防止台面粘接于掩模和将掩模粘接于陶瓷主体。
在一个实施方式中,环的内缘是圆的,但环的外缘不是圆的。这可能是因为负像掩模的形状可能会在沉积过程中使环的内缘变成圆的,但有可能在环的外缘没有掩模部分以控制沉积的形状。或者,环的边缘可以不是圆的。
在方块530,从陶瓷主体移除掩模。在方块535,将台面和环抛光。可以进行软抛光工艺来抛光台面。软抛光可以至少部分地抛光台面的壁以及台面的顶部。
在方法500中,先沉积保护性陶瓷涂层,然后沉积台面和环。然而,在替代的实施方式中,可以在保护性陶瓷涂层之前沉积台面和环,而且可以将保护性陶瓷涂层沉积在台面之上。保护性陶瓷涂层可以是高度保形的,所以在台面和环之上沉积保护性陶瓷涂层之后,台面和环的形状可以保持不变。
图6A-C图示通过掩模610沉积陶瓷材料,以在静电卡盘640的表面上形成具有圆边的圆形台面。掩模610包括多个孔615。在一个实施方式中,掩模为大约1mm-3mm厚。在一个实施方式中,掩模为约2mm厚。在一个实施方式中,孔是直径约0.5mm-3mm的圆孔。在一个实施方式中,孔具有约0.5mm-2mm的直径。在一个实施方式中,孔具有约1mm的直径。在一个实施方式中,孔是等尺寸的。或者,孔可以具有不同的直径。在一个实施方式中,孔具有1∶2至2∶1宽度对高度的深宽比。
如图所示,在一些实施方式中,孔具有喇叭形顶端620和喇叭形底端625。喇叭形端部具有的直径可以比在孔的最窄区域(例如在孔中垂直居中的区域)的孔直径大约30%-70%。在一个实施方式中,喇叭形端部具有的直径比在最窄区域的孔直径大约50%。顶端和底端可以具有形状和尺寸相同的展开部分(flare)。或者,顶端的展开部分可以具有与在底端的展开部分不同的尺寸和/或形状。
将掩模610放在静电卡盘640之上,静电卡盘640包括已被沉积到静电卡盘640的表面上的保护性陶瓷层635。在图6A中,已经沉积了具有圆边的小台面630。在图6B中,沉积一直持续,而且小台面630已变成具有圆边的较大台面631。在图6C中,沉积一直持续到完成,而且台面632已达到其最终尺寸。值得注意的是,由于喇叭形底端625,台面632不会接触孔615的壁。
图7图示根据一个实施方式用于在静电卡盘的陶瓷主体705上形成台面和环的掩模710的俯视图。如图所示,掩模710是具有第一直径的负像掩模,所述第一直径小于陶瓷主体705的第二直径。因此,沉积工艺可以使环形成于陶瓷主体未被掩模710覆盖的陶瓷主体周边处。掩模710另外包括许多孔715。沉积工艺使台面形成于各个孔715处。
前面的描述阐述许多的具体细节,诸如具体系统、部件、方法等等的实例,以提供对本发明的若干实施方式的良好理解。然而,对于本领域的技术人员而言显而易见的是,至少有一些本发明的实施方式可以在没有这些具体细节的情况下实施。在其他实例中,并未详细描述或仅以简单的方块图形式呈现众所周知的部件或方法,以避免不必要地模糊了本发明。因此,所提出的具体细节只是示例性的。具体的实施方式可以与这些示例性细节不同,而且仍被视为在本发明的范围内。
贯穿本说明书提及的“一个实施方式”或“一实施方式”意指关联所述实施方式所描述的具体特征、结构、或特性被包括在至少一个实施方式中。因此,贯穿本说明书在各个地方出现的词语“在一个实施方式中”或“在一实施方式中”未必全都指同一个实施方式。此外,术语“或”意图表示涵括性的“或”而不是排他性的“或”。当本文中使用术语“约”或“大约”时,这意图表示所呈现的标称值为±10%内精确的。
虽然以特定的顺序图示和描述了本文方法的操作,但仍可以改变每个方法的操作顺序,使得某些操作可被以相反的顺序进行,或者使得某些操作可以至少部分地与其他操作同时进行。在另一个实施方式中,可以以间歇的和/或交替的方式进行不同操作的指令或子操作。在一个实施方式中,多个金属粘接操作被作为单一步骤进行。
应当理解的是,以上的描述意图为说明性的而不是限制性的。在阅读和理解以上的描述后,许多其他的实施方式对于本领域的技术人员而言将是显而易见的。因此,本发明的范围应当参照所附的权利要求书连同这些权利要求书赋予的等同物的完整范围来确定。

Claims (20)

1.一种经涂布的腔室部件,包括:
主体;和
保护性陶瓷涂层,所述保护性陶瓷涂层沉积在所述主体的表面之上,所述保护性陶瓷涂层为非晶形的并且包括约8-20重量%的钇、约20-32重量%的铝、和约60-70重量%的氧。
2.如权利要求1所述的经涂布的腔室部件,其中所述保护性陶瓷涂层包括约9-10重量%的钇、约25-26重量%的铝、和约65-66重量%的氧。
3.如权利要求1所述的经涂布的腔室部件,其中所述经涂布的腔室部件包括静电圆盘。
4.如权利要求1所述的经涂布的腔室部件,进一步包括:
多个台面,所述多个台面在所述保护性陶瓷涂层上方或所述保护性陶瓷涂层下方。
5.如权利要求1所述的经涂布的腔室部件,其中所述主体是陶瓷主体。
6.如权利要求1所述的经涂布的腔室部件,其中所述主体的所述表面被抛光,并且其中所述保护性陶瓷涂层是保形的并且具有与所述主体的所述表面的表面粗糙度相同的表面粗糙度。
7.如权利要求1所述的经涂布的腔室部件,其中所述保护性陶瓷涂层包括非晶形钇铝石榴石(YAG)。
8.如权利要求1所述的经涂布的腔室部件,其中所述主体包括氮化铝或氧化铝。
9.如权利要求1所述的经涂布的腔室部件,其中所述主体包括导热底座和在所述导热底座之上的陶瓷部分。
10.如权利要求9所述的经涂布的腔室部件,其中所述导热底座包括铝或铝合金。
11.如权利要求1所述的经涂布的腔室部件,进一步包括:
第一陶瓷涂层,所述第一陶瓷涂层沉积在所述主体的所述表面上,其中所述保护性陶瓷涂层覆盖所述第一陶瓷涂层。
12.如权利要求11所述的经涂布的腔室部件,其中所述第一陶瓷涂层填充所述主体的所述表面中的微裂纹、孔隙或针孔的至少一者。
13.如权利要求11所述的经涂布的腔室部件,其中所述第一陶瓷涂层具有1微米或更小的厚度。
14.如权利要求11所述的经涂布的腔室部件,其中所述第一陶瓷涂层包括氧化铝。
15.如权利要求1所述的经涂布的腔室部件,其中所述保护性陶瓷涂层具有2微英寸-12微英寸的平均表面粗糙度。
16.如权利要求1所述的经涂布的腔室部件,其中所述保护性陶瓷涂层具有4微英寸-8微英寸的平均表面粗糙度。
17.如权利要求1所述的经涂布的腔室部件,其中所述保护性陶瓷涂层具有5-30微米的厚度。
18.如权利要求1所述的经涂布的腔室部件,其中所述保护性陶瓷涂层实质上无孔隙、针孔和微裂纹。
19.如权利要求1所述的经涂布的腔室部件,其中所述保护性陶瓷涂层具有以下特性中的至少一种:
约9千兆帕斯卡(GPA)的维氏硬度(5Kgf);
大约4.55g/cm3的密度;
约280MPa的挠曲强度;
约2.0MPa·m1/2的断裂韧度;
约160MPa的杨氏模量;
约8.2x10-6/K的热膨胀系数(20~900℃);
约12.9W/mK的热导率;
在室温下大于1014Ω·cm的体积电阻率;或
大约0.2-0.3的摩擦系数。
20.如权利要求1所述的经涂布的腔室部件,其中所述保护性陶瓷涂层是离子辅助沉积(IAD)涂层或化学气相沉积(CVD)涂层。
CN202211186117.4A 2015-11-17 2016-06-01 具有沉积表面特征结构的基板支撑组件 Pending CN115527914A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/944,018 2015-11-17
US14/944,018 US10020218B2 (en) 2015-11-17 2015-11-17 Substrate support assembly with deposited surface features
PCT/US2016/035306 WO2017087029A1 (en) 2015-11-17 2016-06-01 Substrate support assembly with deposited surface features
CN201680067355.XA CN108352354B (zh) 2015-11-17 2016-06-01 具有沉积表面特征结构的基板支撑组件

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201680067355.XA Division CN108352354B (zh) 2015-11-17 2016-06-01 具有沉积表面特征结构的基板支撑组件

Publications (1)

Publication Number Publication Date
CN115527914A true CN115527914A (zh) 2022-12-27

Family

ID=58690319

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201680067355.XA Active CN108352354B (zh) 2015-11-17 2016-06-01 具有沉积表面特征结构的基板支撑组件
CN202211186463.2A Pending CN115527915A (zh) 2015-11-17 2016-06-01 具有沉积表面特征结构的基板支撑组件
CN202211186117.4A Pending CN115527914A (zh) 2015-11-17 2016-06-01 具有沉积表面特征结构的基板支撑组件

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CN201680067355.XA Active CN108352354B (zh) 2015-11-17 2016-06-01 具有沉积表面特征结构的基板支撑组件
CN202211186463.2A Pending CN115527915A (zh) 2015-11-17 2016-06-01 具有沉积表面特征结构的基板支撑组件

Country Status (6)

Country Link
US (4) US10020218B2 (zh)
JP (1) JP6860564B2 (zh)
KR (2) KR102554268B1 (zh)
CN (3) CN108352354B (zh)
TW (3) TWI774205B (zh)
WO (1) WO2017087029A1 (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9999947B2 (en) * 2015-05-01 2018-06-19 Component Re-Engineering Company, Inc. Method for repairing heaters and chucks used in semiconductor processing
DE102015007216B4 (de) * 2015-06-03 2023-07-20 Asml Netherlands B.V. Verfahren zur Herstellung einer Halteplatte, insbesondere für einen Clamp zur Waferhalterung, Verfahren zur Herstellung einer Haltevorrichtung zur Halterung eines Bauteils, Halteplatte und Haltevorrichtung
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR102474583B1 (ko) * 2016-07-25 2022-12-06 교세라 가부시키가이샤 시료 유지구
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10654147B2 (en) 2017-10-17 2020-05-19 Applied Materials, Inc. Polishing of electrostatic substrate support geometries
CN110000696A (zh) * 2017-12-29 2019-07-12 比亚迪股份有限公司 耐磨治具及其制备方法
KR102140725B1 (ko) * 2018-01-22 2020-08-04 상구정공(주) 기판 지지장치 및 이의 제작방법
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
WO2019183237A1 (en) * 2018-03-22 2019-09-26 Applied Materials, Inc. Laser polishing ceramic surfaces of processing components to be used in the manufacturing of semiconductor devices
CN108538776B (zh) * 2018-03-29 2021-11-16 北京北方华创微电子装备有限公司 静电卡盘及其制造方法
KR20200136922A (ko) * 2018-03-30 2020-12-08 스미토모 오사카 세멘토 가부시키가이샤 세라믹스 기체 및 서셉터
KR20200130490A (ko) 2018-04-09 2020-11-18 어플라이드 머티어리얼스, 인코포레이티드 패터닝 애플리케이션들을 위한 탄소 하드 마스크들 및 이와 관련된 방법들
US20200013590A1 (en) * 2018-07-06 2020-01-09 Tokyo Electron Limited Protective layer for chucks during plasma processing to reduce particle formation
US11133211B2 (en) * 2018-08-22 2021-09-28 Lam Research Corporation Ceramic baseplate with channels having non-square corners
US11114330B2 (en) 2018-08-24 2021-09-07 Axcelis Technologies, Inc. Substrate support having customizable and replaceable features for enhanced backside contamination performance
CN110896045B (zh) * 2018-09-12 2022-12-30 中微半导体设备(上海)股份有限公司 一种升举顶针组件,静电夹盘及其所在的处理装置
WO2020149936A1 (en) * 2019-01-18 2020-07-23 Applied Materials, Inc. Heated pedestal design for improved heat transfer and temperature uniformity
SG11202112558TA (en) * 2019-05-16 2021-12-30 Applied Materials Inc Methods and apparatus for minimizing substrate backside damage
JP7284261B2 (ja) * 2019-06-28 2023-05-30 日本碍子株式会社 ウエハ載置台及びその製法
US11270905B2 (en) 2019-07-01 2022-03-08 Applied Materials, Inc. Modulating film properties by optimizing plasma coupling materials
US11661650B2 (en) * 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
KR20230116776A (ko) * 2020-12-02 2023-08-04 오를리콘 서피스 솔루션스 아크티엔게젤샤프트, 페피콘 정전 척을 위한 개선된 플라즈마 저항성 코팅
US11699611B2 (en) 2021-02-23 2023-07-11 Applied Materials, Inc. Forming mesas on an electrostatic chuck
US11955361B2 (en) * 2021-04-15 2024-04-09 Applied Materials, Inc. Electrostatic chuck with mesas
US20220351951A1 (en) * 2021-04-29 2022-11-03 Applied Materials, Inc. Substrate support apparatus, methods, and systems having elevated surfaces for heat transfer
US20230114751A1 (en) * 2021-10-08 2023-04-13 Applied Materials, Inc. Substrate support
US20230380016A1 (en) * 2022-05-17 2023-11-23 Applied Materials, Inc. High-temperature substrate support assembly with failure protection
KR102613639B1 (ko) 2023-09-11 2023-12-14 주식회사 티엠씨 세라믹재 부품의 표면처리방법
CN117252000B (zh) * 2023-09-15 2024-05-17 南昌航空大学 电子束倾斜焊接的热源模型构建方法及系统、仿真方法

Family Cites Families (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
US4609563A (en) 1985-02-28 1986-09-02 Engelhard Corporation Metered charge system for catalytic coating of a substrate
FR2583220B1 (fr) 1985-06-11 1987-08-07 Thomson Csf Procede de realisation d'au moins deux metallisations d'un composant semi-conducteur, recouvertes d'une couche de dielectrique et composant obtenu par ce dielectrique
FR2631165B1 (fr) 1988-05-05 1992-02-21 Moulene Daniel Support conditionneur de temperature pour petits objets tels que des composants semi-conducteurs et procede de regulation thermique utilisant ce support
DE69007733T2 (de) 1989-05-08 1994-09-29 Philips Nv Vorrichtung und verfahren zur behandlung eines flachen, scheibenförmigen substrates unter niedrigem druck.
JP2638649B2 (ja) 1989-12-22 1997-08-06 東京エレクトロン株式会社 静電チャック
US5108025A (en) 1991-05-20 1992-04-28 Gte Laboratories Incorporated Ceramic-metal composite article and joining method
US5113472A (en) 1991-05-28 1992-05-12 Allied-Signal Inc. Optical waveguides of aluminum garnet
JP3271352B2 (ja) 1993-01-13 2002-04-02 ソニー株式会社 静電チャック及びその作製方法並びに基板処理装置及び基板搬送装置
KR100260587B1 (ko) 1993-06-01 2000-08-01 히가시 데쓰로 정전척 및 그의 제조방법
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
JPH07304133A (ja) * 1994-05-13 1995-11-21 Shin Etsu Chem Co Ltd セラミックス基板およびその製造方法
US5548470A (en) 1994-07-19 1996-08-20 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5886863A (en) 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
KR100428428B1 (ko) 1996-04-12 2004-04-28 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마 처리장치
JP3160229B2 (ja) 1997-06-06 2001-04-25 日本エー・エス・エム株式会社 プラズマcvd装置用サセプタ及びその製造方法
US6177023B1 (en) 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
US6258227B1 (en) * 1999-03-13 2001-07-10 Applied Materials, Inc. Method and apparatus for fabricating a wafer spacing mask on a substrate support chuck
JP2000306986A (ja) 1999-04-19 2000-11-02 Nippon Eng Kk 静電チャック
JP2001077185A (ja) 1999-09-01 2001-03-23 Shin Etsu Chem Co Ltd 静電チャック及びその製造方法
WO2001024581A1 (en) 1999-09-29 2001-04-05 Tokyo Electron Limited Multi-zone resistance heater
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
JP2002057207A (ja) 2000-01-20 2002-02-22 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびその製造方法ならびに半導体製造装置
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
US6891263B2 (en) 2000-02-07 2005-05-10 Ibiden Co., Ltd. Ceramic substrate for a semiconductor production/inspection device
JP2001253777A (ja) 2000-03-13 2001-09-18 Ibiden Co Ltd セラミック基板
US6444957B1 (en) 2000-04-26 2002-09-03 Sumitomo Osaka Cement Co., Ltd Heating apparatus
JP2001308075A (ja) 2000-04-26 2001-11-02 Toshiba Ceramics Co Ltd ウェーハ支持体
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
JP3798319B2 (ja) 2000-05-02 2006-07-19 ジョンズ ホプキンス ユニバーシティ 自立形反応性多層フォイル
US6736942B2 (en) 2000-05-02 2004-05-18 Johns Hopkins University Freestanding reactive multilayer foils
US6503368B1 (en) 2000-06-29 2003-01-07 Applied Materials Inc. Substrate support having bonded sections and method
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
DE10141910B4 (de) 2000-08-28 2008-10-16 Kyocera Corp. Glaskeramiksinterprodukt und Verfahren zu seiner Herstellung
WO2002047129A1 (fr) 2000-12-05 2002-06-13 Ibiden Co., Ltd. Substrat ceramique pour dispositifs de production et de controle de semi-conducteurs et procede de production dudit substrat ceramique
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
JP2005033221A (ja) * 2001-02-08 2005-02-03 Tokyo Electron Ltd 基板載置台および処理装置
JP3626933B2 (ja) * 2001-02-08 2005-03-09 東京エレクトロン株式会社 基板載置台の製造方法
JP3893888B2 (ja) 2001-03-19 2007-03-14 株式会社日立製作所 プラズマ処理装置
US20030010292A1 (en) 2001-07-16 2003-01-16 Applied Materials, Inc. Electrostatic chuck with dielectric coating
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
KR20040031691A (ko) 2001-08-10 2004-04-13 이비덴 가부시키가이샤 세라믹 접합체
KR100427459B1 (ko) 2001-09-05 2004-04-30 주성엔지니어링(주) 아크 방지용 정전척
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
JP2003224180A (ja) 2002-01-28 2003-08-08 Kyocera Corp ウエハ支持部材
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6780787B2 (en) 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
JP4107643B2 (ja) 2002-07-23 2008-06-25 日本碍子株式会社 接合体の製造方法
US6838646B2 (en) 2002-08-22 2005-01-04 Sumitomo Osaka Cement Co., Ltd. Susceptor device
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040055709A1 (en) 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
US6682820B1 (en) 2002-10-31 2004-01-27 Saint-Gobain Ceramics & Plastics, Inc. Recession resistant coated ceramic part
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
JP3967278B2 (ja) 2003-03-07 2007-08-29 日本碍子株式会社 接合部材及び静電チャック
US20040187787A1 (en) 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
DE10317792A1 (de) 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
US20050016684A1 (en) 2003-07-25 2005-01-27 Applied Materials, Inc. Process kit for erosion resistance enhancement
US7072165B2 (en) 2003-08-18 2006-07-04 Axcelis Technologies, Inc. MEMS based multi-polar electrostatic chuck
US7072166B2 (en) 2003-09-12 2006-07-04 Axcelis Technologies, Inc. Clamping and de-clamping semiconductor wafers on a J-R electrostatic chuck having a micromachined surface by using force delay in applying a single-phase square wave AC clamping voltage
CN100432024C (zh) 2003-10-31 2008-11-12 株式会社德山 氮化铝接合体及其制造方法
JP2005243243A (ja) 2004-02-24 2005-09-08 Ngk Insulators Ltd 加熱方法
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
TWI290875B (en) 2004-02-28 2007-12-11 Applied Materials Inc Methods and apparatus for transferring a substrate carrier within an electronic device manufacturing facility
JP4349952B2 (ja) 2004-03-24 2009-10-21 京セラ株式会社 ウェハ支持部材とその製造方法
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
KR20050121913A (ko) 2004-06-23 2005-12-28 삼성전자주식회사 베이크 장치
US7586734B2 (en) 2004-06-28 2009-09-08 Kyocera Corporation Electrostatic chuck
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
JP4806179B2 (ja) 2004-10-08 2011-11-02 古河スカイ株式会社 ヒータプレートの製造方法
JP4787568B2 (ja) * 2004-11-16 2011-10-05 日本碍子株式会社 接合剤、窒化アルミニウム接合体及びその製造方法
KR20060081562A (ko) * 2005-01-10 2006-07-13 (주)엔트로피 정전척의 제조방법
US7718007B2 (en) 2005-03-17 2010-05-18 Tokyo Electron Limited Substrate supporting member and substrate processing apparatus
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
JP4657824B2 (ja) * 2005-06-17 2011-03-23 東京エレクトロン株式会社 基板載置台、基板処理装置および基板載置台の製造方法
TWI358460B (en) 2005-08-17 2012-02-21 Applied Materials Inc Substrate support having brazed plates and resista
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US20070090516A1 (en) 2005-10-18 2007-04-26 Applied Materials, Inc. Heated substrate support and method of fabricating same
JP4727434B2 (ja) 2006-01-18 2011-07-20 住友大阪セメント株式会社 静電チャック装置
JP2007317772A (ja) 2006-05-24 2007-12-06 Shinko Electric Ind Co Ltd 静電チャック装置
US20080006204A1 (en) 2006-07-06 2008-01-10 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080016684A1 (en) 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
JP2008016709A (ja) 2006-07-07 2008-01-24 Shinko Electric Ind Co Ltd 静電チャックおよびその製造方法
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US20080062610A1 (en) 2006-08-10 2008-03-13 Shinji Himori Electrostatic chuck device
CN100468619C (zh) 2006-08-23 2009-03-11 北京北方微电子基地设备工艺研究中心有限责任公司 刻蚀设备的控温装置及其控制晶片温度的方法
US20090186195A1 (en) 2006-09-08 2009-07-23 Reactive Nanotechnologies, Inc. Reactive Multilayer Joining With Improved Metallization Techniques
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
US7773650B2 (en) 2006-12-28 2010-08-10 Nichia Corporation Nitride semiconductor laser element
JP5053696B2 (ja) 2007-04-26 2012-10-17 信越化学工業株式会社 静電チャック
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
JP5135915B2 (ja) 2007-06-28 2013-02-06 東京エレクトロン株式会社 載置台構造及び熱処理装置
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
TWI459851B (zh) 2007-09-10 2014-11-01 Ngk Insulators Ltd heating equipment
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
JP5236927B2 (ja) 2007-10-26 2013-07-17 信越化学工業株式会社 耐腐食性積層セラミックス部材
JP5080954B2 (ja) 2007-12-17 2012-11-21 日本発條株式会社 ヒータユニットとその製造方法
US8129029B2 (en) * 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
KR20090071848A (ko) * 2007-12-28 2009-07-02 주식회사 동부하이텍 정전척(esc) 패드 재생용 마스크
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US20090302002A1 (en) 2008-02-29 2009-12-10 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
KR20090097229A (ko) 2008-03-11 2009-09-16 전영재 반도체 및 lcd 제조용 정전척
JP4450106B1 (ja) 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
TWI475594B (zh) * 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
JP5025576B2 (ja) 2008-06-13 2012-09-12 新光電気工業株式会社 静電チャック及び基板温調固定装置
US9543181B2 (en) 2008-07-30 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Replaceable electrostatic chuck sidewall shield
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
JP5248242B2 (ja) 2008-08-28 2013-07-31 日本発條株式会社 異材接合体の製造方法およびその方法による異材接合体
US7929269B2 (en) 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US9017765B2 (en) * 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
KR100997374B1 (ko) * 2009-08-21 2010-11-30 주식회사 코미코 정전척 및 이의 제조 방법
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
US8597448B2 (en) 2009-12-29 2013-12-03 Novellus Systems, Inc. Electrostatic chucks and methods for refurbishing same
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
WO2011150311A1 (en) * 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
CN103493194B (zh) 2011-06-02 2016-05-18 应用材料公司 静电夹盘的氮化铝电介质修复
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
TWM431174U (en) * 2011-12-07 2012-06-11 Chung Shan Inst Of Science Shade used for plasma melt-spraying
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
US20130273313A1 (en) * 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
JP2014027207A (ja) * 2012-07-30 2014-02-06 Hitachi Chemical Co Ltd 誘電体及びこの誘電体を用いた静電チャック
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
CN103021773B (zh) * 2012-12-31 2016-03-16 中微半导体设备(上海)有限公司 多孔复合陶瓷部件、其制备方法以及等离子体处理腔室
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
JP6070827B2 (ja) * 2013-03-29 2017-02-01 住友大阪セメント株式会社 静電チャック装置
JP6239296B2 (ja) * 2013-07-19 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置のステージ製造方法
TWM503654U (zh) * 2014-10-21 2015-06-21 Leading Prec Inc 層疊式靜電吸附盤及其半導體設備
TWI548753B (zh) * 2014-12-30 2016-09-11 財團法人工業技術研究院 組成物及應用其製成之塗層結構
FR3042137B1 (fr) * 2015-10-07 2017-12-01 Safran Piece de turbomachine revetue d'un revetement ceramique de protection, procede de fabrication et d'utilisation d'une telle piece
US10020218B2 (en) * 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features

Also Published As

Publication number Publication date
TWI774205B (zh) 2022-08-11
US11769683B2 (en) 2023-09-26
KR20180071409A (ko) 2018-06-27
TW201719799A (zh) 2017-06-01
JP6860564B2 (ja) 2021-04-14
KR102554268B1 (ko) 2023-07-10
US11476146B2 (en) 2022-10-18
JP2018536287A (ja) 2018-12-06
US20180301364A1 (en) 2018-10-18
CN108352354A (zh) 2018-07-31
US10679885B2 (en) 2020-06-09
US20200243368A1 (en) 2020-07-30
US10020218B2 (en) 2018-07-10
TWI809980B (zh) 2023-07-21
US20170140970A1 (en) 2017-05-18
US20220254672A1 (en) 2022-08-11
CN108352354B (zh) 2022-10-14
KR20230107413A (ko) 2023-07-14
TWI728977B (zh) 2021-06-01
TW202246198A (zh) 2022-12-01
CN115527915A (zh) 2022-12-27
WO2017087029A1 (en) 2017-05-26
TW202135221A (zh) 2021-09-16

Similar Documents

Publication Publication Date Title
CN108352354B (zh) 具有沉积表面特征结构的基板支撑组件
US9916998B2 (en) Substrate support assembly having a plasma resistant protective layer
US10119188B2 (en) Plasma erosion resistant rare-earth oxide based thin film coatings
CN111254436B (zh) 用于高温应用的耐等离子体腐蚀的薄膜涂层
US10541171B2 (en) Protective cover for electrostatic chuck
WO2014113244A1 (en) Temperature management of aluminium nitride electrostatic chuck
TW201932298A (zh) 用於高溫應用的耐電漿腐蝕薄膜塗層

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination