TWI809980B - 具有沉積表面特徵之基板支撐組件 - Google Patents

具有沉積表面特徵之基板支撐組件 Download PDF

Info

Publication number
TWI809980B
TWI809980B TW111126382A TW111126382A TWI809980B TW I809980 B TWI809980 B TW I809980B TW 111126382 A TW111126382 A TW 111126382A TW 111126382 A TW111126382 A TW 111126382A TW I809980 B TWI809980 B TW I809980B
Authority
TW
Taiwan
Prior art keywords
ceramic coating
coated chamber
chamber component
ceramic
protective
Prior art date
Application number
TW111126382A
Other languages
English (en)
Other versions
TW202246198A (zh
Inventor
威德格恩 波亞二世
維傑D 帕克
郭騰芳
丁振文
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202246198A publication Critical patent/TW202246198A/zh
Application granted granted Critical
Publication of TWI809980B publication Critical patent/TWI809980B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Adjustment Of The Magnetic Head Position Track Following On Tapes (AREA)

Abstract

一種製造靜電夾盤的方法,包括拋光靜電夾盤之陶瓷主體的表面,以產生拋光表面,並將陶瓷塗層沉積到該陶瓷主體之該拋光表面上,以產生經塗佈陶瓷主體。該方法進一步包括在該經塗佈陶瓷主體上方配置遮罩,該遮罩包含複數個橢圓形孔,並通過該遮罩的該複數個橢圓形孔沉積陶瓷材料,以在該經塗佈陶瓷主體上形成複數個橢圓形臺面,其中該複數個橢圓形臺面具有圓邊。然後從該經塗佈陶瓷主體移除該遮罩,並拋光該複數個橢圓形臺面。

Description

具有沉積表面特徵之基板支撐組件
本發明的實施例大體而言係關於基板支撐組件,例如具有帶有沉積表面特徵的耐電漿保護層的靜電夾盤。
在半導體工業中,元件是藉由數種產生尺寸不斷減小的結構的製造製程製造的。一些製造製程(例如電漿蝕刻和電漿清洗製程)使諸如靜電夾盤(ESC)的基板支座暴露(例如,在晶圓處理的過程中暴露ESC的邊緣及在腔室清洗的過程中暴露整個ESC)於高速電漿流,以蝕刻或清洗基板。電漿可能是高腐蝕性的,而且可能會腐蝕處理腔室及其他暴露於電漿的表面。
ESC通常具有藉由將正像遮罩放在ESC的表面上、然後通過正像遮罩珠擊ESC的暴露部分所形成的表面特徵。正像遮罩是含有將保持在晶圓上的圖案的精確複製本的遮罩。珠擊製程導致ESC表面中的尖銳邊緣和裂紋。此外,形成的表面特徵之間的空間(被稱為谷)具有大的粗糙度,該粗糙度會提供捕集顆粒的凹坑及會在熱膨脹過程中斷裂的尖峰。陷入的顆粒和斷裂的尖峰會在處理過程中在固持的晶圓背側上造成顆粒污染。
在一個實施例中,一種靜電夾盤包括導熱底座及黏接於該導熱底座的陶瓷主體,該陶瓷主體具有嵌入式電極。保護性陶瓷塗層覆蓋該陶瓷主體之表面。使多個沉積的橢圓形臺面分布於該陶瓷主體之該表面上。該等橢圓形臺面每個都具有圓邊。
在一個實施例中,一種製造靜電夾盤的方法包括拋光該靜電夾盤之陶瓷主體的表面,以產生拋光表面。該方法進一步包括將保護性陶瓷塗層沉積到該陶瓷主體之該拋光表面上,以產生經塗佈陶瓷主體。該方法進一步包括在該經塗佈陶瓷主體上方配置遮罩,該遮罩包含複數個橢圓形孔(例如圓形孔)。該方法進一步包括通過該遮罩的該複數個橢圓形孔沉積陶瓷材料,以在該經塗佈陶瓷主體上形成複數個橢圓形臺面,其中該複數個橢圓形臺面(例如圓形臺面)具有圓邊。然後移除該遮罩,並拋光該複數個橢圓形臺面。
在一個實施例中,一種用於將橢圓形臺面沉積於靜電夾盤的表面上的圓形遮罩包括具有第一直徑的主體,該第一直徑小於該靜電夾盤之第二直徑,該遮罩將被放置於該靜電夾盤上。該圓形遮罩進一步包括在該主體中的多個橢圓形通孔,該等橢圓形通孔具有大約1:2至大約2:1的深寬比。至少一橢圓形孔具有喇叭形頂端和喇叭形底端,其中該喇叭形頂端係用以通過該橢圓形孔注入粒子到該靜電夾盤上,以在該靜電夾盤上形成橢圓形臺面,而且其中該喇叭形底端防止該橢圓形臺面接觸該遮罩。
本發明的實施例提供具有帶圓邊的沉積臺面的基板支撐組件(例如靜電夾盤)。實施例還提供具有形成於該基板支撐組件之陶瓷主體上的保護性陶瓷塗層的基板支撐組件。該保護性陶瓷塗層可以提供耐電漿腐蝕性用於保護該陶瓷主體。臺面可被沉積在該保護性陶瓷塗層上,而且還可以耐電漿腐蝕。
在一個實施例中,靜電夾盤包括導熱底座(例如金屬或金屬合金底座)及黏接於該導熱底座的陶瓷主體(例如靜電定位盤)。充當保護層的保護性陶瓷塗層覆蓋該陶瓷主體的表面,而且許多的橢圓形(例如圓形)臺面被配置在該保護性陶瓷塗層上。在一個實施例中,藉由先將該保護性陶瓷塗層沉積在該陶瓷主體上、然後通過遮罩中的孔將橢圓形臺面沉積於該陶瓷主體上來製造該靜電夾盤。本文中使用的術語臺面意指基板上具有陡邊和平坦或平緩傾斜頂表面的突起。
尤其,本文的實施例中描述的靜電夾盤和其他基板支座具有藉由通過負像遮罩沉積臺面所產生的臺面。負像遮罩是含有與將被形成在靜電夾盤上的圖案確切相反的圖案的遮罩。換句話說,負像遮罩具有將要在靜電夾盤上形成特徵的空隙。與此相反,傳統上是藉由通過正像遮罩(含有與將被轉移到該靜電夾盤上的圖案的精確複製本的遮罩)珠擊靜電夾盤的表面而將臺面形成在靜電夾盤的表面上。通過珠擊製程形成的臺面具有尖銳的邊緣,尖銳的邊緣會碎裂並在被該靜電夾盤支撐的晶圓的背側上造成顆粒污染。然而,依據本文描述的實施例沉積的臺面具有更加不易碎裂的圓邊(例如頂帽形輪廓)。
此外,傳統上用以在靜電夾盤中產生臺面的珠擊製程會導致產生的臺面之間的區域(谷)具有高的表面粗糙度。高的表面粗糙度會作為捕集顆粒的凹坑,然後顆粒可能在處理過程中被釋放到支撐晶圓的背側上。此外,谷的粗糙表面中的局部尖峰會在熱循環過程中斷裂和脫落。這會成為顆粒污染物的另一個來源。然而,在本文描述的實施例中,靜電定位盤的表面在沉積臺面之前被拋光。因此,沉積的臺面之間的谷具有非常低的表面粗糙度(例如大約4-10微英吋),從而進一步減少背側的顆粒污染。
在本文的實施例中描述的靜電夾盤還包括充當用於該靜電夾盤的保護層的毯覆保護性陶瓷塗層。該保護性陶瓷塗層覆蓋該靜電夾盤的表面,並在該靜電夾盤的表面被拋光之後被沉積到該靜電夾盤上。該保護性陶瓷塗層是非常保形的,並具有與拋光的靜電夾盤大約相同的表面粗糙度。該保護性陶瓷塗層及被沉積在該保護性陶瓷塗層上的臺面可以每個都是耐電漿材料,例如釔鋁石榴石(YAG)。因此,靜電夾盤(包括被形成在該靜電夾盤上的臺面)可以耐氯、氟及氫基電漿。
第1圖為其中有配置基板支撐組件148的半導體處理腔室100的一個實施例的剖視圖。依據本文描述的實施例,基板支撐組件148包括具有靜電定位盤166的靜電夾盤150,靜電定位盤166具有放置的圓邊臺面。
處理腔室100包括包圍內部容積106的腔室主體102和蓋體104。腔室主體102可以由鋁、不銹鋼或其他適當材料製成。腔室主體102通常包括側壁108和底部110。可將外襯墊116配置於鄰接側壁108,以保護腔室主體102。外襯墊116可由耐電漿或含鹵素氣體的材料製成及/或塗有耐電漿或含鹵素氣體的材料。在一個實施例中,外襯墊116是由氧化鋁製成。在另一個實施例中,外襯墊116是由氧化釔、釔合金、或上述之氧化物製成及/或塗有氧化釔、釔合金、或上述之氧化物。
可以將排氣口126界定於腔室主體102中,而且可以將內部容積106耦接到泵系統128。泵系統128可以包括一個或更多個泵和節流閥用以抽出和調節處理腔室100的內部容積106的壓力。
蓋體104可被支撐在腔室主體102的側壁108上。蓋體104可被打開以允許進出處理腔室100的內部容積106,而且可以在關閉時為處理腔室100提供密封。可以將氣體控制板158耦接到處理腔室100,以通過氣體分配組件130提供處理及/或清潔氣體到內部容積106,氣體分配組件130是蓋體104的一部分。可流入處理腔室的處理氣體之實例包括含鹵素氣體,例如C 2F 6、SF 6、SiCl 4、HBr、NF 3、CF 4、CHF 3、CH 2F 3、Cl 2及SiF 4等等、以及其他氣體,例如O 2或N 2O。值得注意的是,該處理氣體可被用於產生高腐蝕性的氯基電漿、氟基電漿及/或氫基電漿。氣體分配組件130可在氣體分配組件130的下游表面上具有多個孔洞(aperture)132,以將氣流引導到由基板支撐組件148支撐的基板144(例如晶圓)的表面。另外,或替代地,氣體分配組件130可以具有中心孔,在該中心孔通過陶瓷氣體噴嘴供應氣體。
基板支撐組件148被配置在處理腔室100的內部容積106中、氣體分配組件130的下方。在處理過程中基板支撐組件148固持基板144。內襯墊118可被塗佈在基板支撐組件148的周圍。內襯墊118可以是耐含鹵素氣體的材料,例如參照外襯墊116討論的那些材料。在一個實施例中,內襯墊118可以由與外襯墊116相同的材料製成。
在一個實施例中,基板支撐組件148包括支撐基座152的安裝板162、及靜電夾盤150。安裝板162可被耦接到腔室主體102的底部110,並包括用於為公用設施(例如流體、電力線、感測器引線等)設定到導熱底座164和靜電定位盤166的路線的通道。在一個實施例中,靜電夾盤150還包括藉由聚矽氧黏接劑138黏結於靜電定位盤166的導熱底座164。
靜電定位盤166可以是包括一個或更多個定位電極(也被稱為夾持電極)180的陶瓷主體,夾持電極180由夾持電源182控制。在一個實施例中,靜電定位盤166是由氮化鋁(AlN)或氧化鋁(Al 2O 3)組成。靜電定位盤166可以替代地由氧化鈦(TiO)、氮化鈦(TiN)、碳化矽(SiC)、或類似物組成。電極180(或其他位在靜電定位盤166中的電極)可被進一步通過匹配電路188耦接到一個或更多個射頻(RF)電源184、186,以在處理腔室100內保持從處理及/或其他氣體形成的電漿。一個或更多個RF電源184、186通常能夠產生頻率約50 kHz至約3 GHz且功率高達約10,000瓦的RF訊號。
靜電定位盤166的上表面被保護性陶瓷塗層136覆蓋,保護性陶瓷塗層136被沉積在靜電定位盤166上。在一個實施例中,該保護性陶瓷塗層為Y 3Al 5O 12(釔鋁石榴石,YAG)塗層。或者,該保護性陶瓷塗層可以是Al 2O 3、AlN、Y 2O 3(氧化釔)、或AlON(鋁氧氮化物)。靜電定位盤166的上表面進一步包括已被沉積到該上表面上的多個臺面及/或其他表面特徵。可以在靜電定位盤166上沉積保護性陶瓷塗層146之前或之後將該臺面及/或其他表面特徵沉積到靜電定位盤166的表面上。
靜電定位盤166還包括一個或更多個氣體通道(例如在靜電定位盤166中鑽出的孔)。在操作中,可以在受控的壓力下提供背側氣體(例如He)到氣體通道中,以增強靜電定位盤166與基板144之間的熱傳。
導熱底座164可以是由例如鋁或鋁合金構成的金屬底座。或者,導熱底座164可以由陶瓷複合物製成,例如滲入SiC的鋁-矽合金,以匹配陶瓷主體的熱膨脹係數。導熱底座164應提供良好的強度和耐用性以及傳熱性能。在一個實施例中,導熱底座164具有每米凱氏溫度超過200瓦的導熱率(W/m K)。
導熱底座164及/或靜電定位盤166可以包括一個或更多個嵌入式加熱元件176、嵌入式熱隔離體174及/或管道168、170,以控制基板支撐組件148的橫向溫度分佈。管道168、170可以流體耦接到流體源172,流體源172使溫度調節流體循環通過管道168、170。在一個實施例中,嵌入式熱隔離體174可被配置在管道168、170之間。可藉由加熱器電源178調節一個或更多個嵌入式加熱元件176。可以利用管道168、170和一個或更多個嵌入式加熱元件176來控制導熱底座164的溫度,從而加熱及/或冷卻靜電定位盤166和被處理的基板144。可以使用多個溫度感測器190、192來監控靜電定位盤166和導熱底座164的溫度,溫度感測器190、192可以使用控制器195監控。
第2A圖描繪靜電定位盤200的表面212上的橢圓形臺面202的例示圖案之俯視圖。為了說明的目的,只有圖示出16個臺面。然而,靜電定位盤200的表面可以具有數百或數千個形成在其上的臺面。第2B圖描繪沿著第2A圖的中心線3-3所作的靜電定位盤垂直剖視圖。靜電定位盤200包括一個或更多個嵌入式電極250。靜電定位盤200可以是靜電夾盤最上面的元件,例如第1圖的靜電夾盤150。靜電定位盤200具有像圓盤的形狀,該形狀具有環形的周邊,該周邊可以大致上匹配位於靜電定位盤200上的被支撐基板244的形狀和尺寸。在一個實施例中,靜電定位盤200對應於第1圖的靜電定位盤166。
在第2A圖圖示的實例中,將橢圓形臺面202描繪為被沿著靜電定位盤200的表面212上的同心圓204和206定位。然而,任何分佈於靜電定位盤200的表面212上的臺面202圖案都是可能的。在一個實施例中橢圓形臺面202是圓形的。或者,橢圓形臺面202的形狀可以是橢圓形或具有其他的橢圓形狀。
臺面202被形成為厚度介於2-200微米(μm)之間並且在平面圖中的尺寸(例如直徑)介於0.5和5 mm之間的個別墊。在一個實施例中,臺面202具有介於2-20微米的厚度及約0.5-3 mm的直徑。在一個實施例中,臺面202具有約3-16微米的厚度和約0.5-2 mm的直徑。在一個實施例中,臺面具有約10微米的厚度和約1 mm的直徑。在一個實施例中,臺面具有約10-12微米的厚度和約2 mm的直徑。在一些實施例中,臺面具有均一的形狀和尺寸。或者,各種臺面可以具有不同的形狀及/或不同的尺寸。橢圓形臺面202的側壁可以是垂直的或傾斜的。值得注意的是,每一個臺面202都具有圓邊,其中臺面202將在圓邊與基板244接觸。這可以最少化臺面202的碎裂並減少在基板244背側上的顆粒污染。此外,圓邊可以減少或消除基板244的背側由於夾持所造成的刮傷。或者,臺面202可以具有去角的邊緣。
將臺面220的一些例示側面輪廓圖示在第3A-3D圖中。如圖所示,在第3A-3D圖的每一個例示側面輪廓中,臺面的邊緣是圓的。第3A-B圖的側面輪廓是頂帽形輪廓的變化。
返回參照第2A-2B圖,臺面202是已藉由形成緻密、保形陶瓷層的沉積製程形成的沉積臺面,該沉積製程例如離子輔助沉積(IAD)。參照第5圖討論臺面202的沉積。在圖示的實施例中,臺面202已被直接沉積到靜電定位盤200的表面212上,沒有在表面212上先沉積保護性陶瓷塗層。然而,也可以在沉積橢圓形臺面202之前或之後沉積保護性陶瓷塗層。臺面202的平均表面粗糙度可為約2-12微英吋。在一個實施例中,臺面202的平均表面粗糙度為約4-8微英吋。
在一個實施例中,臺面202是由YAG形成的。在一個實施例中,臺面是由非晶形陶瓷構成的,該非晶形陶瓷包括釔、鋁及氧(例如處於非晶形形式的YAG)。非晶形陶瓷可以包括至少8重量%的釔。在一個實施例中,非晶形陶瓷包括約8-20重量%的釔、20-32重量%的鋁、及60-70重量%的氧。在一個實施例中,非晶形陶瓷包括約9-10重量%的釔、約25-26重量%的鋁、及約65-66重量%的氧。在替代的實施例中,臺面202可以是Al 2O 2、AlN、Y 2O 3、或AlON。
靜電定位盤200的表面212還在靜電定位盤200的外週220包括處於環218的形式的凸起邊緣。環218具有的厚度和材料成分可以與橢圓形臺面202的厚度和材料成分相同或大約相同。在形成臺面202的同時環218可以已經藉由沉積形成。環218也可具有圓形邊緣,環218在該圓形邊緣與基板244接觸。或者,環218可具有去角的邊緣,或者可具有既不是圓形、也不是去角的邊緣。在一個實施例中,環218的內緣是圓形的,而環218的外緣不是圓形的。
橢圓形臺面202和環218的頂部接觸被支撐基板244的背側。橢圓形臺面202最小化基板244的背側與靜電定位盤200的表面212的接觸面積,並促進夾持和去夾持操作。還可以將諸如He的氣體泵入基板與靜電夾盤200之間的區域,以促進基板244與靜電夾盤200之間的熱傳。環218可以作為防止氣體從靜電夾盤200與基板244之間的空間逸出的密封環。
第4圖依據一個實施例圖示靜電夾盤400的剖面側視圖。靜電夾盤400包括藉由諸如聚矽氧黏接劑的黏接劑452耦接到靜電定位盤402的導熱底座464(例如金屬底座)。黏接劑452可以是例如聚二甲基矽氧烷(PDMS)黏接劑。靜電定位盤402可​​以是具有一個或更多個嵌入式電極的大致圓盤狀介電質陶瓷主體。靜電定位盤402可​​以是體燒結陶瓷,例如氧化鋁(Al 2O 3)、氮化鋁(AlN)、氧化鈦(TiO)、氮化鈦(TiN)、碳化矽(SiC)及類似物。靜電定位盤402可​​以包括一個或更多個嵌入式電極436及/或電阻加熱元件438(例如內電阻加熱元件和外電阻加熱元件。石英環446或其他保護環可以圍繞並覆蓋靜電夾盤400的某些部份。可以將基板444降低到靜電夾盤400上並藉由提供訊號到一個或更多個電極436經由靜電力保持就位。
導熱底座464設以對靜電定位盤402提供物理支撐。在一些實施例中,導熱底座464還設以提供溫度控制。導熱底座464可以由導熱材料製成,例如金屬,如鋁或不銹鋼。導熱底座464可以包含一個或更多個熱交換器,例如嵌入式加熱元件、藉由使冷卻和加熱流體循環通過通道來提供熱交換的流體通道、或上述之組合。在第1圖中,導熱底座464包括多個也被稱為管道470(例如內管道和外管道)的流體通道,可以使流體流過管道470,以通過導熱底座464和靜電夾盤400的其他元件與基板444之間的熱能交換來加熱或冷卻導熱底座464、靜電夾盤400、及基板444。可以使用溫度感測器490來監控導熱底座464的溫度。
在一個實施例中,靜電夾盤150另外包括陶瓷塗層496,陶瓷塗層496填充及/或覆蓋在靜電定位盤402的表面中的缺陷,例如微裂紋、孔隙(pore)、針孔、及類似物。陶瓷塗層496可被稱為覆蓋陶瓷塗層或毯覆陶瓷塗層,而且可以覆蓋靜電定位盤402的整個表面。或者,靜​​電夾盤150可以不包括陶瓷塗層496。在一個實施例中,陶瓷塗層496是由與靜電定位盤402相同的陶瓷構成的。因此,假使靜電定位盤402為AlN,則覆蓋陶瓷塗層496也是AlN。或者,假使靜電定位盤402是Al 2O 3,則陶瓷塗層496也是Al 2O 3。或者,陶瓷塗層可以由與第二陶瓷塗層494(下面討論)相同的材料構成。在一個實施例中,陶瓷塗層496具有小於1微米至多達幾十微米的厚度。
當被沉積以填充深度多達約5微米或更大的孔隙時,陶瓷塗層496最初可以具有至少5微米的厚度。然而,陶瓷塗層496可以被拋光到1微米或更小的厚度。在一些情況下,陶瓷塗層496可以大體上被拋光掉,使得陶瓷塗層496只保持在所填充的靜電定位盤402的孔隙中。陶瓷塗層496可被拋光到2-12微英吋的平均表面粗糙度(Ra)。在一個實施例中,陶瓷塗層496被拋光到​​約4-8微英吋的表面粗糙度。假使不使用覆蓋陶瓷塗層,則可以將靜電定位盤402的表面拋光到2-12微英吋的表面粗糙度。
在一個實施例中,陶瓷塗層496(或靜電定位盤402)被拋光到大約4-8微英吋的平均表面粗糙度。較低的表面粗糙度對於最少化顆粒污染及密封晶粒邊界而言是理想的。一般來說,表面粗糙度愈低,則出現的顆粒污染愈少。此外,藉由在陶瓷塗層494及/或靜電定位盤402中密封晶粒邊界,陶瓷塗層494及/或靜電定位盤402變得更耐腐蝕。然而,表面粗糙度愈低,則存在用於後續沉積陶瓷塗層494及/或臺面492的成核位點的數量愈大。此外,降低表面粗糙度會降低後續塗層在靜電定位盤402上的黏著強度。因此,意外發現的是,當陶瓷塗層496及/或靜電定位盤402的表面被拋光到小於約4微英吋時性能會降低。
靜電夾盤400還包括陶瓷塗層494,在實施例中陶瓷塗層494是一種保護性陶瓷塗層。陶瓷塗層494可被配置在陶瓷塗層496上,或者假使沒有沉積覆蓋陶瓷塗層,則陶瓷塗層494可以被配置在靜電定位盤402上。陶瓷塗層494保護靜電定位盤402免於腐蝕性化學品的侵蝕,該腐蝕性化學品例如氫基電漿、氯基電漿、及氟基電漿。陶瓷塗層494可以具有幾微米到幾百微米的厚度。
在一個實施例中,陶瓷塗層494具有約5-30微米的厚度。陶瓷塗層494可以是高度保形的塗層,並且陶瓷塗層494具有的表面粗糙度可以大體上匹配陶瓷塗層496及/或靜電定位盤402的表面粗糙度。假使沉積並拋光了陶瓷塗層496,則陶瓷塗層494可以大體上無孔隙、針孔、微裂紋等。陶瓷塗層494可以是Al 2O 3、AlN、Y 2O 3、Y 3Al 5O 12(YAG)、及AlON。在一個實施例中,陶瓷塗層494是具有至少8重量%的釔的非晶形YAG。在一個實施例中,陶瓷塗層494具有約9千兆帕斯卡(GPA)的維氏硬度(5 Kgf)。另外,在一個實施例中,陶瓷塗層494具有大約4.55 g/cm 3的密度、約280 MPa的撓曲強度、約2.0 MPa·m 1/2的斷裂韌性、約160 MPa的楊氏模數、約8.2 x 10 -6/K的熱膨脹係數(20〜900℃)、約12.9 W/mK的熱導率、在室溫下大於10 14Ω·cm的體積電阻率、以及大約0.2-0.3的摩擦係數。
如以上簡要提及的,由於一些與粗糙度相關的成核位點,陶瓷塗層494和臺面492的結構至少部分地依賴於靜電定位盤402及/或陶瓷塗層496的粗糙度。當靜電定位盤402及/或陶瓷塗層496的表面粗糙度低於約3微英吋時,上面被沉積陶瓷塗層494的表面具有非常多的成核位點。此大量的成核位點生成了完全非晶形的結構。然而,藉由將陶瓷塗層494沉積到表面粗糙度約4-8微英吋的表面上,陶瓷塗層494生長或被沉積為具有許多垂直纖維的非晶形結構,而不是純粹非晶形的結構。
在一個實施例中,臺面492和環493被沉積在陶瓷塗層494上。在這樣的一實施例中,臺面492可以由與陶瓷塗層494相同的材料構成。或者,可以在陶瓷塗層494之前沉積臺面492和環493(因此可以在陶瓷塗層494下方)。在這樣的一實施例中,臺面492和環493可以是與靜電定位盤402相同的材料或是與陶瓷塗層494相同的材料。臺面可以大約3-15微米高(在一個實施例中約10-15微米高),而且在一些實施例中直徑約0.5-3 mm。
假使靜電夾盤400在使用後進行維修,則在實施例中陶瓷塗層494的厚度可以是至少20微米,而且在一個實施例中大約20-30微米。為了維修靜電夾盤400,可以藉由研磨移除臺面492,而且可以另外藉由研磨移除一部分的陶瓷塗層494。在研磨過程中移除的材料量可以取決於靜電夾盤400表面的彎曲量。例如,假使臺面是8微米厚並且在靜電夾盤400中有5微米的彎曲,則可以從靜電夾盤400的表面移除大約15微米,以完全移除臺面492並移除5微米的彎曲。在實施例中至少20微米的厚度可以確保下方的靜電定位盤402在維修過程中不被研磨。一旦經由研磨移除了臺面和彎曲,則可以將新的陶瓷塗層施加於陶瓷塗層494的剩餘部分,而且可以如本文所述在新的陶瓷塗層上形成新的臺面492及/或其他表面特徵。
第5圖圖示用於製造靜電夾盤的製程500的一個實施例。可以進行製程500以製造任何在本文的實施例中描述的靜電夾盤,例如第4圖的靜電夾盤400。在製程500的方塊505,將初始陶瓷塗層(被稱為覆蓋陶瓷塗層)沉積到靜電夾盤的陶瓷主體上以填充陶瓷主體中的孔隙、針孔、微裂紋等。覆蓋陶瓷塗層可以由與陶瓷主體相同的材料形成。例如,陶瓷主體和覆蓋陶瓷塗層都可以是AlN或Al 2O 3。或者,覆蓋陶瓷塗層可以由與後續沉積的保護性陶瓷塗層相同的材料形成。例如,覆蓋陶瓷塗層和保護性陶瓷塗層都可以是YAG、Y 2O 3、Al 2O 3、AlN或AlON。
在一個實施例中,覆蓋陶瓷塗層是經由離子輔助沉積(IAD)所沉積的。例示性的IAD法包括其中併入離子轟擊的沉積製程,例如在離子轟擊存在下的蒸發(​​例如活化反應蒸發(ARE))及濺射,以形成本文描述的塗層。一種例示的IAD製程是電子束IAD(EB-IAD)。其他可用於沉積覆蓋陶瓷塗層的保形緻密沉積製程包括低壓電漿噴灑(LPPS)、電漿噴灑物理氣相沉積(PS-PVD)、及電漿噴灑化學氣相沉積(PS-CVD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、濺射、或上述製程之組合。還可以使用其他的保形沉積技術。
假使使用IAD沉積覆蓋陶瓷塗層,則可在諸如離子的高能粒子存在下藉由沉積材料的積累而在陶瓷主體上形成覆蓋陶瓷塗層。沉積材料可以包括原子、離子、自由基等等。高能粒子可以在形成時撞擊和壓實薄膜保護層。材料源提供沉積材料流,而高能粒子源提供高能粒子流,前述兩者在整個IAD製程期間皆撞擊於陶瓷主體上。高能粒子源可以是氧或其他離子源。高能粒子源還可以提供其他類型的高能粒子,例如來自粒子產生源(例如來自電漿、反應氣體或來自提供沉積材料的材料源)的惰性自由基、中子原子、及奈米尺寸粒子。
用以提供沉積材料的材料源(例如靶材主體)可以是對應於構成覆蓋陶瓷塗層的相同陶瓷的燒結陶瓷塊。也可以使用其他靶材,例如粉末、煅燒粉末、預成形材料(例如藉由生坯壓製或熱壓形成的)、或機械加工主體(例如熔融材料)。
IAD可以利用一種或更多種電漿或束(例如電子束)來提供材料和高能離子源。還可以在耐電漿塗層的沉積過程中提供反應物種。在一個實施例中,高能粒子包括不反應物種(例如Ar)或反應物種(例如O)中之至少一者。在進一步的實施例中,還可以在耐電漿塗層的形成過程中引入諸如CO和鹵素(Cl、F、Br等)的反應物種。使用IAD製程時,可以獨立於其他沉積參數藉由高能離子(或其他粒子)源來控制高能粒子。可以依據高能離子流的能量(例如速度)、密度及入射角來操縱陶瓷塗層的成分、結構、結晶方向及晶粒尺寸。可以調整的其他參數是工作距離和入射角。
可以使用塗佈後熱處理來實現改良的塗層性質。例如,可以使用塗佈後熱處理來將非晶形塗層轉化為具有較高耐蝕性的結晶塗層。另一個實例是藉由形成反應區域或過渡層來改善塗層對基板的黏接強度。
IAD沉積的覆蓋陶瓷塗層可以具有相對低的薄膜應力(例如與藉由電漿噴灑或濺射導致的薄膜應力相比)。相對低的薄膜應力可以導致陶瓷主​​體保持非常平坦,對於直徑12英吋的陶瓷主體來說,在整個陶瓷主體間具有小於約50微米的曲率。IAD沉積的覆蓋陶瓷塗層可以另外具有小於1%、而且在一些實施例中小於約0.1%的孔隙率。因此,IAD沉積的覆蓋陶瓷塗層是緻密的結構。此外,IAD沉積的覆蓋陶瓷塗層可具有低裂紋密度和高的、對陶瓷主體的黏著性。
陶瓷主體可以是先前描述的靜電定位盤。陶瓷主體可能已經歷一些處理,例如用以形成嵌入式電極及/或嵌入式加熱元件。陶瓷主體的下表面可以藉由聚矽氧黏接劑黏接於導熱底座。在替代的實施例中,不進行方塊505的操作。
在方塊510,將陶瓷主體的表面拋光,以產生表面粗糙度約2-12微英吋的拋光表面。在一個實施例中,陶瓷主體的表面被拋光到平均表面粗糙度(Ra)為約4-8微英吋。除了填補孔隙、針孔等的部分初始陶瓷塗層之外,拋光可以減少初始陶瓷塗層及/或可以幾乎完全移除初始陶瓷塗層。
在方塊520,使陶瓷塗層(例如保護性陶瓷塗層)沉積或生長在陶瓷主體的拋光表面上(例如在初始陶瓷塗層上)。在一個實施例中,陶瓷塗層是YAG、Y 2O 3、Al 2O 3、AlN或AlON。陶瓷塗層可以是可藉由參照方塊505討論的任何沉積技術沉積的保形塗層。例如,陶瓷塗層可以藉由進行諸如EB-IAD的IAD進行沉積。陶瓷塗層可被沉積到高達幾百微米的厚度。在一個實施例中,陶瓷塗層被沉積到大約5-30微米的厚度。在一個實施例中,陶瓷塗層被沉積到約5-10微米的厚度。在一個實施例中,陶瓷塗層被沉積到約20-30微米的厚度。
在方塊520,將負像遮罩配置在經塗佈陶瓷主體上方。負像遮罩可以是具有類圓盤形狀的圓形遮罩。負像遮罩可以具有比陶瓷主體的直徑略小的直徑。負像遮罩可以另外包括許多通孔,其中每個通孔都是將被形成在陶瓷主體上的臺面的負像。在下面參照第6A-C圖和第7圖更詳細地討論負像遮罩。在一個實施例中,藉由黏著劑將負像遮罩黏接於陶瓷主體(例如被膠黏於陶瓷主體)。或者,可以藉由機械固持器將負像遮罩保持在陶瓷主體上方的位置。
在方塊525,通過負像遮罩的孔沉積陶瓷材料,以形成具有圓邊的臺面。此外,可以在陶瓷主體的周邊將陶瓷材料沉積在陶瓷主體的暴露部分上,以在陶瓷主體上形成環。環可以在與臺面同一時間形成。臺面和環可以是保形且緻密的,而且可以藉由以上參照方塊505討論的任何沉積技術沉積。例如,可以使用諸如EB-IAD的IAD沉積臺面和環。
在一個實施例中,遮罩中的孔具有喇叭形頂端和喇叭形底端。該喇叭形頂端充當漏斗,以將材料注入孔中並提高沉積速率。該喇叭形底端結合孔的深寬比(例如1:2至2:1的深寬比)可以發揮控制沉積臺面及/或沉積環的形狀的功能。例如,深寬比與該喇叭形底端組合可以導致沉積的臺面具有圓邊及/或頂帽形輪廓。此外,該喇叭形底端防止臺面接觸孔的壁。此舉可以防止臺面黏接於遮罩及將遮罩黏接於陶瓷主體。
在一個實施例中,環的內緣是圓的,但環的外緣不是圓的。這可能是因為負像遮罩的形狀可能會在沉積過程中使環的內緣變成圓的,但有可能在環的外緣沒有遮罩部分,以控制沉積的形狀。或者,環的邊緣可以不是圓的。
在方塊530,從陶瓷主體移除遮罩。在方塊535,將臺面和環拋光。可以進行軟拋光製程來拋光臺面。軟拋光可以至少部分拋光臺面的壁以及臺面的頂部。
在方法500中,先沉積保護性陶瓷塗層,然後沉積臺面和環。然而,在替代的實施例中,可以在保護性陶瓷塗層之前沉積臺面和環,而且可以將保護性陶瓷塗層沉積在臺面上。保護性陶瓷塗層可以是高度保形的,所以在臺面和環上沉積保護性陶瓷塗層之後臺面和環的形狀可以保持不變。
第6A-C圖圖示通過遮罩610沉積陶瓷材料,以在靜電夾盤640的表面上形成具有圓邊的圓形臺面。遮罩615包括多個孔615。在一個實施例中,遮罩為大約1-3 mm厚。在一個實施例中,遮罩為約2 mm厚。在一個實施例中,孔是直徑約0.5-3 mm的圓孔。在一個實施例中,孔具有約0.5-2 mm的直徑。在一個實施例中,孔具有約1 mm的直徑。在一個實施例中,孔是等尺寸的。或者,孔可以具有不同的直徑。在一個實施例中,孔具有1:2至2:1寬度對高度的深寬比。
如圖所示,在一些實施例中,孔具有喇叭形頂端620和喇叭形底端625。喇叭形端部具有的直徑可以比在孔的最窄區域(例如在孔中垂直居中的區域)的孔直徑大約30-70%。在一個實施例中,喇叭形端部具有的直徑比在最窄區域的孔直徑大約50%。頂端和底端可以具有形狀和尺寸相同的展開。或者,頂端的展開可以具有與在底端的展開不同的尺寸及/或形狀。
將遮罩610放在靜電夾盤640上方,靜電夾盤640包括已被沉積到靜電夾盤640的表面上的保護性陶瓷層635。在第6A圖中,已經沉積了具有圓邊的小臺面630。在第6B圖中,沉積一直持續,而且小臺面630已變成具有圓邊的較大臺面631。在第6C圖中,沉積一直持續到完成,而且臺面632已達到其最終尺寸。值得注意的是,由於喇叭形底端625,臺面632不會接觸孔615的壁。
第7圖圖示依據一個實施例用以在靜電夾盤的陶瓷主體705上形成臺面和環的遮罩710之俯視圖。如圖所示,遮罩710是具有第一直徑的負像遮罩,該第一直徑小於陶瓷主體705的第二直徑。因此,沉積製程可以使環形成於陶瓷主體未被遮罩710覆蓋的陶瓷主體周邊。遮罩710另外包括許多孔715。沉積製程使臺面形成於各個孔715。
前面的描述闡述許多的具體細節,例如特定系統、元件、方法等等的實例,以提供對本發明的若干實施例的良好理解。然而,對於所屬技術領域中具有通常知識者而言顯而易見的是,至少有一些本發明的實施例可以在沒有這些具體細節的情況下實施。在其他實例中,並未詳細描述或僅以簡單的方塊圖形式呈現眾所周知的元件或方法,以避免不必要地模糊了本發明。因此,所提出的具體細節只是例示性的。特定的實施方式可以與這些例示性細節不同,而且仍被視為在本發明的範圍內。
貫穿本說明書提及的「一個實施例」或「一實施例」意指關聯該實施例所描述的特定特徵、結構、或特性被包括在至少一個實施例中。因此,貫穿本說明書在各個地方出現的詞語「在一個實施例中」或「在一實施例中」未必全都指同一個實施例。此外,用語「或」意圖表示涵括性的「或」而不是排他性的「或」。當本文中使用用語「約」或「大約」時,這意圖表示所呈現的標稱值為±10%內精確的。
雖然以特定的順序圖示和描述了本文方法的操作,但仍可以改變每個方法的操作順序,使得某些操作可被以相反的順序進行,或者使得某些操作可以至少部分與其他操作同時進行。在另一個實施例中,可以以間歇的及/或交替的方式進行不同操作的指令或子操作。在一個實施例中,多個金屬黏接操作被作為單一步驟進行。
應當理解的是,以上的描述意圖為說明性的而不是限制性的。在閱讀和理解以上的描述後,許多其他的實施例對於所屬技術領域中具有通常知識者而言將是顯而易見的。因此,本發明的範圍應當參照所附的申請專利範圍連同這些申請專利範圍賦予的均等物之完整範圍來決定。
100:半導體處理腔室 102:腔室主體 104:蓋體 106:內部容積 108:側壁 110:底部 116:外襯墊 118:內襯墊 126:排氣口 128:泵系統 130:氣體分配組件 132:孔洞 136:保護性陶瓷塗層 138:聚矽氧黏接劑 144:基板 148:基板支撐組件 150:靜電夾盤 152:支撐基座 158:氣體控制板 162:安裝板 164:導熱底座 166:靜電定位盤 168:管道 170:管道 172:流體源 174:嵌入式熱隔離體 176:嵌入式加熱元件 178:加熱器電源 180:定位電極/夾持電極 182:夾持電源 184:射頻(RF)電源 186:射頻(RF)電源 188:匹配電路 190:溫度感測器 192:溫度感測器 195:控制器 200:靜電定位盤 202:橢圓形臺面 204:同心圓 206:同心圓 212:表面 218:環 220:臺面 244:被支撐基板 250:嵌入式電極 400:靜電夾盤 402:靜電定位盤 436:嵌入式電極 438:電阻加熱元件 444:基板 446:石英環 452:黏接劑 464:導熱底座 470:管道 490:溫度感測器 492:臺面 493:環 494:陶瓷塗層 496:陶瓷塗層 500:製程 505:方塊 510:方塊 515:方塊 520:方塊 525:方塊 530:方塊 535:方塊 610:遮罩 615:孔 620:喇叭形頂端 625:喇叭形底端 630:小臺面 631:較大臺面 632:臺面 635:保護性陶瓷層 640:靜電夾盤 705:陶瓷主體 710:遮罩 715:孔
本發明係藉由舉例的方式、而不是藉由限制的方式在附圖的圖式中進行說明,在該等附圖中類似的元件符號指示類似的元件。應當指出的是,在本揭示內容中個別提及「一」或「一個」實施例未必是指相同的實施例,而且這樣的提及意指至少一個。
第1圖繪示處理腔室的一個實施例之側面剖視圖;
第2A圖繪示靜電夾盤之表面上的橢圓形臺面之例示圖案的俯視圖;
第2B圖繪示第2A圖的靜電夾盤之垂直剖視圖;
第3A-D圖圖示依據本發明之實施例的例示臺面之側面輪廓;
第4圖繪示靜電夾盤的一個實施例之側面剖視圖;
第5圖圖示用於製造靜電夾盤的製程之一個實施例;
第6A-C圖圖示通過遮罩在靜電夾盤的表面上沉積陶瓷材料以形成具有圓邊的圓形臺面;以及
第7圖圖示依據一個實施例用以在靜電夾盤的陶瓷主體上形成臺面和環的遮罩之俯視圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
400:靜電夾盤
402:靜電定位盤
436:嵌入式電極
438:電阻加熱元件
444:基板
446:石英環
452:黏接劑
464:導熱底座
470:管道
490:溫度感測器
492:臺面
493:環
494:陶瓷塗層
496:陶瓷塗層

Claims (20)

  1. 一種經塗佈腔室元件,包含: 一主體;以及 一保護性陶瓷塗層,沉積在該主體之一表面上方,該保護性陶瓷塗層為非晶形且包含約8~20重量%的釔、約20~32重量%的鋁及約60~70重量%的氧。
  2. 如請求項1所述之經塗佈腔室元件,其中該保護性陶瓷塗層包含約9~10重量%的釔、約25~26重量%的鋁及約65~66重量%的氧。
  3. 如請求項1所述之經塗佈腔室元件,其中該經塗佈腔室元件包含一靜電定位盤。
  4. 如請求項1所述之經塗佈腔室元件,進一步包含: 複數個臺面,在該保護性陶瓷塗層上方或在該保護性陶瓷塗層下方。
  5. 如請求項1所述之經塗佈腔室元件,其中該主體係一陶瓷主體。
  6. 如請求項1所述之經塗佈腔室元件,其中該主體的該表面經拋光,以及其中該保護性陶瓷塗層係保形的並具有一表面粗糙度,該表面粗糙度與該主體的該表面的一表面粗糙度相同。
  7. 如請求項1所述之經塗佈腔室元件,其中該保護性陶瓷塗層包含非晶形釔鋁石榴石(YAG)。
  8. 如請求項1所述之經塗佈腔室元件,其中該主體包含氮化鋁或氧化鋁。
  9. 如請求項1所述之經塗佈腔室元件,其中該主體包含一導熱底座以及在該導熱底座上方的一陶瓷部分。
  10. 如請求項9所述之經塗佈腔室元件,其中該導熱底座包含鋁或一鋁合金。
  11. 如請求項1所述之經塗佈腔室元件,進一步包含: 一第一陶瓷塗層,沉積在該主體之該表面上,其中該保護性陶瓷塗層覆蓋該第一陶瓷塗層。
  12. 如請求項11所述之經塗佈腔室元件,其中該第一陶瓷塗層填充在該主體之該表面中的微裂紋、孔隙或針孔中的至少一者。
  13. 如請求項11所述之經塗佈腔室元件,其中該第一陶瓷塗層具有1微米或更小的一厚度。
  14. 如請求項11所述之經塗佈腔室元件,其中該第一陶瓷塗層包含氧化鋁。
  15. 如請求項1所述之經塗佈腔室元件,其中該保護性陶瓷塗層具有2~12微英吋的一平均表面粗糙度。
  16. 如請求項1所述之經塗佈腔室元件,其中該保護性陶瓷塗層具有4~8微英吋的一平均表面粗糙度。
  17. 如請求項1所述之經塗佈腔室元件,其中該保護性陶瓷塗層具有5~30微米的一厚度。
  18. 如請求項1所述之經塗佈腔室元件,其中該保護性陶瓷塗層實質上不含孔隙、針孔及微裂紋。
  19. 請求項1所述之經塗佈腔室元件,其中該保護性陶瓷塗層具有下列性質中的至少一者: 約9千兆帕斯卡(GPa)的一維氏硬度(5 Kgf); 大約4.55 g/cm 3的一密度; 約280 MPa的一撓曲強度; 約2.0 MPa·m 1/2的一斷裂韌性; 約160 MPa的一楊氏模數; 約8.2 x 10 -6/K的一熱膨脹係數(20〜900℃); 約12.9 W/mK的一熱導率; 在室溫下大於10 14Ω·cm的一體積電阻率;或 大約0.2~0.3的一摩擦係數。
  20. 如請求項1所述之經塗佈腔室元件,其中該保護性陶瓷塗層係一離子輔助沉積(IAD)塗層或一化學氣相沉積(CVD)塗層。
TW111126382A 2015-11-17 2016-06-04 具有沉積表面特徵之基板支撐組件 TWI809980B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/944,018 2015-11-17
US14/944,018 US10020218B2 (en) 2015-11-17 2015-11-17 Substrate support assembly with deposited surface features

Publications (2)

Publication Number Publication Date
TW202246198A TW202246198A (zh) 2022-12-01
TWI809980B true TWI809980B (zh) 2023-07-21

Family

ID=58690319

Family Applications (3)

Application Number Title Priority Date Filing Date
TW111126382A TWI809980B (zh) 2015-11-17 2016-06-04 具有沉積表面特徵之基板支撐組件
TW105117755A TWI728977B (zh) 2015-11-17 2016-06-04 具有沉積表面特徵之基板支撐組件
TW110102401A TWI774205B (zh) 2015-11-17 2016-06-04 具有沉積表面特徵之基板支撐組件

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW105117755A TWI728977B (zh) 2015-11-17 2016-06-04 具有沉積表面特徵之基板支撐組件
TW110102401A TWI774205B (zh) 2015-11-17 2016-06-04 具有沉積表面特徵之基板支撐組件

Country Status (6)

Country Link
US (4) US10020218B2 (zh)
JP (1) JP6860564B2 (zh)
KR (2) KR20230107413A (zh)
CN (3) CN108352354B (zh)
TW (3) TWI809980B (zh)
WO (1) WO2017087029A1 (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9999947B2 (en) * 2015-05-01 2018-06-19 Component Re-Engineering Company, Inc. Method for repairing heaters and chucks used in semiconductor processing
DE102015007216B4 (de) * 2015-06-03 2023-07-20 Asml Netherlands B.V. Verfahren zur Herstellung einer Halteplatte, insbesondere für einen Clamp zur Waferhalterung, Verfahren zur Herstellung einer Haltevorrichtung zur Halterung eines Bauteils, Halteplatte und Haltevorrichtung
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR102474583B1 (ko) * 2016-07-25 2022-12-06 교세라 가부시키가이샤 시료 유지구
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10654147B2 (en) 2017-10-17 2020-05-19 Applied Materials, Inc. Polishing of electrostatic substrate support geometries
CN110000696A (zh) * 2017-12-29 2019-07-12 比亚迪股份有限公司 耐磨治具及其制备方法
KR102140725B1 (ko) * 2018-01-22 2020-08-04 상구정공(주) 기판 지지장치 및 이의 제작방법
KR20240050466A (ko) 2018-01-31 2024-04-18 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR20230121932A (ko) * 2018-03-22 2023-08-21 어플라이드 머티어리얼스, 인코포레이티드 반도체 디바이스들의 제조에서 사용될 프로세싱 컴포넌트들의세라믹 표면들의 레이저 폴리싱
CN108538776B (zh) * 2018-03-29 2021-11-16 北京北方华创微电子装备有限公司 静电卡盘及其制造方法
JP6988999B2 (ja) * 2018-03-30 2022-01-05 住友大阪セメント株式会社 セラミックス基体およびサセプタ
SG11202009406RA (en) 2018-04-09 2020-10-29 Applied Materials Inc Carbon hard masks for patterning applications and methods related thereto
US20200013590A1 (en) * 2018-07-06 2020-01-09 Tokyo Electron Limited Protective layer for chucks during plasma processing to reduce particle formation
US11133211B2 (en) * 2018-08-22 2021-09-28 Lam Research Corporation Ceramic baseplate with channels having non-square corners
US11114330B2 (en) 2018-08-24 2021-09-07 Axcelis Technologies, Inc. Substrate support having customizable and replaceable features for enhanced backside contamination performance
CN110896045B (zh) * 2018-09-12 2022-12-30 中微半导体设备(上海)股份有限公司 一种升举顶针组件,静电夹盘及其所在的处理装置
WO2020149936A1 (en) * 2019-01-18 2020-07-23 Applied Materials, Inc. Heated pedestal design for improved heat transfer and temperature uniformity
SG11202112558TA (en) 2019-05-16 2021-12-30 Applied Materials Inc Methods and apparatus for minimizing substrate backside damage
WO2020261990A1 (ja) * 2019-06-28 2020-12-30 日本碍子株式会社 ウエハ載置台及びその製法
US11270905B2 (en) 2019-07-01 2022-03-08 Applied Materials, Inc. Modulating film properties by optimizing plasma coupling materials
US11661650B2 (en) * 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
JP2023551725A (ja) 2020-12-02 2023-12-12 エリコン・サーフェス・ソリューションズ・アクチェンゲゼルシャフト,プフェフィコーン 静電チャック用の改善されたプラズマ耐性コーティング
US11699611B2 (en) * 2021-02-23 2023-07-11 Applied Materials, Inc. Forming mesas on an electrostatic chuck
US11955361B2 (en) * 2021-04-15 2024-04-09 Applied Materials, Inc. Electrostatic chuck with mesas
US20220351951A1 (en) * 2021-04-29 2022-11-03 Applied Materials, Inc. Substrate support apparatus, methods, and systems having elevated surfaces for heat transfer
US20230114751A1 (en) * 2021-10-08 2023-04-13 Applied Materials, Inc. Substrate support
US20230380016A1 (en) * 2022-05-17 2023-11-23 Applied Materials, Inc. High-temperature substrate support assembly with failure protection
KR102613639B1 (ko) 2023-09-11 2023-12-14 주식회사 티엠씨 세라믹재 부품의 표면처리방법
CN117252000B (zh) * 2023-09-15 2024-05-17 南昌航空大学 电子束倾斜焊接的热源模型构建方法及系统、仿真方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100129670A1 (en) * 2008-11-12 2010-05-27 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
WO2011150311A1 (en) * 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US8758858B2 (en) * 2007-12-21 2014-06-24 Applied Materials, Inc. Method of producing a plasma-resistant thermal oxide coating

Family Cites Families (161)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4439248A (en) 1982-02-02 1984-03-27 Cabot Corporation Method of heat treating NICRALY alloys for use as ceramic kiln and furnace hardware
US4609563A (en) 1985-02-28 1986-09-02 Engelhard Corporation Metered charge system for catalytic coating of a substrate
FR2583220B1 (fr) 1985-06-11 1987-08-07 Thomson Csf Procede de realisation d'au moins deux metallisations d'un composant semi-conducteur, recouvertes d'une couche de dielectrique et composant obtenu par ce dielectrique
FR2631165B1 (fr) 1988-05-05 1992-02-21 Moulene Daniel Support conditionneur de temperature pour petits objets tels que des composants semi-conducteurs et procede de regulation thermique utilisant ce support
US5177878A (en) 1989-05-08 1993-01-12 U.S. Philips Corporation Apparatus and method for treating flat substrate under reduced pressure in the manufacture of electronic devices
JP2638649B2 (ja) 1989-12-22 1997-08-06 東京エレクトロン株式会社 静電チャック
US5108025A (en) 1991-05-20 1992-04-28 Gte Laboratories Incorporated Ceramic-metal composite article and joining method
US5113472A (en) 1991-05-28 1992-05-12 Allied-Signal Inc. Optical waveguides of aluminum garnet
JP3271352B2 (ja) 1993-01-13 2002-04-02 ソニー株式会社 静電チャック及びその作製方法並びに基板処理装置及び基板搬送装置
KR100260587B1 (ko) 1993-06-01 2000-08-01 히가시 데쓰로 정전척 및 그의 제조방법
US5381944A (en) 1993-11-04 1995-01-17 The Regents Of The University Of California Low temperature reactive bonding
US5631803A (en) 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
JPH07304133A (ja) * 1994-05-13 1995-11-21 Shin Etsu Chem Co Ltd セラミックス基板およびその製造方法
US5548470A (en) 1994-07-19 1996-08-20 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US5792562A (en) 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5886863A (en) 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
KR100428428B1 (ko) 1996-04-12 2004-04-28 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마 처리장치
JP3160229B2 (ja) 1997-06-06 2001-04-25 日本エー・エス・エム株式会社 プラズマcvd装置用サセプタ及びその製造方法
US6177023B1 (en) 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
US6194083B1 (en) 1997-07-28 2001-02-27 Kabushiki Kaisha Toshiba Ceramic composite material and its manufacturing method, and heat resistant member using thereof
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6361645B1 (en) 1998-10-08 2002-03-26 Lam Research Corporation Method and device for compensating wafer bias in a plasma processing chamber
US6258227B1 (en) * 1999-03-13 2001-07-10 Applied Materials, Inc. Method and apparatus for fabricating a wafer spacing mask on a substrate support chuck
JP2000306986A (ja) 1999-04-19 2000-11-02 Nippon Eng Kk 静電チャック
JP2001077185A (ja) 1999-09-01 2001-03-23 Shin Etsu Chem Co Ltd 静電チャック及びその製造方法
KR100722057B1 (ko) 1999-09-29 2007-05-25 동경 엘렉트론 주식회사 멀티존 저항가열기
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
JP2002057207A (ja) 2000-01-20 2002-02-22 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびその製造方法ならびに半導体製造装置
JP4272786B2 (ja) 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
WO2001058828A1 (fr) 2000-02-07 2001-08-16 Ibiden Co., Ltd. Substrat ceramique pour dispositif de production ou d'examen de semi-conducteurs
JP2001253777A (ja) 2000-03-13 2001-09-18 Ibiden Co Ltd セラミック基板
JP2001308075A (ja) 2000-04-26 2001-11-02 Toshiba Ceramics Co Ltd ウェーハ支持体
US6444957B1 (en) 2000-04-26 2002-09-03 Sumitomo Osaka Cement Co., Ltd Heating apparatus
CN1817638A (zh) 2000-05-02 2006-08-16 约翰斯霍普金斯大学 可独立反应的多层薄片
US7441688B2 (en) 2003-11-04 2008-10-28 Reactive Nanotechnologies Methods and device for controlling pressure in reactive multilayer joining and resulting product
US6736942B2 (en) 2000-05-02 2004-05-18 Johns Hopkins University Freestanding reactive multilayer foils
US6503368B1 (en) 2000-06-29 2003-01-07 Applied Materials Inc. Substrate support having bonded sections and method
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6579818B2 (en) 2000-08-28 2003-06-17 Kyocera Corporation Glass ceramic sintered product
US6960743B2 (en) 2000-12-05 2005-11-01 Ibiden Co., Ltd. Ceramic substrate for semiconductor manufacturing, and method of manufacturing the ceramic substrate
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6581275B2 (en) 2001-01-22 2003-06-24 Applied Materials Inc. Fabricating an electrostatic chuck having plasma resistant gas conduits
JP3626933B2 (ja) * 2001-02-08 2005-03-09 東京エレクトロン株式会社 基板載置台の製造方法
JP2005033221A (ja) * 2001-02-08 2005-02-03 Tokyo Electron Ltd 基板載置台および処理装置
JP3893888B2 (ja) 2001-03-19 2007-03-14 株式会社日立製作所 プラズマ処理装置
US20030010292A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Electrostatic chuck with dielectric coating
US6616031B2 (en) 2001-07-17 2003-09-09 Asm Assembly Automation Limited Apparatus and method for bond force control
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
KR20040031691A (ko) 2001-08-10 2004-04-13 이비덴 가부시키가이샤 세라믹 접합체
KR100427459B1 (ko) 2001-09-05 2004-04-30 주성엔지니어링(주) 아크 방지용 정전척
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
JP4493251B2 (ja) 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
JP2003224180A (ja) 2002-01-28 2003-08-08 Kyocera Corp ウエハ支持部材
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6780787B2 (en) 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
DE10224137A1 (de) 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
JP4107643B2 (ja) 2002-07-23 2008-06-25 日本碍子株式会社 接合体の製造方法
US6838646B2 (en) 2002-08-22 2005-01-04 Sumitomo Osaka Cement Co., Ltd. Susceptor device
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040055709A1 (en) 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
US6682820B1 (en) 2002-10-31 2004-01-27 Saint-Gobain Ceramics & Plastics, Inc. Recession resistant coated ceramic part
FR2850790B1 (fr) 2003-02-05 2005-04-08 Semco Engineering Sa Semelle de collage electrostatique avec electrode radiofrequence et moyens thermostatiques integres
JP3967278B2 (ja) 2003-03-07 2007-08-29 日本碍子株式会社 接合部材及び静電チャック
US20040187787A1 (en) 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
DE10317792A1 (de) 2003-04-16 2004-11-11 Schott Glas Maskenrohling zur Verwendung in der EUV-Lithographie und Verfahren zu dessen Herstellung
US20050016684A1 (en) 2003-07-25 2005-01-27 Applied Materials, Inc. Process kit for erosion resistance enhancement
US7072165B2 (en) 2003-08-18 2006-07-04 Axcelis Technologies, Inc. MEMS based multi-polar electrostatic chuck
US7072166B2 (en) 2003-09-12 2006-07-04 Axcelis Technologies, Inc. Clamping and de-clamping semiconductor wafers on a J-R electrostatic chuck having a micromachined surface by using force delay in applying a single-phase square wave AC clamping voltage
WO2005042436A1 (ja) 2003-10-31 2005-05-12 Tokuyama Corporation 窒化アルミニウム接合体及びその製造方法
JP2005243243A (ja) 2004-02-24 2005-09-08 Ngk Insulators Ltd 加熱方法
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7413069B2 (en) 2004-02-28 2008-08-19 Applied Materials, Inc. Methods and apparatus for transferring a substrate carrier within an electronic device manufacturing facility
JP4349952B2 (ja) 2004-03-24 2009-10-21 京セラ株式会社 ウェハ支持部材とその製造方法
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
KR20050121913A (ko) 2004-06-23 2005-12-28 삼성전자주식회사 베이크 장치
WO2006001425A1 (ja) 2004-06-28 2006-01-05 Kyocera Corporation 静電チャック
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
JP4806179B2 (ja) 2004-10-08 2011-11-02 古河スカイ株式会社 ヒータプレートの製造方法
JP4787568B2 (ja) * 2004-11-16 2011-10-05 日本碍子株式会社 接合剤、窒化アルミニウム接合体及びその製造方法
KR20060081562A (ko) * 2005-01-10 2006-07-13 (주)엔트로피 정전척의 제조방법
US7718007B2 (en) 2005-03-17 2010-05-18 Tokyo Electron Limited Substrate supporting member and substrate processing apparatus
US7354659B2 (en) 2005-03-30 2008-04-08 Reactive Nanotechnologies, Inc. Method for fabricating large dimension bonds using reactive multilayer joining
JP4657824B2 (ja) * 2005-06-17 2011-03-23 東京エレクトロン株式会社 基板載置台、基板処理装置および基板載置台の製造方法
TWI358460B (en) 2005-08-17 2012-02-21 Applied Materials Inc Substrate support having brazed plates and resista
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US20070090516A1 (en) 2005-10-18 2007-04-26 Applied Materials, Inc. Heated substrate support and method of fabricating same
JP4727434B2 (ja) 2006-01-18 2011-07-20 住友大阪セメント株式会社 静電チャック装置
JP2007317772A (ja) 2006-05-24 2007-12-06 Shinko Electric Ind Co Ltd 静電チャック装置
US20080006204A1 (en) 2006-07-06 2008-01-10 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
US20080016684A1 (en) 2006-07-06 2008-01-24 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
JP2008016709A (ja) 2006-07-07 2008-01-24 Shinko Electric Ind Co Ltd 静電チャックおよびその製造方法
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US20080062610A1 (en) 2006-08-10 2008-03-13 Shinji Himori Electrostatic chuck device
CN100468619C (zh) 2006-08-23 2009-03-11 北京北方微电子基地设备工艺研究中心有限责任公司 刻蚀设备的控温装置及其控制晶片温度的方法
US20090186195A1 (en) 2006-09-08 2009-07-23 Reactive Nanotechnologies, Inc. Reactive Multilayer Joining With Improved Metallization Techniques
US7701693B2 (en) 2006-09-13 2010-04-20 Ngk Insulators, Ltd. Electrostatic chuck with heater and manufacturing method thereof
US7469640B2 (en) 2006-09-28 2008-12-30 Alliant Techsystems Inc. Flares including reactive foil for igniting a combustible grain thereof and methods of fabricating and igniting such flares
US7773650B2 (en) 2006-12-28 2010-08-10 Nichia Corporation Nitride semiconductor laser element
JP5053696B2 (ja) 2007-04-26 2012-10-17 信越化学工業株式会社 静電チャック
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
JP5135915B2 (ja) 2007-06-28 2013-02-06 東京エレクトロン株式会社 載置台構造及び熱処理装置
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
TWI459851B (zh) 2007-09-10 2014-11-01 Ngk Insulators Ltd heating equipment
US7649729B2 (en) 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
JP5236927B2 (ja) 2007-10-26 2013-07-17 信越化学工業株式会社 耐腐食性積層セラミックス部材
JP5080954B2 (ja) 2007-12-17 2012-11-21 日本発條株式会社 ヒータユニットとその製造方法
KR20090071848A (ko) * 2007-12-28 2009-07-02 주식회사 동부하이텍 정전척(esc) 패드 재생용 마스크
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US20090302002A1 (en) 2008-02-29 2009-12-10 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
JP4450106B1 (ja) 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
KR20090097229A (ko) 2008-03-11 2009-09-16 전영재 반도체 및 lcd 제조용 정전척
DE102008021167B3 (de) 2008-04-28 2010-01-21 Siemens Aktiengesellschaft Verfahren zur Erzeugung einer hermetisch dichten, elektrischen Durchführung mittels exothermer Nanofolie und damit hergestellte Vorrichtung
TWI475594B (zh) * 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
JP5025576B2 (ja) 2008-06-13 2012-09-12 新光電気工業株式会社 静電チャック及び基板温調固定装置
US9543181B2 (en) 2008-07-30 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Replaceable electrostatic chuck sidewall shield
KR101582785B1 (ko) 2008-08-12 2016-01-07 어플라이드 머티어리얼스, 인코포레이티드 정전 척 조립체
JP5248242B2 (ja) 2008-08-28 2013-07-31 日本発條株式会社 異材接合体の製造方法およびその方法による異材接合体
US7929269B2 (en) 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
JP5357486B2 (ja) 2008-09-30 2013-12-04 東京エレクトロン株式会社 プラズマ処理装置
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
US20100177454A1 (en) 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US7964517B2 (en) 2009-01-29 2011-06-21 Texas Instruments Incorporated Use of a biased precoat for reduced first wafer defects in high-density plasma process
US8404572B2 (en) 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
KR100997374B1 (ko) * 2009-08-21 2010-11-30 주식회사 코미코 정전척 및 이의 제조 방법
JP5604888B2 (ja) 2009-12-21 2014-10-15 住友大阪セメント株式会社 静電チャックの製造方法
US8597448B2 (en) 2009-12-29 2013-12-03 Novellus Systems, Inc. Electrostatic chucks and methods for refurbishing same
JP5423632B2 (ja) 2010-01-29 2014-02-19 住友大阪セメント株式会社 静電チャック装置
JP5267603B2 (ja) 2010-03-24 2013-08-21 Toto株式会社 静電チャック
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
WO2012166256A1 (en) 2011-06-02 2012-12-06 Applied Materials, Inc. Electrostatic chuck aln dielectric repair
JP5665679B2 (ja) 2011-07-14 2015-02-04 住友重機械工業株式会社 不純物導入層形成装置及び静電チャック保護方法
TWM431174U (en) * 2011-12-07 2012-06-11 Chung Shan Inst Of Science Shade used for plasma melt-spraying
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
KR20130098707A (ko) 2012-02-28 2013-09-05 삼성전자주식회사 정전 척 장치 및 그 제어방법
US20130273313A1 (en) * 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
JP2014027207A (ja) * 2012-07-30 2014-02-06 Hitachi Chemical Co Ltd 誘電体及びこの誘電体を用いた静電チャック
US9916998B2 (en) * 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
CN103021773B (zh) * 2012-12-31 2016-03-16 中微半导体设备(上海)有限公司 多孔复合陶瓷部件、其制备方法以及等离子体处理腔室
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
KR101986266B1 (ko) 2013-03-29 2019-06-07 스미토모 오사카 세멘토 가부시키가이샤 정전 척 장치
JP6239296B2 (ja) * 2013-07-19 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置のステージ製造方法
TWM503654U (zh) * 2014-10-21 2015-06-21 Leading Prec Inc 層疊式靜電吸附盤及其半導體設備
TWI548753B (zh) * 2014-12-30 2016-09-11 財團法人工業技術研究院 組成物及應用其製成之塗層結構
FR3042137B1 (fr) * 2015-10-07 2017-12-01 Safran Piece de turbomachine revetue d'un revetement ceramique de protection, procede de fabrication et d'utilisation d'une telle piece
US10020218B2 (en) * 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8758858B2 (en) * 2007-12-21 2014-06-24 Applied Materials, Inc. Method of producing a plasma-resistant thermal oxide coating
US20100129670A1 (en) * 2008-11-12 2010-05-27 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
WO2011150311A1 (en) * 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications

Also Published As

Publication number Publication date
US20220254672A1 (en) 2022-08-11
US11476146B2 (en) 2022-10-18
TW201719799A (zh) 2017-06-01
CN108352354A (zh) 2018-07-31
TW202135221A (zh) 2021-09-16
US20200243368A1 (en) 2020-07-30
US10679885B2 (en) 2020-06-09
TWI728977B (zh) 2021-06-01
US20170140970A1 (en) 2017-05-18
JP6860564B2 (ja) 2021-04-14
JP2018536287A (ja) 2018-12-06
CN115527914A (zh) 2022-12-27
KR20180071409A (ko) 2018-06-27
US10020218B2 (en) 2018-07-10
KR20230107413A (ko) 2023-07-14
CN108352354B (zh) 2022-10-14
US20180301364A1 (en) 2018-10-18
KR102554268B1 (ko) 2023-07-10
WO2017087029A1 (en) 2017-05-26
TWI774205B (zh) 2022-08-11
CN115527915A (zh) 2022-12-27
TW202246198A (zh) 2022-12-01
US11769683B2 (en) 2023-09-26

Similar Documents

Publication Publication Date Title
TWI809980B (zh) 具有沉積表面特徵之基板支撐組件
US11680308B2 (en) Plasma erosion resistant rare-earth oxide based thin film coatings
US9916998B2 (en) Substrate support assembly having a plasma resistant protective layer
TW201932298A (zh) 用於高溫應用的耐電漿腐蝕薄膜塗層