KR20130028070A - 반응성 이온 에칭을 위한 장치 및 방법 - Google Patents

반응성 이온 에칭을 위한 장치 및 방법 Download PDF

Info

Publication number
KR20130028070A
KR20130028070A KR1020127024683A KR20127024683A KR20130028070A KR 20130028070 A KR20130028070 A KR 20130028070A KR 1020127024683 A KR1020127024683 A KR 1020127024683A KR 20127024683 A KR20127024683 A KR 20127024683A KR 20130028070 A KR20130028070 A KR 20130028070A
Authority
KR
South Korea
Prior art keywords
gas
substrate
cavity
supply
plasma
Prior art date
Application number
KR1020127024683A
Other languages
English (en)
Other versions
KR101908139B1 (ko
Inventor
프레디 루제붐
아드리안 마리누스 란크호르스트
파우루스 빌리브로르두스 게오르그 푸드트
노르베르투스 베네딕투스 코스테르
게라르두스 요한 조제프 위난드스
아드리아누스 요하네스 페트루스 마리아 베르메르
Original Assignee
네덜란제 오르가니자티에 포오르 토에게파스트-나투우르베텐샤펠리즈크 온데르조에크 테엔오
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 네덜란제 오르가니자티에 포오르 토에게파스트-나투우르베텐샤펠리즈크 온데르조에크 테엔오 filed Critical 네덜란제 오르가니자티에 포오르 토에게파스트-나투우르베텐샤펠리즈크 온데르조에크 테엔오
Publication of KR20130028070A publication Critical patent/KR20130028070A/ko
Application granted granted Critical
Publication of KR101908139B1 publication Critical patent/KR101908139B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Abstract

본 발명은 에칭 가스 공급부를 포함하고 플라즈마를 점화하기 위한 플라즈마 발생 구조를 구비하여 배치되며 기판의 표면상에 이온을 가하도록 기판 부분을 향해 에칭 플라즈마를 촉진시키기 위해 전극 구조를 포함하는 플라즈마 에칭 구역; 보호막 가스 공급부와 제공되는 공동을 포함하는 보호막 구역, 상기 공급부는 보호막 가스 흐름을 공급부로부터 공동으로 제공하기 위해 배치되며, 공동은 사용중에 인젝터 헤드 및 기판 표면에 의해 구획됨; 및 상기 에칭 구역 및 보호막 구역 사이에 배치되는 가스 배출구를 포함하는 가스 퍼지 구조, 가스 퍼지 구조는 에칭 및 보호막 구역들의 공간적인 분리를 형성함;를 포함하는, 기판의 반응성 이온 에칭을 위한 장치에 관련된다.

Description

반응성 이온 에칭을 위한 장치 및 방법 {APPARATUS AND METHOD FOR REACTIVE ION ETCHING}
본 발명은 기판의 반응성 이온 에칭을 위한 장치에 관련된다. 본 발명은 더 나아가 기판의 (심층) 반응성 이온 에칭을 위한 방법에 관련된다.
실리콘의 높은 종횡비 외관들의 심층 반응성 이온 에칭(deep reactive ion etching; DRIE)에서 오늘날 많이 이용되는 방법은 특히 US5,498,312호에 설명되는 소위 보슈 공정(Bosch process)이다. 이 공정은 실리콘 미세기계가공 기술의 가장 대중적인 선택이며,
1) 웨이퍼들 및 다이들의 3D 적층을 위한 실리콘 관통 전극(TSVs), 및
2) 마이크로 전기기계 시스템들(MEMS, 즉 센서들 및 액츄에이터들)
를 포함하는 거대한 신흥시장들을 제공할 뿐만 아니라
3) DRAM 트렌치 에칭, 얕은 트렌치 격리 에칭
에서 더 큰 충분히 발달한 시장들을 제공한다.
보슈 공정은 또한 심층 반응성 이온 에칭으로서 언급되며, 이어서 에칭 및 보호막 공정이 필수적이다. 원래, 보슈 공정은 가스상의 SiFx 에칭 제품들을 형성하기 위해 Ar에서 SF6 또는 NF3과 Si-에칭, 및 외관의 바닥 및 측벽들 상에 보호하는 탄화플루오르 폴리머 증착을 형성하기 위해 Ar에서 CHF3 또는 CF4와 보호막의 교대하는 사이클들에 기반된다. 에칭 및 보호막 사이클들의 시간 척도는 일반적으로 (예를 들어) 3-5초의 사이클들로 가진다.
일 측에 따라, 에칭 공정 및 장치는 빠른 에칭 공정을 제공하도록 의도되고, 물질들은 효율적으로 사용되며 보호막의 대체의 형상들은 가능할 수 있다.
일 측에서 기판의 반응성 이온 에칭을 위한 장치는, 에칭 가스 공급부를 포함하고 플라즈마를 점화하기 위한 플라즈마 발생 구조를 구비하고 기판의 표면상에 이온을 가하도록 기판 부분을 향해 에칭 플라즈마를 촉진시키기 위해 전극 구조를 더 포함하는 플라즈마 에칭 구역; 보호막 가스 공급부가 제공되는 공동을 포함하는 보호막 구역, 상기 공급부는 보호막 가스 흐름을 공급부로부터 공동으로 제공하기 위해 배치되고, 공동은 사용 중에 인젝터 헤드 및 기판 표면에 의해 구획됨; 및 상기 에칭 구역 및 보호막 구역 사이에 배치된 가스 배출구를 포함하는 가스 퍼지 구조, 가스 퍼지 구조는 에칭 및 보호막 구역들의 공간적인 분리를 형성함; 를 포함하여 제공된다.
다른 측에 따라, 본 발명은 인젝터 헤드를 포함하는 장치를 이용하여 기판의 표면상에 반응성 이온 에칭을 위한 방법을 제공하며, 인젝터 헤드는 에칭 가스 공급부를 포함하고 플라즈마를 점화하기 위한 플라즈마 발생 구조를 구비하여 배치되는 플라즈마 에칭 구역; 보호막 가스 공급부가 제공되는 공동을 포함하는 보호막 구역, 상기 공급부 및 배수부는 보호막 가스 흐름을 공급부로부터 공동을 거쳐 배수부로 제공하기 위해 배치되며, 공동은 사용 중에 인젝터 헤드 및 기판 표면 가스에 의해 구획됨; 및 에칭 구역 및 보호막 구역들 사이에 가스 퍼지 구조, 가스 퍼지 구조는 에칭 및 보호막 구역들의 공간적인 분리를 형성함; 를 포함하고, 에칭 구역들 및 보호막 구역들을 공간적으로 분리시키면서,
a) 기판 부분 위에 플라즈마 에칭 구역에 인젝터의 헤드를 위치시키는 단계, 상기 기판 부분은 에칭 플라즈마에 민감한 하위 부분들을 구비함;
b) 하위 부분들의 에칭을 위해 기판의 표면상에 이온들을 가하도록 기판 부분을 향해 전극 구조에 의해 에칭 플라즈마를 촉진시키는 단계 및 에칭 플라즈마를 공급하는 단계;
c) 기판 부분 위에 보호막 구역을 위치시키기 위해, 기판에 대해 인젝터 헤드를 이동시키는 단계; 및
d) 보호막 가스 공급부로부터 공동 내에 보호막 가스를 제공하는 것에 의해, 기판 부분 위에 보호막 층을 공급하는 단계;
의 순환 단계들을 포함한다.
공간적인 분리 동안 에칭 속도는 퍼지/펌프 순환들 및 가스 전환을 제거하는 것에 의해 상당히 증가될 수 있다.
장치는 공동 압력 제어기를 포함할 수 있다. 공동 내의 압력은 공동 외부 압력과 다르게 및/또는 관계없이 제어될 수 있다. 이런 식으로, 공동 내의 미리 결정된 압력은, 처리 가스들의 기판을 향하는 측면 흐름 속도를 최적화하고, 개별적인 공정 단계에 전용되는 개별적인 공동 내에 처리 가스들의 확산의 평균 자유 경로를 최적화하기 위해 바람직하게 전용되도록 설정될 수 있다.
장치의 이용 중에, 공동은 기판 표면에 의해 구획된다. 이런 식으로 기판이 처리 가스들을 한정하는 것을 돕는다는 것은 명백할 수 있다. 기판 표면의 평면 내에서 기판 및 공동 사이에 상대적인 움직임의 결합, 및 공동에 주입된 처리 가스의 한정은 처리 가스의 더 효율적인 사용을 가능하게 한다. 이런 식으로, 처리 가스의 부피는 기판 표면 위에 효율적으로 분포될 수 있어, 그것이 공동 내에 주입된 후에 처리 가스 분자의 기판 표면에 대한 부착 가능성을 향상시킨다.
본 명세서 내에 포함되어 있음.
도 1은 제 1 실시예의 기판의 반응성 이온 에칭을 위한 장치의 측면을 개략적인 사시도로 도시한다;
도 2는 제 2 실시예의 기판의 반응성 이온 에칭을 위한 장치의 개략적인 측면도를 도시한다;
도 3은 본 발명의 다른 실시예에 따른 인젝터 헤드의 저면도이다;
도 4는 기판의 반응성 이온 에칭을 위한 장치의 다른 개략적인 사시도이다;
도 5는 공정 윈도우(W)로 설명되는 차트를 도시한다;
도 6은 다른 실시예의 추가의 개략적인 측면도를 도시한다;
도 7은 에칭 하위 사이클들 사이에 마련된, ALD 보호막 사이클들의 개략적인 시간 차트를 도시한다;
도 8은 회전하는 인젝터 헤드를 포함하는 대체의 실시예를 도시한다;
도 9는 플라즈마를 제공하기 위한 많은 전극 구성들을 도시한다; 및
도 10은 대체의 ALD 보호막을 가지는 에칭/보호막 공정을 개략적으로 도시한다.
달리 언급되지 않는다면, 같은 참조 번호들은 도면들 어디서나 유사한 구성요소들을 언급한다.
도 1은 일 실시예의 기판(substrate; 5)의 반응성 이온 에칭(reactive ion etching)을 위한 장치의 측면을 개략적인 사시도로 도시한다. 도면은 다른 가스 입구 공동들(cavities)(또는 '포켓들(pockets)')의 바람직한 높이들(He, Hp), 플라즈마 에칭 구역(plasma etching zone) 및 보호막 구역(passivation zone)을 한정하기 위한 측면 전진 연장들(L), 및 압력 및 흐름 범위들에 관하여 어떠한 제 1 원칙들의 설계 고려사항들을 나타낸다. 여기에서 주된 고려사항은 채널(channel) 위로 압력 감소가 높이의 세제곱(H3)에, (그리고 L 및 유량에 선형으로) 비례하고, H는 원하는 압력들을 얻기 위한 편리한 설계 변수라는 것이다.
설계는 필수적으로 퍼지 가스 제한부(purge gas restriction)에 의해 임의로 분리되는 보호막 구역 및 플라즈마 에칭 구역을 포함하는 것으로 도시된다. 보호막 구역은 그것의 가장 간단한 형태로 종래의 C4F8-기반의 증착일 수 있다.
따라서, 기판(5)의 반응성 이온 에칭을 위한 장치(1)는 에칭 가스 공급부(etch gas supply; 40)를 포함하고 플라즈마(4)를 점화하기 위한 플라즈마 발생 구조(plasma generating structure; 22)(도 2를 보시오)를 구비하여 배치되는 플라즈마 에칭 구역(2)을 포함하는 것으로 도시된다. 플라즈마는 종래 기술인, 원격형(remote type)일 수 있다. 플라즈마 발생 구조(22)는 예를 들어, 에칭 가스 공급부(40) 내에 공동(20) 가까이에 배치되는, 공지된 RF-코일 및/또는 전극들을 포함할 수 있다. 추가적으로, 플라즈마 발생 구조(22)는 기판의 표면상에 이온을 가하도록 기판 부분을 향해 에칭 플라즈마를 촉진시키기 위한 전극 구조(도 2를 보시오)를 포함한다. 보호막 구역(3)은 (임의로 플라즈마에게 도움을 주는) 보호막 가스 공급부(41)가 제공되는 공동(8)을 포함하고, 상기 공급부(41)는 공급부(41)로부터 공동(8)을 거쳐 배수부(drain; 6)로 보호막 가스 흐름을 제공하기 위해 배치되며, 공동(8)은 사용 중에 인젝터 헤드(injector head; 1) 및 기판 표면(50)에 의해 구획되고, 가스 퍼지 구조(7)는 에칭 구역(2) 및 보호막 구역(3) 사이에 배치된 가스 배출구(gas exhaust; 6)를 포함하고, 따라서 가스 퍼지 구조(6)는 에칭 및 보호막 구역들(2 및 3)의 공간적인 분배를 형성한다.
일반적으로 및 바람직하게 반응 단계들(에칭, 보호막, 임의적인 퍼지)은 실내 온도에서 수행되며, (반응 구획들 내에 압력들의 크기들 (Pe 및 Pp) 및 가스 흐름들에 의해 실현되는) 최적의 압력들은 다음과 같은 예시에 의해 제공된다.
압력(파스칼, Pa): 유량(표준의 분당 세제곱센티미터,
sccm):
치수 (mm):
Pe = 5-50 Pa
바람직하게 Pe ~ 13 Pa
Φe = 50-500 sccm
바람직하게 Φe ~ 150 sccm
Le = 3-10 mm
바람직하게 Le ~ 5 mm
Pp = 100-500 Pa
바람직하게 Pp ~ 133 Pa
Φe = 50-500 sccm
바람직하게 Φp ~ 250 sccm
Lp = 3-10 mm
바람직하게 Lp ~ 5 mm
Ppu = 5-50 Pa
바람직하게 Ppu ~ 12 Pa
Φg= 500-3000 sccm He = 3-10 mm
바람직하게 He ~ 5 mm
ΔPe = Pe―Ppu = 0.5 5 Pa
바람직하게 ΔPe~1Pa
Hp = 0.3―3 mm
바람직하게 Hp ~ 0.7 mm
ΔPp = Pp―Ppu = 100―500 Pa
바람직하게 ΔPp ~ 121 Pa
도 2는 본 발명에 따른 실시예의 개략적인 측면도이다. 예시와 같이, 인젝터 헤드(1)는 가스 베어링 영역(gas bearing region; 70)에 의해 분리된 두 개의 증착 공동들(20, 30)을 구비하는 것으로 도시된다. 보호막 단계는 물질 증착과의 관련을 요구할 수 있다. 그러한 물질 증착은 보호막 가스 공급부(41)가 제공되는 공동(30) 내에서 수행될 수 있다. 따라서, 이 실시예에서 인젝터 헤드는 보호막 공급부(41)가 제공되는 공동(30)을 포함하고, 공동(30)은 사용 중에 가스 베어링(70)에 의해 구획되는 것으로 도시된다. 에칭 가스 공급부(40)는 바람직하게 플라즈마 증착을 고려하도록 실질적인 흐름 제한부들(flow restrictions) 없이 설계된다. 따라서, 기판 표면(50)을 향해, 플라즈마 흐름은 어떠한 흐름 제한부들에 의해 방해받지 않는다.
이 실시예에서, 처리 가스는 기판 표면(50)을 따른 흐름으로 공동(20) 안으로 공급된다. 가스 흐름은 에칭 가스 공급부(40)로부터 공동(20)을 거쳐 배수부(60)로 제공된다. 사용 중에 공동(20)은 인젝터 헤드(1) 및 기판 표면(50)에 의해 구획된다. 인젝터 헤드(1) 및 기판 표면(50) 사이에 베어링 가스를 주입하기 위해, 가스 베어링들(70)에는 공동(20) 근처에 배치된 베어링 가스 인젝터(bearing gas injector; 73)가 제공되고, 베어링 가스는 공동(20)으로 주입된 처리 가스를 한정하는 동안 가스-베어링을 형성한다. 배수부(60)는 공동(20, 30) 안으로 베어링 가스의 흐름을 방지하는 베어링 가스를 배출시키는 기능을 추가적으로 할 수 있다.
일 측에 따라, 실시예가 같은 높이들을 구비하는 공동들(20, 30)을 도시하는 반면에, 바람직하게, 플라즈마 에칭 구역은 보호막 구역(30) 내의 기판 표면(50) 대한 공동 높이(Hp) 보다 큰 기판 표면(50)에 대한 공동 높이(He)를 구비하는 에칭 공동(20)을 포함한다.
일 실시예에서 각각의 흐름 방벽은 가스 베어링(70)으로서 치수화 되나, 원칙적으로, 이것은 필수적이지 않다. 예를 들어, 증착 공동들(20, 30)을 분리하는 흐름 방벽(flow barrier; 71)은 효율적인 흐름 방벽이 제공되는 한 가스 베어링으로서 치수화될 필요가 없다. 대체적으로, 흐름 방벽(71)은 간격 높이보다 큰 간격 높이를 구비할 수 있으며, 가스 베어링(70)이 효율적이다. 실제적인 예시들에서, 가스 베어링은 5―100 마이크로미터로부터의 간격 높이 범위들에서 작동되며, 흐름 방벽은 그러한 값들 위로, 예를 들어, 500 마이크로미터까지 여전히 효율적일 수 있다. 또한, 가스 베어링들(70)은 기판(5)의 존재 시 흐름 방벽(또는 그러한 문제를 위한 가스 베어링)으로써만 효율적일 수 있으며, 반면, 흐름 방벽들은 기판(5)의 존재에 관계없이 활성화되도록 설계되거나 설계되지 않을 수 있다. 중요하게, 증착 공동들(20, 30) 사이에 활성화된 물질들의 흐름은 오염을 피하기 위해 언제라도 흐름 방벽들에 의해 방지된다. 이러한 흐름 방벽들은 가스 베어링들(70)로서 설계되거나 설계되지 않을 수 있다.
도 2가 이송 시스템(conveying system)을 구체적으로 도시하지 않음에도, 기판(5)은 공동들(20 및 3) 내에 연속적인 공정 단계들을 수용하기 위해, 인젝터 헤드(1)에 대해 이동될 수 있다. 인젝터 헤드(1)에 대한 기판(5)의 왕복 움직임에 의해, 공정 단계들의 수는 제어될 수 있다. 따라서, 인젝터 헤드는 평면으로뿐만 아니라, 이송 평면으로부터 멀리 그리고 이송 평면을 향해 이동될 수 있다.
특히, 에칭/보호막/에칭/보호막/ 등을 위한 다수의 구역들 아래 기판 유지기(즉, 특정 전압으로 편향될 수 있는 척)를 이동 또는 왕복 운동시키는 것에 의해, 당업자들에게 일반적으로 알려진 단단한 마스크 설계(hard mask design)에 의해 정의될 때 기판 또는 웨이퍼(5) 내의 외관들은 예를 들어, SiO₂층으로 에칭될 수 있다. 웨이퍼(5) 같은 기판의 움직임은, 많은 반복된 평행 에칭(20)/보호막(30) 구역들 위로 가로지르는, 하나 이상의 길이방향으로 가로지르는 횡단들(trajets) 내에 있을 수 있거나, 하나의 에칭 또는 하나의 보호막 영역 아래에서 전후진하는, 왕복 움직임(P, Q)일 수 있다(도 9를 보시오). 이 방법은 매우 균일한 에칭 결과물을 보증한다.
지지부(support part; 10)는 기판(5)을 위해 지지대(support)를 제공하는 것으로 제공된다. 지지부(10)는 인젝터 헤드의 반대 방향으로 배치된다. 지지대는 부유형(floating type)으로 될 수 있는 반면, 전극 장치(electrode device; 22)는 기판(5)의 표면(50) 상에 이온을 가하도록 기판 부분을 향해 에칭 플라즈마를 촉진시키기 위해 배치된다. 예를 들어, 이것은 에칭 공정 단계에서 기판(5)을 바이어싱(biasing) 하는 것에 의해 될 수 있다. 어떠한 기계적인 지지대의 부재에 의해, 그러한 지지대의 오염에 대한 위험은 방지되며 기판(5)에 대한 인젝터 헤드(1)의 최적의 작동 높이를 확보하는 데 매우 효율적이다. 게다가, 시스템의 적은 정지 시간(down time)은 세정 목적들을 위해 필요하다. 반면, 지지대와 열적/기계적 접촉은 예를 들어 SF6 +Si → SiF↑ +SF₂같은, 발열 에칭 공정을 위해 이롭다. 기계적인 지지대의 부재에 의해, 시스템의 열용량은 감소 될 수 있으며, 처리 온도로 기판들의 빠른 가열 응답을 초래하며, 처리량을 현저히 증가시킬 수 있다.
이 점에서, 에칭 공동(20)은 분자의/변화하는 흐름 조건들을 위해 배치되는 기판 표면에 대한 공동 높이(He)를 정의하고, 공급부(40) 및 배수부(60)를 포함한다. 가스 방벽으로써 기능하는, 가스 베어링(71)은 기판(5)을 향하는 베어링 면(bearing face; 72) 부분 내에 배치되는 베어링 가스 인젝터(73)를 포함하고, 베어링 면(72) 부분은, 기판에 대하여, 공동 높이(Hp)보다 작은 간격 거리(Hg)를 정의한다.
게다가, 베어링 가스 인젝터(73)는 가스 베어링의 기계적 강성(stiffness)을 정의하는 흐름 제한부(projecting portions; 74)를 포함한다. 베어링 면(72)은 베어링 가스 인젝터(73)를 포함하는 돌출부들(110)에 의해 형성될 수 있다. 가스 베어링 층은 사용 중에 예를 들어 표면(50) 및 가스 베어링 면(72) 사이에 형성될 수 있다. 배수부들(60) 사이에 거리(C1)는 보통 공동(2, 3)의 대표적인 폭인, 1 내지 10 밀리미터의 범위 내에 있을 수 있다. Hg에 의해 가리켜지는 가스-베어링 층의 일반적인 두께는 3 내지 15 마이크로미터 범위 내에 있을 수 있다. 돌출부(110)의 대표적인 폭(C2)은 1 내지 30 밀리미터 범위 내에 있을 수 있다. 기판(5)의 평면에서 에칭 공동(20)의 대표적인 두께(He)는 바람직하게 5밀리미터인, 3 내지 10밀리미터 범위 내에 있을 수 있다.
따라서 가스-베어링 층(7)의 두께(Hg)는 일반적으로 기판 표면(50)에서 평면 내에서 측정되는, 공동(20)의 두께(He)보다 작을 수 있다는 것은 인정될 것이다.
따라서, 사용 중에, 공동(20) 내의 전체 가스 압력은 추가적인 공동(30) 내의 전체 가스 압력과 다를 수 있다. 에칭 공동(20) 내의 전체 가스 압력은 분자의/변화하는(molecular/transitional) 흐름 상황(flow regime)(5-50 Pa로부터의 범위 내에, 바람직하게 ~13 Pa) 내에 있을 수 있으며, 및/또는 보호막 공동(30) 내의 전체 가스 압력은 연속적인 흐름 상황 (50-500 Pa로부터의 범위 내에, 바람직하게 ~133 Pa) 내에 있을 수 있다. 그러한 압력 값들은 보호막 가스의 실질적인 특성들, 실질적인 유량들 및 실질적인 치수들에 기초하여 선택될 수 있다.
압력 제어기(미도시)는 공동들(20, 30) 내의 압력을 조절하기 위해 공동 압력을 제어할 수 있다. 게다가, 제어기는 가스-베어링 층(70) 내의 가스-베어링 층 압력을 제어한다.
도 3은 기판 표면에 수직한 방향에서 보여지는 인젝터 헤드(1)를 위한 물결 모양의(undulate) 형상의 개략적인 예시를 도시한다. 일반적으로, 구부러진 형상(curved shape)은 기판(2)의 1차 굽힘 모드들을 방지할 수 있다. 따라서, 가스 베어링(70) 및 공동들(20 및 30)이, 시트 기판의 1차 굽힘 모드들을 방지하기 위해 물결 모양들로서 기판 표면에 대해 수직한 방향에서 보여지는 것과 같이, 형성될 수 있다는 것을 알 수 있다. 게다가, 일반적으로, 증착 공동들(20, 30)의 형상은 컴팩트한(compact) 인젝터 헤드 구조를 허용하기 위해 가스 베어링 슬릿들(gas bearing slits; 70)의 형상을 따를 수 있다. 이러한 변형들은 기판 표면상에 압력 분포의 최적화를 허용한다. 그러한 최적화는 부서지기 쉬운 또는 유연한 기판들을 위해 중요할 수 있다.
도 4는 보호막 구역(3) 내에 C4F8 벽 보호막 하위 사이클(ubcycle)을 구비하는 기판(5)의 반응성 이온 에칭을 위한 장치(100)의 다른 개략적인 사시도이다. 예시에서, 플라즈마 발생 구조(220)는 보호막 가스 플라즈마를 제공하도록 제공된다. 이러한 구조는 적합한 보호막 가스 플라즈마를 발생시키기 위해 종래 기술로 알려진 RF-코일 및/또는 전극들을 포함할 수 있다. 상세 설명을 통해 보호막 가스들 또는 보호막 플라즈마는 경우에 따라 고려된다. 지지부(10)는 기판(5)을 위한 지지대를 제공하는 것으로 제공된다. 공정은 특히 보호막 단계에서 압력이 증가할 때 일반적으로 더 빨라질 것인데, 외관의 바닥 부분을 개방하고 추가적으로 에칭하기 위해 에너지가 크고 에칭하는 종들(etching species)을 전달하는 것보다 완전히 덮이는 바닥 영역 및 측벽 영역을 가지는 외관 내부에 보호막 형성을 위해 충분한 분자들을 전달/에칭하는 데 상당히 많은 시간이 소요되기 때문이다.
1. 랭뮤어 에칭 시간(Langmuir etch time)은 외관의 깊이 대 폭 종횡비인, A(에칭된 외관의 바닥을 위해서만, 즉 {¾·A + 1} 비율로)에 비례하여 증가한다.
2. 랭뮤어 증착 시간(Langmuir deposition time)은 (에칭된 외관의 측벽들 및 바닥에 대해, 즉 {3/2·A² + 19/4·A + 1} 비율로) A의 제곱, A²에 비례하여 증가한다.
그러나, 에칭 구역(2)에서 에칭 단계를 위해, 더 높은 압력에서, 내부-분자 충돌들의 증가된 수준은 악화된 단일-방향의 에칭 특성들을 이끌 것이다. 그러므로, 에칭 단계는 0.1―1.0 Torr(~ 0.1―1 mbar 또는 10―100 Pa), 더 바람직하게 10-100 Pa(~0.1―1 Torr)로부터의 범위에서, 분자의/변화하는 흐름 상황 내의 낮은 압력에서 수행될 수 있다. 내부-분자의 충돌들이 방향성 에칭을 가능하게 하는 것을 되도록 피해야 하므로 이러한 낮은 압력들이 요구된다.
구역(3) 내에서 보호막 단계를 위해, 연속적인 흐름 조건들을 향하는 압력을 예를 들어 100 Pa 내지 1000 Pa(~1―10 Torr)의 범위 내에서 증가시키는 것 및 증착을 위한 랭뮤어 기간이 10배로 감소되는, 그와 같은 유량들을 유지하는 것은 매우 유익하다. 압력의 추가적인 증가는 증착 시 감소된 증착 시간을 이끌지 않으며 공급이 제한된다. 더 높은 압력에서 CVD 기반의 보호막 단계를 위해, 외관들의 코팅의 불-균일성은 (특히 높은 A에서) 위험이다. 증가된 접착 가능성에 의해, 전구체(precursor)는 외관의 입구 가까이에서 열화될 것이며, 코팅이 안된 외관의 바닥을 떠난다. 퍼지 영역들(71)은 가스 베어링들로써 형성될 수 있으며, 적어도 외주는 가스 베어링(70)에 의해 형성된다.
도 5는 SAM.24로 공지된 실리콘 전구체 H2Si[N(C2H5)2]2를 위해 온도들을 작동하는 것 및 적합한 압력들을 공정 윈도우(process window; W)로 설명하는 차트를 도시한다. 이 전구체가 TMA로 공지된 종래의 알루미늄 전구체보다 낮은 보통 ~10 x 증기 압력을 구비할지라도, 증기 압력은 보호막을 위해 적절히 높은 압력 상황 내에 있다. 25―75℃의 온도 범위를 위해 SAM.24의 부분적인 압력은 0.1―10 Torr의 범위에 있다.
도 6은 다른 실시예의 개략적인 측면도를 도시하며, 원자층 증착(ALD) 유형의 보호막 단계는 바람직하게 실내 온도(room temperature)에서, ALD-기반의 SiO 보호막을 구비하여 제공된다. ALD 처리 가스들이 종래의 C4F8 보호막 단계와 비교해 친환경적이라는 것은 알려져 있다. 따라서, 보호막 구역은 다수의 공급부들(31, 32)을 포함하며, 적어도 하나의 공급부(31)는 원자층 증착 공정에서 전구체 가스를 공급하기 위해 배치되고, 추가적인 공급부는 반응제 공급부(32)로 제공되고, 추가적인 공급부는 사용 중에 흐름 방벽에 의해 구획된다. 도면들이 공급부들이 단일의 공동(30) 내에 배치되는 것으로 개략적으로 도시되는 반면, 일반적으로, 각각의 공급부(31, 32)가 흐름 방벽(71)에 의해 구획되는, 개별적인 공동 내에, 개별적인 배수부를 구비하여 포함될 수 있으며, 및/또는 가스 베어링(70)은 공동을 한정한다. 다른 ALD 보호막 물질들 및 사이클링 계획들(cycling schemes)은 또한 Al2O3과 함께 실행할 수 있다. (예를 들어, 원격 ICP 플라즈마 공급원과 함께) 플라즈마 강화에 대한 확대 또한 여기에서 가능하다. ALD는 ALD 공정의 고유의 자기-제한(self-limiting) 본질에 의해, 외관들의 입구 근처에 비-등각의(non-conformal) 성장에 대한 결점을 가지지 않고, 코팅은 외관의 입구로부터 외관의 바닥을 향해 진행된다. 따라서, ALD는 압력 및 층 성장 속도를 증가시키는 것에 대한 가능성을 열어둔다. ALD는 높은 성장 속도의 원자 층상 제어를 필요로 할 수 있어서 순차적이고, 자기-제한 표면 반응들을 이용하여 단계-등각(step-conformal) 증착을 필요로 할 수 있다.
대부분의 ALD 공정들은 2개의 반응 시퀀스들(sequences)을 바탕으로 하며 두 개의 표면 반응들은 2원 화합물 필름(binary compound film)에서 일어나고 증착된다. 한정된 수의 표면 장소들만 있으므로, 반응들은 한정된 수의 표면 종류들만 증착할 수 있다. 두 개의 표면 반응들 각각은 자기제한하므로, 두 개의 반응들은 원자층 제어로 얇은 필름을 증착하기 위해 순차적인 방식으로 진행될 수 있다.
ALD의 이점은 옹스트롬(Ångstrom) 또는 단분자층(monolayer) 수준에서 정밀한 두께 제어이다. ALD의 자기제한 측면은 높은 종횡비 구조들 상에 등각 증착 및 우수한 단계 범위를 이끈다.
보호막을 위한 효율적인 2원 화합물 필름은 SiO일 수 있으며, ALD와 함께, 특히 ALD를 돕는 플라즈마는 산소 전구체 또는 플라즈마 산소와 결합하여 특정한 유기 금속(organometallic) Si-전구체들을 이용하여, 실내 온도 아래로, 알맞은 온도들에서 증착될 수 있다. SiO는 Si-웨이퍼들의 2D-표면상에 가해질 단단한 마스크 물질의 바람직한 선택이다. 그것은 에칭 속도 선택도 S (SiO/Si) > 80 : 1 내지 200 : 1을 가지는 매우 효율적인 마스크 물질이다.
ALD에서 하나의 단분자층만 (또는 더 적게) 증착될 때, 적합한 보호막 특성들을 위해, (10차의) 다양한 ALD 단계들이 하나의 층, 또는 더 적은 (예를 들어 ~5까지) 나노미터를 획득하는 데 요구될 수 있다.
가능하게, 반응성 이온 에칭 시스템의 적합한 설계와 함께 (다른 노즐 대 기판 치수들 및 p3 > p2 > p1인 다른 압력들을 가지는 예시가 도면에 도시된다), 에칭 단계는 보호막 단계(들)보다 10-100배 낮은 압력에서 작동될 수 있다. 대기의 주변 내에 전체 유닛의 작동을 허용하기 위해, 에칭 및 보호막 부분들(2, 3)은 100 마이크로미터의 정도의 간격 높이를 가지는 대기 보호 구역(ambient guarding zone; 7)에 의해 둘러싸일 수 있다. 대기의 주변으로부터 약 10% 산소(O2)의 추가는 유리하게 배수 라인들의 고장을 막을 수 있는 SF6 에칭 가스의 경우에 허용된다는 것이 주의된다. O2는 가스 베어링 공급부를 거쳐, 누출 또는 고의의 추가를 통하여 추가될 수 있다.
도 7은 에칭 하위 사이클들(etching subcycles; 200) 사이에 마련된 ALD 보호막 사이클들(300)의 개략적인 시간 차트를 도시한다. 보호막 단계(300)에서, SiO2 ALD 증착은 O-전구체(H2O, O3 또는 O2 플라즈마) 및 Si-전구체(예를 들어 아미노실란)의 보호막 사이클들에 의해 제공되며, 동시에 보호막 공동 내에 카운터 바이어스 전극(counter bias electrode)에 의해 배치된 카운터 바이어스 전압에 의한 기판의 전극 바이어스를 바람직하게 상쇄시킬 수 있다. 에칭 단계(200)에서, 바이어스는 하위 부분들을 에칭하기 위해 기판의 표면상에 이온들을 가하도록 기판 부분을 향해 에칭 플라즈마(4)를 촉진시키기 위해 제공된다. 다른 산화물들은 바람직하게 플루오르 환경 내에서 휘발성이 있는 유형인, 게르마늄 산화물 또는 텅스텐 산화물을 포함할 수 있다.
이방성의, 높은 종횡비 에칭 프로파일들(etch profiles)은 에칭 단계(200)에서 방향성 영향의 안내에 의해 획득되며, 기판 바이어싱과 컴팩트한 (마이크로) 플라즈마 배열 공급원의 결합에 의해 제공될 수 있다는 것은 주의된다. 이것은 전도성의 기판 유지기(10) 상에 전압 바이어스(DC 또는 RF)를 설정하는 것에 의해 달성될 수 있다. 이것은 이온들이 SF6 플라즈마 구역으로부터 추출되도록 전체의 기판상에 전압을 발생시킬 것이다. 이러한 이온들은 트렌치(trench) 바닥에 보호막을 우선적으로 에칭할 것이며, 방향성 에칭을 유지할 것이다. 바이어스 전압은 플라즈마에서 반응물(reagent) 가스 압력이 더 높은 C4F8 보호막 구역(들) 내에서, 또는 가스 압력이 플라즈마 모드에서 유사하거나 순수 열적 모드에서 더 높은 ALD SiO2 보호막 구역(들)에서 상당히 적은 영향을 가져서, 대부분의 방향성을 억제한다. 양자택일적으로 또는 추가적으로 보호막 공동들 상에 국부적인 바이어스는, 인젝터 헤드의 에칭 공동들 내에 생성된 이온들의 방향성을 유지하기에 최적인, 전체적인 기판 척 상에 미리 설정된 바이어스를 상쇄시키도록, 예를 들어 공동 내에 배치된 카운터 바이어스 전극에 의해 설정될 수 있다.
도 8은 회전하는 인젝터 헤드(101)를 포함하는 대체의 실시예를 도시한다. 도 8A는 SF6 구역들(20) 및 C4F8 구역들(30)이 배출구 구역들(71) 및 가스 베어링 평면들(70)에 의해 둘러싸이는 입구들 안으로 통합되는, 공간적인 RIE 반응기 헤드의 바닥 측을 도시한다. 여기에서, 웨이퍼(5)는 SF6 플라즈마 에칭 및 C4F8 (또는 SiO2 ALD) 보호막 가스들을 위한 입구들(40, 41), 및 가스 베어링(예를 들어, N2) 출구들(70, 71)을 구비하는 공동들을 포함하는 반응기 헤드(101) 아래에 축(11) 상에서 회전하는 기판 유지기(10) 위로 고정될 수 있다. 웨이퍼(5)가 반응 구역들(20, 30) 아래로 지나가는 실질적인 속도는 웨이퍼(5) 너머 방사상으로, 웨이퍼(5)의 중심으로부터 더 멀리 높은 속도들로, 따라서 더 짧은 노출 시간들로 변화한다는 것을 주의하라. 가스 출구들의 같은 크기 및 형상들을 구비하여, 이것은 웨이퍼 중심으로부터 더 멀리 더 얕은 트렌치들로 전체 웨이퍼(5)를 가로질러 이종의 가스 투여를 초래한다. 이것은 출구 밀도를 변화시키는 것에 의해 또는 웨이퍼 가장자리를 향해 더 멀리 더 큰 출구 직경들을 삽입하는 것에 의해 보충될 수 있으며, 동종의 가스 투여가 달성되도록 한다. 웨이퍼 바이어싱은 전도성 기판 테이블의 DC 또는 RF 바이어싱에 의해 수행될 수 있다. 기판 유지기(10)는 구동 축에 의해 연결된 서보 모터(servo motor)에 의해 회전된다. 처리- 및 폐가스 라인들(40, 41, 70, 71)은 오븐(oven)의 상부를 통해 개방에 의해 반응기 헤드(101)에 연결된다. 기판 바이어싱은 연속적일 수 있다.
도 9는 플라즈마를 제공하기에 적합한 많은 전극 구성들을 도시한다. 예를 들어 고-밀도의 (~10^13 species/㎤) 플라즈마의 배열 또는 마이크로플라즈마 공급원들은 약간의 추가 여유를 가지고, 길이들 위로 길이방향 플라즈마 공급원 (원격 ICP 플라즈마, 마이크로파) 또는 흐르는 가스 플라즈마의 근사 직선 공급원을 형성하는 마이크로미터 내지 [서브]밀리미터 범위의 마이크로스케일 플라즈마들을 구비하여 제공된다.
작은 치수들(서브밀리미터)에 의해 그러한 마이크로 플라즈마들은 일반적으로 더 높은 압력들에서 작동될 수 있으며, 더 낮은 압력 영역들에서 종래의 플라즈마들과 다른 특성들을 나타낼 수 있다. 따라서 더 높은 플라즈마 밀도들 (~10^13 species/㎤)은 치수들이 가스 종류들의 평균 자유 경로(mean free path)와 비간섭적으로 감소된다면 더 가능할 수 있다.
도 9A에 도시된 예시와 같이, 중공의 음극 방전 형태의 플라즈마 발생 구조(22)는 도면에서 자석들 N/S 사이에 유지된, 기판(5)상에 길이를 구비하여 고밀도의 에칭 가스 공급부(40)로부터 선형 아크 플라즈마(4)를 생산하는 것으로 알려져 있다. 대체로 선형 아크 방전(LAD)은 두 개의 평행 평판들(221) 사이에 RF-발생되는 중공의 음극 방전을 바탕으로 나타내진다. 플라즈마 공급원(22)은 인젝터 헤드(1)(도 1을 보시오)의 에칭 구역(20)과 함께 안에 포함될 수 있다 (또는 미세 기계 가공으로 통합될 수 있다). 원칙적으로 플라즈마 공급원(22)은 높이 H = 5 밀리미터, 및 Le ≥ 5 밀리미터(도 9에서 x-방향으로)의 대표적인 측면 치수들을 위해 반도체 기판(5) 위로 연장한다. 대표적인 폭은 동질의 플라즈마 농도가 전체 웨이퍼 크기 위에 획득될 수 있도록, 최소한 정규의 반도체 공정에서 300 내지 450 밀리미터의 표준으로 및 태양 전지 공정에서 ≥ 156 밀리미터, 그러나 더 일반적으로 1 미터까지 너무 크게, 그 이상으로 선택될 수 있다.
도 9B는 유전체 방벽 플라즈마 공급원들, 특히 기판(5)상에 플라즈마(4)를 발생하는 유전체들(dielectrics; 223)을 구비하여 공기 중에서 13.56 MHz에서 작동되는 방벽 플라즈마 공급원을 포함하는 다른 실시예(22')를 도시한다. 슬롯 안테아(SLAN) 공급원 같은 다른 플라즈마 공급원들(22)은 당업자들에게 공지되어 있는 것과 같이 사용될 수 있다. 이온들을 플라즈마(4)로부터 기판 표면(5)으로 충분히 추출 및 촉진시키도록 바람직하게 플라즈마 및 기판 사이에 소위 어두운 공간은 (적어도 약간 100마이크로미터로) 충분히 크다.
도 10은 전술한 공동들(31, 32)을 구비하는 ALD 프린트헤드(101)를 사용하는 양자택일적인 ALD 보호막 단계(310)와 함께 여기에 나타내진 에칭/보호막(200/300) 공정을 개략적으로 도시한다. 제 1 단계(900)에서 기판(5)은 기판(5)의 잔여부분을 보호하는 패턴화된 단단한 마스크 부분(51) 또는 포토레지스트(photoresist), 및 플라즈마에 민감한 하위 부분들(50)을 구비하여 제공된다.
SF6와 함께 에칭 단계(200) 실질적으로 등방성(isotropic)이다. 중단 없이 그것은 비-방향성 중립 종류들(F를 포함하는 라디칼들)에 의해 주로 진행될 것이다. 이러한 측면 에칭 구성 요소를 최소화하기 위해 에칭 단계들은 다음의 벽 보호막 단계(300)에 의해 빨리 중단된다. 각각의 에칭 단계 동안 바이어스 전압은 기판 척(5)에 가해진다. 이것은 외관의 바닥 부분에서만 폴리머를 분해하는 기판(5) 위에 플라즈마로부터 방향성의 물리적 이온 충격을 유발하며, 심층 외관 에칭을 가능하게 한다. 공정은 포토레지스트 마스크 물질 및/또는 단단한 산화물 (보통 SiO2) 마스크에 대항하여 비교적 높은 에칭 속도들 및 선택도들(~ 200 : 1까지)을 가지고 실리콘 내에서 심층 수직 마이크로구조들(microstructures; 55)의 건식 에칭을 가능하게 한다. 따라서 방법은
e) 기판 부분(5) 위에 플라즈마 에칭 구역(20)에 인젝터의 헤드(1)를 위치시키는 단계(단계 900), 상기 기판 부분은 예를 들어 SF6같은 에칭 플라즈마(4)에 민감한 하위 부분들(50)을 구비함;
f) 하위 부분들의 에칭을 위해 기판(5)의 표면(50)상에 이온들을 가하도록 기판 부분을 향해 에칭 플라즈마(4)를 촉진시키는 단계 및 에칭 플라즈마(4)를 공급하는 단계(단계 200);
g) 기판 부분(50) 위에 보호막 구역(30)을 위치시키기 위해, 기판(5)에 대해 인젝터 헤드(1)를 이동시키는 단계(단계 300); 및
h) 공동(30) 내에 보호막 가스를 제공하는 것에 의해, 기판 부분(50) 위에 보호막 층(52)을 공급하는 단계;
의 순환 단계들을 포함한다.
순환 단계들은 인젝터 헤드(1)의 왕복 움직임(reciprocating motion; P, Q)에 의해 실행될 수 있다. 양자택일적으로, 이것은 도 8에 도시된 인젝터 헤드(101)의 회전 운동에 의해 실행될 수 있다.
대체의 원자층 보호막 단계(310)에서, 보호막 구역은 다수의 공급부들(31, 32)을 포함하며, 상기 보호막 층의 공급은 제 1 공동(31) 내에 전구체 가스를 공급하는 것에 의해 원자층 증착 공정 내에 제공되며, 반응제 공급부는 반응제 공급부와 제공되는 추가적인 공동(32) 내에 제공되며, 추가적인 공동은 사용 중에 흐름 방벽에 의해 구획된다. 선택적으로 인젝터 헤드(1, 101)는 가스 베어링 구조에 의해 기판과 접촉하는 가스 베어링 내에 위치될 수 있다.
가스-베어링 층은 사용 중에 일반적으로 기판 표면을 향해 인젝터 헤드의 가까운 접근의 결과로써 가스-베어링 층 내에 압력의 큰 증가를 나타낸다. 예를 들어, 인젝터 헤드가 기판에 2배 더 가까이 이동할 때, 예를 들어 기판 표면에서 50마이크로미터 위치로부터 기판 표면에서 25 마이크로미터 위치로 이동할 때, 다른 조건이 같다면, 예를 들어 사용 중에 가스-베어링 층 내의 압력은 적어도 두 배, 예를 들어 일반적으로 8배 증가한다. 바람직하게, 가스-베어링 층의 강성은 사용 중에 103 및 1010 N/m 사이이나, 또한 이 범위의 밖일 수도 있다. 그러한 증가된 가스 압력들은 예를 들어 1.2에서 20bar 범위 내에, 특히 3에서 8bar 범위 내에 있을 수 있다. 더 강한 흐름 방벽은 일반적으로 더 높이 증가된 압력들을 이끈다. 증가된 처리 가스 압력은 기판 표면상에 처리 가스의 증착 속도를 증가시킨다. 처리 가스의 증착은 종종 반응성 이온 에칭의 중요한 속도-제어 공정 단계를 형성하므로, 이 실시예는 반응성 이온 에칭의 속도의 증가를 허용한다.
일 실시예에서, 장치는 방향(P)을 따라 기판 표면을 향해 향해진 인젝터 헤드 상에 초기응력(pre-stressing force)을 가하도록 배치된다. 가스 인젝터는 가스-베어링 층 내의 압력을 제어하는 것에 의해 초기응력에 대응하도록 배치될 수 있다. 사용 중에, 초기응력은 가스-베어링 층 내의 강성을 증가시킨다. 그러한 증가된 강성은 기판 표면의 평면 밖으로 바람직하지 않은 이동을 감소시킨다. 결과적으로, 인젝터 헤드는 기판 표면의 접촉 없이, 기판 표면에 더 가까이 작동될 수 있다.
양자택일적으로 또는 추가적으로, 초기응력은 초기응력을 생성하기 위해 자기적으로, 및/또는 인젝터 헤드에 중량을 추가하는 것에 의해 중력으로 형성될 수 있다. 양자택일적으로 또는 추가적으로, 초기응력은 스프링 또는 다른 탄성 요소에 의해 형성될 수 있다.
일 실시예에서, 프린트 헤드 공급부들(print head supplies; 31, 32)은 공동의 길이방향에 횡단하는 방향으로 처리 가스의 흐름을 위해 배치된다. 일 실시예에서, 전구체 공급부는 적어도 하나의 전구체 공급 슬릿(slit)에 의해 형성되며, 공동의 길이방향은 적어도 하나의 전구체 공급 슬릿을 따라 향해진다. 바람직하게, 인젝터 헤드는 적어도 하나의 전구체 공급 슬릿의 길이방향에 횡단하는 방향으로 처리 가스의 흐름을 위해 배치된다. 이것은 공급 슬릿을 따라 실질적으로 일정할 처리 가스의 농도를 가능하게 하며, 농도 기울기는 기판 표면에 처리 가스의 부착의 결과로써 확립될 수 없기 때문이다. 처리 가스의 농도는 바람직하게 반응성 이온 에칭을 위해 요구되는 최소한의 농도보다 약간 위에서 선택된다. 이것은 처리 가스의 효율적인 사용을 위해 추가된다. 바람직하게, 기판 표면의 평면 내에서 기판 및 공동 사이에 상대적인 움직임은, 적어도 하나의 전구체 공급 슬릿의 길이방향에 횡단한다. 따라서, 전구체 배수부는 기판의 이송 방향과 정렬되는 처리 가스 흐름을 정의하기 위해, 전구체 공급부 가까이에 제공된다.
일 실시예에서, 가스-베어링 층은 한정하는 구조, 특히 흐름 방향을 형성한다. 이 실시예에서, 외부 흐름 경로는 적어도 가스-베어링 층을 통해 일부 이끌 수 있다. 가스-베어링 층은 한정하는 구조 및/또는 흐름 방벽의 더 효율적인 형태를 형성하므로, 외부 흐름 경로를 거치는 처리 가스의 손실은 방지될 수 있다.
일 실시예에서, 흐름 방벽은 외부 흐름 경로 내의 한정하는 가스 압력 및/또는 한정하는 가스 커튼(gas curtain)에 의해 형성된다. 이것들은 흐름 방벽을 형성하기 위해 신뢰할 수 있고 다양한 선택사항들을 형성한다. 한정하는 가스 커튼 및/또는 압력을 형성하는 가스는 또한 적어도 가스-베어링 층의 일부를 형성할 수 있다. 양자택일적으로 또는 추가적으로, 흐름 방벽은 인젝터 헤드에 부착되는 유체 구조에 의해 형성된다. 바람직하게, 그러한 유체 구조는 80℃, 200℃, 400℃, 및 600℃ 중 하나에까지 온도들을 유지할 수 있는 유체로 만들어진다. 그러한 유체들은 당업자들에게 알려져 있다.
일 실시예에서, 흐름 방벽은 기판 표면의 평면 내에서 기판 표면으로부터 연장하는 기판 및 인젝터 헤드 사이에 및/또는 기판 표면 및 인젝터 헤드 사이에 흐름 간격에 의해 형성되며, 외부 흐름 경로를 따르는 흐름 간격의 두께 및 길이는 주입된 처리 가스의 용적 측정의 유량에 비해 외부 흐름 경로를 따르는 처리 가스의 용적 측정 유량을 실질적으로 방해하도록 맞춰진다. 바람직하게, 그러한 흐름 간격은 동시에, 적어도 외부 흐름 경로의 일부를 형성한다. 바람직하게, 흐름 간격의 두께는 가스-베어링 층에 의해 결정된다. 이 실시예에서 처리가스의 적은 양이 외부 흐름 경로를 따라 공동의 밖으로 흐를 수 있음에도, 흐름 방벽을 형성하기 위해 더 단순하지만 효율적인 선택사항을 가능하게 한다.
일 실시예에서, 공동들(20, 30)은 기판 표면의 평면 내에서 길쭉한 형상을 구비한다. 기판 표면에 횡단하는 공동의 치수는, 예를 들어 적어도 5배 또는 적어도 50배 같이, 기판 표면의 평면 내의 공동의 하나 이상의 치수들보다 현저하게 작을 수 있다. 길쭉한 형상은 평면이거나 구부러질 수 있다. 그러한 길쭉한 형상은 공동 내에 주입될 필요가 있는 처리 가스의 부피를 줄여서 주입된 가스의 효율성을 향상시킨다. 또한 공동의 채움 및 비움을 위한 시간을 더 짧게 할 수 있으며, 따라서 전체적인 반응성 이온 에칭 처리의 속도를 증가시킨다.
보호막 층을 형성하기 위한 적합한 원자층 증착 가스들 또는 증기들, 바람직하게 SiO2는, 산소/산소 플라즈마와 함께 실내 온도에서 바람직하게 반응하는, 예를 들어 특별한 유기 금속 Si-전구체들(예를 들어 실라네디아민 N, N, N', N'- 테트라에틸(H2Si[N(C2H5)2]2) 같은 아미노실란)을 포함할 수 있다. 처리 가스는 질소 가스 또는 아르곤 가스 같은, 운반 가스와 함께 주입될 수 있다. 운반 가스 내에서 처리 가스의 농도는 일반적으로 0.01 내지 1부피 퍼센트 범위일 수 있다. 사용 중에, 공동(14) 내에서 처리 가스 압력은 일반적으로 0.1 내지 1 밀리바 범위일 수 있으나, 또한 대기압 가까이 또는 대기압보다 현저히 위에 있을 수 있다. 인젝터 헤드에는 바람직하게 -20℃내지 +40℃ 또는 -20℃ 내지 +50℃ 사이에 범위로 공동 내의 온도를 확립하기 위해 온도 제어부가 제공된다.
적절하게, 공동 벽들은 임의로 세라믹 코팅이 제공되는, 스테인리스 강으로 형성된다.
여기에 설명된 현재의 공간적인 심층 반응성 이온 에칭 방법은 ~10배로 현재의 최신식을 초과할 수 있는 비용 효율이 높은 에칭 속도를 가능하게 할 수 있다. 게다가, 반응성 이온 에칭에 기초하는 가스-베어링의 이점은 다음을 포함할 수 있다.
­더 작은 반응기 챔버 치수들: 개선된 일방향성 에칭, 및 에칭된 프로파일들 및 깊이들의 더 나은 균일성을 이끄는 플라즈마에서 외관까지 경로 상에서 더 작은 분자 사이의 충돌들.
­에칭 단계 중에 거의 없는 보호막 가스 상호 작용 및 반대로, 반응기 벽들 상에 증착들을 감소 또는 방지.
­훨씬 더 안정적인 공정, 훨씬 긴 기계 가동 시간 및 훨씬 덜 요구되는 반응기 세정 및 재조절.
­벽들을 거쳐 더 매끄럽게 만드는 것 및 덜 뚜렷한 물결모양들/잔물결들을 이끄는, (플러싱(flushing) 없이) 가능한 더 짧은 맥동들.
­비용 효율이 높은 화학품들의 사용을 이끄는 더 높은 반응 효율.
­TSV 공정 흐름들, 또는 광학 상호연결들을 위한 광학 코팅들 내에서 코팅([TaN, Cu] 같은) 근원/방벽 층들의 ALD/CVD로 결합되는, SiO2 절연 등)을 거쳐 (맥동되는 PECVD SiOx TSV 같은) 처리를 촉진시키기 위해 가능한 연장/전환과 함께, 에칭 및 증착의 결합을 제공하는 공간적인 처리를 위한 플랫폼.
­보호막 화학품들을 포함하는 플루오르는 ALD 보호막의 경우에 (친환경적으로) 방출될 수 있다.
­ 레이저들(VCSEL들, 수직-공동 표면-발사 레이저들), UV 공급원들, 등 같은 인젝터 헤드 어셈블리의 미세 공동들 내에 통합되는 국부적인 반응물 활성화를 위한 다른 물리적인 자극들의 선택사항들.
따라서, 비용 효율성이 높은 DRIE 에칭을 위해 초고속의 그리고 임의로 운반 없는 건식 에칭을 위한 장치 및 심층 반응성 이온 에칭(DRIE)에 기초하는 새로운, 컴팩트한 가스-베어링은 3D-적층 다이 및 웨이퍼 수준 패키징 기술 (예를 들어, 적층 메모리들, 및 이질적인 3D-증착된 패키지형 시스템(SIP) 제품들) 및 미세기계 시스템들(MEMS)에서 실리콘 관통전극(TSV) 상호연결들뿐만 아니라 실리콘 기반의 광전지 웨이퍼 관통 상호연결들 또한 같은 높은 종횡비 외관들로 나타내진다.
명시적으로 도시되지 않았음에도, 일 실시예에 따른 장치는 다른 실시예의 장치의 특징들을 구비할 수 있다.
본 발명은 여기에 설명된 실시예에 한정되지 아니하며, 당업자의 견지 내에서, 변형들은 첨부된 청구항들의 범위 내에서 고려될 수 있다. 마찬가지로 모든 운동학적 전환들은 내재적으로 설명되고 본 발명의 범위 내에 있도록 고려된다. "바람직하게(preferably)", "특히(in particular)", "일반적으로(typically)", 등 같은 표현들의 사용은 본 발명을 한정하도록 의도되지 않는다. 부정 관사 "하나의(a)" 또는 "하나의(an)"은 다수를 제한하지 않는다. 구체적으로 또는 명시적으로 설명되거나 주장되지 않은 특징들은 그것의 범위로부터 제외되지 않고 본 발명에 따른 구조 내에 추가적으로 포함될 수 있다.
1, 100: 반응성 이온 에칭을 위한 장치
2: 플라즈마 에칭 구역
3: 보호막 구역
4: 플라즈마
5: 기판
6: 가스 배출구
7: 가스 퍼지 구조
8: 공동
10: 지지부
20, 30: 공동
22, 220: 플라즈마 발생 구조
31, 32: 공급부
40: 에칭 가스 공급부
41: 보호막 가스 공급부
50: 기판 표면
60: 배수부
70: 가스 베어링
71: 흐름 방벽
72: 베어링 면
73: 베어링 가스 인젝터
74: 흐름 제한부
101: 인젝터 헤드
110: 돌출부
200: 에칭 단계
221: 평행 평판
223: 유전체
300: 보호막 단계

Claims (15)

  1. 인젝터 헤드를 포함하고,
    상기 인젝터 헤드는,
    에칭 가스 공급부를 포함하고 플라즈마를 점화하기 위한 플라즈마 발생 구조를 구비하여 배치되며 기판의 표면상에 이온을 가하도록 기판 부분을 향해 에칭 플라즈마를 촉진시키기 위해 배치된 전극 구조를 더 포함하는 플라즈마 에칭 구역;
    보호막 가스 공급부가 제공되는 공동을 포함하는 보호막 구역, 상기 공급부는 보호막 가스 흐름을 상기 공급부로부터 상기 공동으로 제공하기 위해 배치되며, 상기 공동은 사용 중에 상기 인젝터 헤드 및 기판 표면에 의해 구획됨; 및
    상기 에칭 구역 및 보호막 구역 사이에 배치되는 가스 배출구를 포함하는 가스 퍼지 구조, 상기 가스 퍼지 구조는 상기 에칭 및 보호막 구역들의 공간적인 분리를 형성함;
    를 포함하는, 기판의 반응성 이온 에칭을 위한 장치.
  2. 제1항에 있어서,
    상기 인젝터 헤드 및 상기 기판 표면 사이에 베어링 가스를 주입하기 위해 배치되는 베어링 가스 인젝터를 포함하는 가스 베어링 구조를 더 포함하고, 상기 베어링 가스는 가스-베어링을 형성하며, 상기 가스 베어링은 적어도 상기 에칭 및 보호막 구역들의 외주를 한정하는, 기판의 반응성 이온 에칭을 위한 장치.
  3. 제2항에 있어서,
    상기 보호막 구역 내의 공동은 공동 공급부 및 배수부를 구비하는 기판 표면에 대해 공동 높이(Hp)를 정의하며, 상기 베어링 가스 인젝터는 상기 기판을 향하는 베어링 면 부분 내에 배치되고, 상기 베어링 면 부분은 기판에 대해, 상기 공동 높이(Hp)보다 작은 간격 거리(Hg)를 정의하는, 기판의 반응성 이온 에칭을 위한 장치.
  4. 제2항에 있어서,
    상기 베어링 가스 인젝터는 상기 가스 베어링의 기계적 강성을 정의하는 흐름 제한부를 포함하는, 기판의 반응성 이온 에칭을 위한 장치.
  5. 제2항에 있어서,
    상기 기판 표면에 수직한 방향에서 볼 때, 상기 가스 베어링은 시트 기판의 1차 굽힘 모드들을 방지하기 위해 물결 모양의 형상들로 형성되는, 기판의 반응성 이온 에칭을 위한 장치.
  6. 제1항에 있어서,
    상기 플라즈마 에칭 구역은 상기 보호막 구역 내의 기판 표면에 대한 공동 높이(Hp)보다 큰 기판 표면에 대한 공동 높이(He)를 구비하는 에칭 공동을 포함하는, 기판의 반응성 이온 에칭을 위한 장치.
  7. 제1항에 있어서,
    상기 보호막 구역은 다수의 공급부들을 포함하고, 적어도 하나의 공급부는 원자층 증착 공정 단계에서 전구체 가스를 공급하기 위해 배치되고, 추가적인 공급부에는 반응제 공급부가 제공되며, 상기 추가적인 공급부는 사용 중에 흐름 방벽에 의해 구획되는, 기판의 반응성 이온 에칭을 위한 장치.
  8. 제7항에 있어서,
    상기 적어도 하나의 공급부는 상기 공동을 한정하는 배수부를 포함하는 개별적인 공동 내에 제공되는, 기판의 반응성 이온 에칭을 위한 장치.
  9. 제1항에 있어서,
    상기 가스 베어링 구조에 의해 유지될 기판을 지지하기 위해 상기 인젝터 헤드의 반대편에 배치되는 지지부를 더 포함하며, 상기 지지부는 상기 기판을 향해 상기 에칭 플라즈마를 향하게 하기 위한 전극을 포함하는, 기판의 반응성 이온 에칭을 위한 장치.
  10. 제1항에 있어서,
    상기 인젝터 헤드는 이송 평면으로부터 멀리 그리고 이송 평면을 향해 이동 가능한, 기판의 반응성 이온 에칭을 위한 장치.
  11. 제1항에 있어서,
    -20℃ 내지 +75℃ 사이의 범위에서 상기 공동 온도를 유지하도록 배치된, 온도 제어부를 더 포함하는, 기판의 반응성 이온 에칭을 위한 장치.
  12. 인젝터 헤드를 포함하는 장치를 이용하여 기판의 표면상에 반응성 이온 에칭을 위한 방법에 있어서,
    상기 인젝터 헤드는, 에칭 가스 공급부를 포함하고 플라즈마를 점화하기 위한 플라즈마 발생 구조를 구비하여 배치되는 플라즈마 에칭 구역; 보호막 가스 공급부가 제공되는 공동을 포함하는 보호막 구역, 상기 공급부 및 배수부는 상기 공급부로부터 상기 공동을 거쳐 상기 배수부로 보호막 가스 흐름을 제공하기 위해 배치되고, 상기 공동은 사용 중에 상기 인젝터 헤드 및 상기 기판 표면 가스에 의해 구획됨; 및 상기 에칭 구역 및 보호막 구역들 사이에 배치된 가스 배출구를 포함하는 가스 퍼지 구조, 상기 가스 퍼지 구조는 상기 에칭 및 보호막 구역들의 공간적인 분리를 형성함;을 포함하고,
    상기 에칭 구역들 및 상기 보호막 구역들이 공간적으로 분리시키면서,
    기판 부분 위에 플라즈마 에칭 구역에 상기 인젝터의 헤드를 위치시키는 단계, 상기 기판 부분은 에칭 플라즈마에 민감한 하위 부분들을 구비함;
    상기 하위 부분들의 에칭을 위해 상기 기판의 상기 표면 상에 이온들을 가하도록 상기 기판 부분을 향해 전극 구조에 의해 상기 에칭 플라즈마를 촉진시키는 단계 및 상기 에칭 플라즈마를 공급하는 단계;
    상기 기판 부분 위에 상기 보호막 구역을 위치시키기 위해, 상기 기판에 대해 상기 인젝터 헤드를 이동시키는 단계; 및
    상기 보호막 가스 공급부로부터 상기 공동 내에 보호막 가스를 제공하는 것에 의해, 상기 기판 부분 위에 보호막 층을 공급하는 단계;
    의 순환 단계들을 포함하는, 반응성 이온 에칭을 위한 방법.
  13. 제12항에 있어서,
    상기 보호막 구역은 다수의 공급부들을 포함하며, 상기 보호막 층의 공급은 제1 공동 내에 전구체 가스를 공급하는 것에 의해 원자층 증착 공정 단계 내에 제공되고, 반응제 공급부는 반응제 공급부가 제공되는 추가적인 공동 내에 제공되며, 상기 추가적인 공동은 사용 중에 흐름 방벽에 의해 구획되는 방법.
  14. 제12항에 있어서,
    가스 베어링 구조에 의해 상기 기판과 접촉하는 가스 베어링 내에 상기 인젝터 헤드를 위치시키는 단계를 더 포함하는 단계.
  15. 제12항에 있어서,
    -20℃ 내지 +75℃ 사이의 범위에서 상기 공동 온도를 제어하는 단계를 더 포함하는 방법.
KR1020127024683A 2010-02-26 2011-02-25 반응성 이온 에칭을 위한 장치 및 방법 KR101908139B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP10154955.8 2010-02-26
EP10154955 2010-02-26
EP10155103.4 2010-03-01
EP10155103A EP2362411A1 (en) 2010-02-26 2010-03-01 Apparatus and method for reactive ion etching
PCT/NL2011/050138 WO2011105908A1 (en) 2010-02-26 2011-02-25 Apparatus and method for reactive ion etching

Publications (2)

Publication Number Publication Date
KR20130028070A true KR20130028070A (ko) 2013-03-18
KR101908139B1 KR101908139B1 (ko) 2018-10-15

Family

ID=42314288

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127024683A KR101908139B1 (ko) 2010-02-26 2011-02-25 반응성 이온 에칭을 위한 장치 및 방법

Country Status (6)

Country Link
US (1) US9761458B2 (ko)
EP (2) EP2362411A1 (ko)
KR (1) KR101908139B1 (ko)
CN (1) CN102859647B (ko)
TW (1) TWI604523B (ko)
WO (1) WO2011105908A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101460012B1 (ko) * 2013-03-21 2014-11-20 주식회사 테스 대면적 기판 처리 장치
KR101488760B1 (ko) * 2013-07-02 2015-02-04 주식회사 테스 전극어셈블리 및 이를 구비한 박막증착장치
KR20220032289A (ko) * 2020-09-07 2022-03-15 운해이엔씨(주) 공기정화 및 살균 기능을 지닌 에어샤워 장치

Families Citing this family (257)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
EP2159304A1 (en) * 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101867998B1 (ko) * 2011-06-14 2018-06-15 삼성전자주식회사 패턴 형성 방법
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP2960358A1 (en) 2014-06-25 2015-12-30 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and surface treatment method
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102079501B1 (ko) * 2014-10-24 2020-02-20 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
ES2672245T3 (es) * 2015-08-31 2018-06-13 Total S.A. Aparato generador de plasma y procedimiento de fabricación de dispositivos con patrones usando procesamiento de plasma resuelto espacialmente
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10276426B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing spin dry etching
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6759004B2 (ja) 2016-08-29 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10400332B2 (en) * 2017-03-14 2019-09-03 Eastman Kodak Company Deposition system with interlocking deposition heads
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
DE102017211539A1 (de) 2017-07-06 2019-01-10 Carl Zeiss Smt Gmbh Verfahren zum Entfernen einer Kontaminationsschicht durch einen Atomlagen-Ätzprozess
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10950454B2 (en) * 2017-08-04 2021-03-16 Lam Research Corporation Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
EP3588533A1 (en) 2018-06-21 2020-01-01 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and method of operating the same
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
GB202001781D0 (en) * 2020-02-10 2020-03-25 Spts Technologies Ltd Pe-Cvd apparatus and method
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP3933882A1 (en) 2020-07-01 2022-01-05 Carl Zeiss SMT GmbH Apparatus and method for atomic layer processing
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
DE102021206168A1 (de) 2021-06-16 2022-12-22 Carl Zeiss Smt Gmbh Verfahren zum Abscheiden einer Deckschicht, reflektives optisches Element für den EUV-Wellenlängenbereich und EUV-Lithographiesystem
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2406876A (en) 1942-05-29 1946-09-03 Standard Telephones Cables Ltd Instrument navigation system
US2782090A (en) 1954-07-21 1957-02-19 Robbart Edward Stabilization of cellulosic fabrics by applying alkyl silicon halide vapors
JPH08228068A (ja) 1995-02-22 1996-09-03 Nec Corp 無電解めっき用レジスト組成物
SE302110B (ko) 1963-11-20 1968-07-08 Dow Corning
DE1443519A1 (de) 1964-05-14 1968-11-07 Walter Bloechl Verfahren zur Herstellung von teilweise perfluorierten oder perfluorchlorierten gesaettigten oder ungesaettigten organischen Verbindungen
US3775121A (en) 1972-08-09 1973-11-27 Western Electric Co Method of selectively depositing a metal on a surface of a substrate
SU772601A1 (ru) 1979-04-23 1980-10-23 Предприятие П/Я М-5953 Головка дл распылени материалов
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5872139A (ja) 1981-10-26 1983-04-30 Tokyo Ohka Kogyo Co Ltd 感光性材料
NL8203318A (nl) 1982-08-24 1984-03-16 Integrated Automation Inrichting voor processing van substraten.
NL8500930A (nl) 1985-03-29 1986-10-16 Philips Nv Verplaatsingsinrichting met voorgespannen contactloze lagers.
US4718972A (en) 1986-01-24 1988-01-12 International Business Machines Corporation Method of removing seed particles from circuit board substrate surface
KR910003742B1 (ko) 1986-09-09 1991-06-10 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Cvd장치
NL8802822A (nl) 1988-11-16 1990-06-18 Bekaert Sa Nv Afdichtingselement voor het doorvoeren van tenminste een langwerpig voorwerp zoals draad en van een of meer afdichtingselementen voorziene vacuuminrichting.
US5063951A (en) 1990-07-19 1991-11-12 International Business Machines Corporation Fluid treatment device
EP0490118A1 (en) 1990-12-10 1992-06-17 Shipley Company Inc. Photoimagable solder mask and photosensitive composition
DE4115930C1 (ko) 1991-05-16 1992-08-27 Utp Schweissmaterial Gmbh & Co Kg, 7812 Bad Krozingen, De
US5157851A (en) 1991-10-02 1992-10-27 United Solar Systems Corporation Pinching gate valve
JP3221739B2 (ja) 1992-08-06 2001-10-22 タムラ化研株式会社 感光性樹脂組成物
JP2774743B2 (ja) 1992-09-14 1998-07-09 松下電器産業株式会社 撥水部材及びその製造方法
DE4317623C2 (de) 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
JPH0762232A (ja) 1993-08-30 1995-03-07 Toyobo Co Ltd 耐熱・耐光性に優れたポリアミド組成物およびポリアミド繊維
JPH07286899A (ja) 1994-04-19 1995-10-31 Jasco Corp 焦電形赤外線検出器
JPH08167666A (ja) 1994-12-15 1996-06-25 Nitto Denko Corp 半導体装置
US6270617B1 (en) * 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5641608A (en) 1995-10-23 1997-06-24 Macdermid, Incorporated Direct imaging process for forming resist pattern on a surface and use thereof in fabricating printing plates
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
JPH10258181A (ja) 1997-03-18 1998-09-29 Alps Electric Co Ltd ゲーム機用操作装置
JP3859182B2 (ja) 1997-03-27 2006-12-20 東京応化工業株式会社 ネガ型ホトレジスト組成物
US6255039B1 (en) 1997-04-16 2001-07-03 Isola Laminate Systems Corp. Fabrication of high density multilayer interconnect printed circuit boards
US6010603A (en) * 1997-07-09 2000-01-04 Applied Materials, Inc. Patterned copper etch for micron and submicron features, using enhanced physical bombardment
JP2003526191A (ja) * 1997-08-13 2003-09-02 アプライド マテリアルズ インコーポレイテッド 半導体デバイス用銅エッチング方法
JP3254179B2 (ja) 1997-12-10 2002-02-04 通信興業株式会社 通信ケーブル及びその製造方法
US5997963A (en) 1998-05-05 1999-12-07 Ultratech Stepper, Inc. Microchamber
WO2000042632A1 (en) * 1999-01-12 2000-07-20 Ipec Precision, Inc. Method and apparatus for generating and confining a reactive gas for etching substrates
JP2000211993A (ja) 1999-01-22 2000-08-02 Mitsubishi Electric Corp 半導体ウェハの製造方法、半導体製造装置、および、半導体装置
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP3411241B2 (ja) 1999-06-18 2003-05-26 三菱電機株式会社 通信機器及び回線誤接続防止方法
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP3503546B2 (ja) 1999-11-01 2004-03-08 信越化学工業株式会社 金属パターンの形成方法
RU2208658C2 (ru) 2000-04-10 2003-07-20 Розанов Леонид Николаевич Способ и устройство для нанесения вакуумных покрытий на рулонные материалы
AU2001255309A1 (en) 2000-04-28 2001-11-12 Tokyo Electron Limited Method and apparatus for distributing gas within high density plasma process chamber to ensure uniform plasma
TW514557B (en) 2000-09-15 2002-12-21 Shipley Co Llc Continuous feed coater
JP2002100623A (ja) 2000-09-20 2002-04-05 Fuji Daiichi Seisakusho:Kk 薄膜半導体製造装置
JP2002151494A (ja) 2000-11-14 2002-05-24 Sekisui Chem Co Ltd 常圧プラズマ処理方法及びその装置
CN1317423C (zh) 2000-11-14 2007-05-23 积水化学工业株式会社 常压等离子体处理方法及其装置
US6641673B2 (en) 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
US20030213561A1 (en) * 2001-03-12 2003-11-20 Selwyn Gary S. Atmospheric pressure plasma processing reactor
JP2003086516A (ja) 2001-09-10 2003-03-20 Sanyo Electric Co Ltd サセプタ、cvd装置、成膜方法、および半導体装置
JP4067858B2 (ja) 2002-04-16 2008-03-26 東京エレクトロン株式会社 Ald成膜装置およびald成膜方法
US6869641B2 (en) 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US7465407B2 (en) 2002-08-28 2008-12-16 Panasonic Corporation Plasma processing method and apparatus
JP4146773B2 (ja) 2002-08-28 2008-09-10 松下電器産業株式会社 プラズマ処理方法及び装置
KR100497748B1 (ko) 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US6852152B2 (en) 2002-09-24 2005-02-08 International Business Machines Corporation Colloidal seed formulation for printed circuit board metallization
US7064089B2 (en) 2002-12-10 2006-06-20 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus and method for plasma treatment
KR20080106361A (ko) 2003-02-05 2008-12-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 레지스트 패턴의 형성방법 및 반도체장치의 제조방법
JP2004349358A (ja) 2003-05-21 2004-12-09 Mitsubishi Paper Mills Ltd めっきレジスト組成物及びめっきレジストシート並びにそれを用いた基板の製造方法
JP2005050965A (ja) 2003-07-31 2005-02-24 Ngk Spark Plug Co Ltd 配線基板及びその製造方法
US20050227049A1 (en) 2004-03-22 2005-10-13 Boyack James R Process for fabrication of printed circuit boards
DE102004015216B4 (de) 2004-03-23 2006-07-13 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Modul und Verfahren für die Modifizierung von Substratoberflächen bei Atmosphärenbedingungen
RU2264266C1 (ru) 2004-06-17 2005-11-20 Общество с ограниченной ответственностью "ПКФ ВОСТОК-ПЛЮС" Способ изготовления антиадгезионной силиконизированной бумаги и устройство для его осуществления
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
JP4701704B2 (ja) 2004-12-20 2011-06-15 ソニー株式会社 パターン形成方法、パターン形成装置および電子応用装置の製造方法
JP2006176826A (ja) 2004-12-22 2006-07-06 Canon Anelva Corp 薄膜処理装置
US20060166132A1 (en) 2005-01-27 2006-07-27 Meagley Robert P Ultraviolet light transparent nanoparticles for photoresists
JP5021932B2 (ja) 2005-12-15 2012-09-12 パナソニック株式会社 表示パネルの駆動装置
WO2007106076A2 (en) 2006-03-03 2007-09-20 Prasad Gadgil Apparatus and method for large area multi-layer atomic layer chemical vapor processing of thin films
US20070218702A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7456429B2 (en) 2006-03-29 2008-11-25 Eastman Kodak Company Apparatus for atomic layer deposition
US7413982B2 (en) 2006-03-29 2008-08-19 Eastman Kodak Company Process for atomic layer deposition
US20070281089A1 (en) 2006-06-05 2007-12-06 General Electric Company Systems and methods for roll-to-roll atomic layer deposition on continuously fed objects
US20080260963A1 (en) 2007-04-17 2008-10-23 Hyungsuk Alexander Yoon Apparatus and method for pre and post treatment of atomic layer deposition
WO2008027215A2 (en) 2006-08-30 2008-03-06 Lam Research Corporation Apparatus and method for integrated surface treatment and deposition for copper interconnect
US8110254B1 (en) 2006-09-12 2012-02-07 Sri International Flexible circuit chemistry
KR20080027009A (ko) 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
JP2008085009A (ja) 2006-09-27 2008-04-10 Sumitomo Bakelite Co Ltd 回路基板および電子機器
CN100517643C (zh) 2006-12-22 2009-07-22 中芯国际集成电路制造(上海)有限公司 镶嵌结构的制造方法
US7789961B2 (en) 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
KR100791010B1 (ko) 2007-01-12 2008-01-03 삼성전자주식회사 반도체 제조 장치 및 이를 이용한 반도체 기판의 처리 방법
US20080226838A1 (en) 2007-03-12 2008-09-18 Kochi Industrial Promotion Center Plasma CVD apparatus and film deposition method
WO2008153674A1 (en) 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
CN101755073B (zh) 2007-07-30 2011-10-12 Ips股份有限公司 在晶圆上沉积薄膜的反应器
US8211231B2 (en) 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US8017183B2 (en) 2007-09-26 2011-09-13 Eastman Kodak Company Organosiloxane materials for selective area deposition of inorganic materials
US8182608B2 (en) 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
US7851380B2 (en) 2007-09-26 2010-12-14 Eastman Kodak Company Process for atomic layer deposition
US8398770B2 (en) 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US7572686B2 (en) 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US20090155488A1 (en) 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
WO2009085678A1 (en) 2007-12-19 2009-07-09 3M Innovative Properties Company Ink solutions for microcontact printing
US8333839B2 (en) 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
US9238867B2 (en) 2008-05-20 2016-01-19 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US20090291209A1 (en) 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
EP2159304A1 (en) 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
JP5276387B2 (ja) 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
EP3483919A1 (en) 2008-12-04 2019-05-15 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
EP2197253A1 (en) 2008-12-12 2010-06-16 Nederlandse Organisatie voor toegepast-natuurwetenschappelijk Onderzoek TNO Method for electric circuit deposition
EP2211369A1 (en) * 2009-01-23 2010-07-28 Applied Materials, Inc. Arrangement for working substrates by means of plasma
KR101842675B1 (ko) 2009-07-08 2018-03-27 플라즈마시, 인크. 플라즈마 처리를 위한 장치 및 방법
US20110076421A1 (en) 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
US20110097494A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Fluid conveyance system including flexible retaining mechanism
NL2003836C2 (en) 2009-11-19 2011-05-23 Levitech B V Floating wafer track with lateral stabilization mechanism.
NL2004177C2 (en) 2010-02-01 2011-08-03 Levitech B V Dynamic fluid valve and method for establishing the same.
EP2362002A1 (en) 2010-02-18 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Continuous patterned layer deposition

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101460012B1 (ko) * 2013-03-21 2014-11-20 주식회사 테스 대면적 기판 처리 장치
KR101488760B1 (ko) * 2013-07-02 2015-02-04 주식회사 테스 전극어셈블리 및 이를 구비한 박막증착장치
KR20220032289A (ko) * 2020-09-07 2022-03-15 운해이엔씨(주) 공기정화 및 살균 기능을 지닌 에어샤워 장치

Also Published As

Publication number Publication date
WO2011105908A1 (en) 2011-09-01
EP2539921B1 (en) 2018-04-04
TW201133612A (en) 2011-10-01
CN102859647B (zh) 2017-02-15
CN102859647A (zh) 2013-01-02
US9761458B2 (en) 2017-09-12
TWI604523B (zh) 2017-11-01
EP2539921A1 (en) 2013-01-02
EP2362411A1 (en) 2011-08-31
US20130118895A1 (en) 2013-05-16
KR101908139B1 (ko) 2018-10-15

Similar Documents

Publication Publication Date Title
KR101908139B1 (ko) 반응성 이온 에칭을 위한 장치 및 방법
KR101714091B1 (ko) 원자층 증착 장치 및 방법
US8956456B2 (en) Apparatus and method for atomic layer deposition
US9273392B2 (en) Apparatus for atomic layer deposition
EP2670883B1 (en) Apparatus and method for atomic layer deposition
US20140044887A1 (en) Apparatus for atomic layer deposition
KR20140099896A (ko) 플라즈마 처리 챔버의 압력 제어 밸브 어셈블리 및 급속한 교번 처리
JP7295892B2 (ja) プラズマ源及びその操作方法
Roozeboom et al. A spatial ALD oxide passivation module in an all-spatial etch-passivation cluster concept

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant