CN102859647B - 用于反应性离子蚀刻的装置和方法 - Google Patents

用于反应性离子蚀刻的装置和方法 Download PDF

Info

Publication number
CN102859647B
CN102859647B CN201180021337.5A CN201180021337A CN102859647B CN 102859647 B CN102859647 B CN 102859647B CN 201180021337 A CN201180021337 A CN 201180021337A CN 102859647 B CN102859647 B CN 102859647B
Authority
CN
China
Prior art keywords
gas
substrate
chamber
etching
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201180021337.5A
Other languages
English (en)
Other versions
CN102859647A (zh
Inventor
弗雷迪·罗泽博姆
阿德里安·马里努斯·兰克霍斯特
保卢斯·威力布罗德斯·乔治·波特
N·B·科斯特
何拉尔德斯·约翰·约瑟夫·维纳德斯
阿德里亚努斯·约翰尼斯·皮德勒斯·玛利亚·弗米尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nederlandse Organisatie voor Toegepast Natuurwetenschappelijk Onderzoek TNO
Original Assignee
Nederlandse Organisatie voor Toegepast Natuurwetenschappelijk Onderzoek TNO
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nederlandse Organisatie voor Toegepast Natuurwetenschappelijk Onderzoek TNO filed Critical Nederlandse Organisatie voor Toegepast Natuurwetenschappelijk Onderzoek TNO
Publication of CN102859647A publication Critical patent/CN102859647A/zh
Application granted granted Critical
Publication of CN102859647B publication Critical patent/CN102859647B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本申请涉及一种用于对衬底(5)进行反应性离子蚀刻的装置(1),包含等离子体蚀刻区域(2)、钝化区域(3)和气体排出结构(7),等离子体蚀刻区域(2)包括蚀刻气体供应设备(40)并且设置有用于激发等离子体(4)的等离子体产生结构(22),并且包含被布置成将蚀刻等离子体朝向衬底部分加速以使离子撞击在衬底(5)的表面上的电极结构,钝化区域(3)包括提供有钝化气体供应设备(41)的腔(8);供应设备(41)被布置成用于从供应向腔(8)提供钝化气流;腔(8)在使用中由注入器头部(1)和衬底的表面定界;气体排出结构(7)包含布置在蚀刻区域和钝化区域之间的气体排放部(6);气体排出结构(7)因此形成蚀刻区域(2)和钝化区域(3)的空间分界。

Description

用于反应性离子蚀刻的装置和方法
技术领域
本申请涉及一种用于对衬底进行反应性离子蚀刻的装置。本申请还涉及一种用于对衬底进行(深度)反应性离子蚀刻的方法。
背景技术
目前,硅材中的高深宽比(aspect ratio)的特征部的深度反应性离子蚀刻(DRIE)主要依靠特别是在US5,498,312中所描述的所谓波什工艺(Bosch process)。这种工艺是硅材微机械加工中最流行的技术选择,并且服务于以下的巨大新兴市场:
1)用于晶片和芯片的3D堆叠的穿透硅材的通孔(Through-Silicon Vias,TSVs),和
2)微机电系统(MEMS,即传感器和致动器),
以及以下更成熟的市场:
3)DRAM(动态随机存储器)槽蚀刻、浅槽隔离蚀刻(Shallow Trench Isolationetching)。
波什工艺还称为深度反应性离子蚀刻,其实质上是相继地蚀刻并且钝化的工艺。最初,波什工艺是基于在Ar中使用NF3或SF6的Si蚀刻的交替循环,以形成气相SiFx蚀刻产物,并且基于在Ar中使用CF4或CHF3来进行钝化,以形成沉积在特征部(feature)的侧壁和底部上的保护性碳氟聚合物。蚀刻和钝化循环的时间尺度一般为几秒(例如3-5秒)。
发明内容
根据一方面,考虑一种蚀刻工艺和装置,其旨在提供快速蚀刻工艺,其中,材料得到了有效利用,并且使得替代形式的钝化变得可能。
一方面,提供一种用于对衬底进行反应性离子蚀刻的装置,包含:
等离子体蚀刻区域,其包括蚀刻气体供应设备并且布置有用于激发等离子体的等离子体产生结构,并且所述等离子蚀刻区域进一步包括电极结构,所述电极结构被布置成用于将所述蚀刻等离子体朝向衬底部分进行加速,以使离子撞击在所述衬底的表面上;
钝化区域,其包括提供有钝化气体供应设备的腔;该供应设备被布置成用于从该供应设备向所述腔提供钝化气流;所述腔在使用中由所述注入器头部和所述衬底的表面界定;以及
气体排出结构,其包括布置在所述蚀刻区域和所述钝化区域之间的气体排放部;所述气体排出结构因此形成所述蚀刻区域和所述钝化区域的空间分界。
根据另一方面,一种用于在衬底的表面上进行反应性离子蚀刻的方法,所述方法使用包括注入器头部的装置,所述注入器头部包含:
等离子体蚀刻区域,其包括蚀刻气体供应设备并且布置有用于激发等离子体的等离子体产生结构;
钝化区域,其包括提供有钝化气体供应设备的腔;该供应设备和引流管被布置用于经由所述腔从所述供应设备向所述引流管提供钝化气流;所述腔在使用中由所述注入器头部和所述衬底的表面气体界定;以及
气体排出结构,其包含布置在所述蚀刻区域和钝化区域之间的气体排放部;所述气体排出结构由此形成所述蚀刻区域和钝化区域的空间分界;
在保持所述蚀刻区域和钝化区域空间上分开的同时,所述方法包含以下时间循环步骤:
a)将所述注入器的头部的等离子体蚀刻区域放置在衬底部分的上方,所述衬底部分具有对蚀刻等离子体敏感的子部分;
b)供应蚀刻等离子体,并且通过电极结构将所述蚀刻等离子体朝向所述衬底部分加速,以使离子撞击在所述衬底的表面上,以便蚀刻所述子部分;
c)相对于所述衬底移动所述注入器头部,以将所述钝化区域定位在所述衬底部分的上方;以及
d)通过从所述钝化气体供应设备在所述腔中提供钝化气体,而在所述衬底部分上供应钝化层。
由于空间分界,通过消除气体转换和清除/泵时间循环,而显著增加蚀刻速率。
装置可以包括腔压强控制器。腔中的压强可以被控制为独立于和/或不同于腔外部的压强。通过这种方式,可以设定腔中的预定的压强,优选地,为专门用于最优化在专门用于各工艺步骤的各腔中的工艺气体的扩散的平均自由行程。
在使用装置时,腔由衬底的表面界定。显然,通过这种方式,衬底帮助限制工艺气体。在腔和衬底表面的平面内的衬底之间的相对移动的组合,以及将被注入的工艺气体限制在腔内,进一步使工艺气体能被相当有效地利用。通过这种方式,能在衬底的表面上有效地分配工艺气体的体积,从而提高工艺气体分子被注入腔后附着在衬底的表面上的概率。
附图说明
现在将参照附图对本公开进行非限制性说明,其中
图1示出了第一实施例中用于衬底的反应性离子蚀刻的装置的示意性透视侧视图;
图2示出了第二实施例中用于衬底的反应性离子蚀刻的装置的示意性侧视图;
图3示出了根据本公开另一实施例的注入器头部的仰视图;
图4示出了用于衬底的反应性离子蚀刻的装置的另一示意性透视侧视图;
图5示出了带有工艺窗口W的图解;
图6示出了另一实施例的进一步的示意性侧视图;
图7示出了嵌套在蚀刻子周期之间的ALD(Atomic Layer Deposition,原子层沉积)钝化周期的示意性时间图表;
图8示出了包括旋转的注入器头部的替代实施例;
图9示出了用于提供等离子体的多个电极构形;以及
图10示意性示出了采用替代ALD钝化的蚀刻/钝化工艺。
除非另有规定,所有附图中相同的附图标记代表相似的组成部分。
具体实施方式
图1在一个实施例中示出了用于衬底5的反应性离子蚀刻的装置的示意性透视侧视图。该图示出了关于其它气体入口腔(或“袋”)的优选高度He、Hp、受限的等离子体蚀刻区域和钝化区域的侧向向前延伸L以及压强和流动的范围的一些基本原则的设计考虑。此处,主要的考虑是沟道上的压降与高度的立方H3成比例(并且线性于L和流动速率),H是获得期望的压强的便利设计参数。
可以看出,该设计实质上包含可选地被净化气体限制分开的等离子体蚀刻区域和钝化区域。钝化区域的最简单形式可以是常规的基于C4F8的沉积。
相应地,所示出的用于对衬底5进行反应性离子蚀刻的装置1包含:等离子体蚀刻区域2,等离子体蚀刻区域2包含蚀刻气体供应设备40并且布置有用于激发等离子体4的等离子体产生结构22(见图2)。该等离子体可以为本领域已知的远程类型。等离子体产生结构22可以包括现有技术中已知的电极和/或RF-线圈,其布置在腔20附近(例如,在蚀刻气体供应设备40中)。此外,等离子体产生结构22包含电极结构(见图2),以将蚀刻等离子体朝向衬底部分进行加速,从而让离子撞击衬底的表面。钝化区域3包括腔8,腔8提供有(可选地,由等离子体辅助的)钝化气体供应设备41;供应设备41被布置成用于提供经腔8将钝化气流从供应设备41提供给引流管(drain)6;在使用中,腔8由注入器头部1和衬底表面50定界;并且,气体排出结构7包含气体排放部6,气体排放部6布置在蚀刻区域2和钝化区域3之间;气体排出结构6由此形成蚀刻区域2和钝化区域3的空间分界。
典型地,并且优选地,反应步骤(蚀刻、钝化、可选的清除)是在室温下进行的,而(由气流和反应隔间中的压强Pe和Pp的尺寸来实现的)最佳压强通过以下示例来提供:
图2示出了根据本发明的实施例的示意性侧视图。作为一个示例,注入器头部1示出为具有两个沉积腔20、30,这两个沉积腔20、30由气体轴承区域(gas bearing region)分开。钝化步骤可能需要包括材料沉积。这种材料沉积可以在腔30中进行,腔30提供有钝化气体供应设备41。因此,在本实施例中,示出了注入器头部包含提供有钝化供应设备41的腔30,在使用中,腔30由气体轴承70来界定。优选地,蚀刻气体供应设备40被设计成没有显著的流量限制,以允许等离子体沉积。因此,朝向衬底表面50,等离子体流不受任何流量限制的阻碍。
本实施例中,工艺气体被供应到腔20内,气流沿着衬底表面50。气流是经由腔20从蚀刻气体供应设备40提供到引流管6的。使用中,腔20由注入器头部1和衬底表面50来界定。气体轴承70提供有轴承气体注入器73,轴承气体注入器73被布置成与腔20相邻,以用于将轴承气体注入到注入器头部1和衬底表面50之间,因此,轴承气体形成气体轴承,同时将被注入的工艺气体限制于腔20。额外地,引流管60可以起到排走轴承气体的作用,以防止轴承气体流入腔20、30。
虽然,该实施例示出了具有相等高度腔20、30,然而,根据一方面,优选地,等离子体蚀刻区域包括蚀刻腔20,蚀刻腔20相对于衬底表面50具有腔高度He,其中,He大于相对于在钝化区域30中的衬底表面50的腔高度Hp
虽然,在该实施例中,每个流屏障的尺寸为气体轴承70,但是,在原理上,这不是必须的;例如,只要提供了有效的流动屏障,则分隔沉积腔20、30的流动屏障71的尺寸不必如气体轴承。典型地,流动屏障71可以具有间隙高度,这个间隙高度比其中气体轴承70有效的间隙高度大。在实际的示例中,气体轴承工作在5-100微米的间隙高度范围内;其中,流动屏障在例如直至500微米这样的值以上都仍然有效。此外,气体轴承70可以只在衬底5存在时作为有效的流动屏障(或就此而言,气体轴承);然而,不考虑衬底5是否存在,流动屏障可以或可以不设计为活性的。重要地,在任何时候,沉积腔20、30之间的活性材料的流动都被流动屏障阻止了,以避免污染。这些流动屏障不是可以或者可以不设计为气体轴承70。
虽然图2未具体示出输送系统,但是衬底5可以相对注入器头部1移动,以接收腔20、30内的随后工艺步骤。通过移动衬底5相对于注入器头部1往复运动,能够控制工艺步骤的数目。因此,注入器头部可以在平面内移动,并且可朝向或远离输送平面移动。
具体地,通过在用于蚀刻/钝化/蚀刻/钝化/等的多个区域下移动或往复移动衬底座(即,可以偏压至一定电压的卡盘),可以对由本领域技术人员公知的硬膜所限定的衬底或晶片5中的突出部进行蚀刻,例如SiO2层。衬底(例如,晶片5)的移动可以沿着一个或更多个纵向遍历运送,跨越许多重复的平行的蚀刻区域(20)/钝化区域(30),或者是往复移动P、Q,即在一个蚀刻区域和一个钝化区域中往返(见图9)。通过这种方式,可以确保高度均匀的蚀刻结果。
提供了支撑部件10,支撑部件10对衬底5提供支撑。支撑部件10被布置在注入器头部的对面。支撑可以是浮动型的,电极装置22被布置成将蚀刻等离子体朝向衬底部分加速,以便让离子轰击衬底5的表面50。例如,这可以通过在蚀刻步骤中对衬底5进行偏压来实现。由于没有任何机械支撑,避免了这样的支撑的污染风险,有效保障了注入器头部1相对于衬底5的最佳工作高度。此外,减少了系统的用于清洁的停机时间。另一方面,对于(例如SF6+Si→SiF4↑+SF2类型的)放热蚀刻工艺而言,与支撑的热/机械接触是有益的。由于没有机械支撑,系统的热容量得以降低,从而导致衬底对生产温度的快速热响应,这可以显著地增加生产量。
在这方面,蚀刻腔20限定了相对于衬底表面的用于分子流动/过渡气流情况的腔高度He,并包含供应设备40和引流管60。作为流动屏障71的气体轴承包含轴承气体注入器73,轴承气体注入器73布置在面向衬底5的轴承面72部分中,轴承面72部分界定了相对于衬底的间隙距离Hg,间隙距离Hg小于腔高度Hp
此外,轴承气体注入器73包含流量限制装置74,流限制装置74限定了气体轴承的机械刚度。轴承面72可由突出部分110形成,突出部分110包括轴承气体注入器73。在使用中,气体轴承层是例如形成在表面50和气体轴承面72之间。典型地,引流管60之间的距离C1在1毫米至10毫米范围内,这也是腔2、3的典型宽度。气体轴承层的典型厚度(由Hg标示)可以在3微米至15微米范围内。突出部分110的典型宽度C2可以在1毫米至30毫米范围内。衬底5的平面外的蚀刻腔20的典型厚度He可以在3毫米至10毫米的范围内,优选为5毫米。
因此,应当理解的是,气体轴承层的厚度Hg一般可以小于在衬底表面50外的平面中测得的腔20的厚度He
因此,在使用中,腔20中的总气体压强可以不同于额外的腔30中的总气体压强。蚀刻腔20中的总气体压强可以是分子/过渡流态(在5Pa至50Pa的范围内,优选约13Pa),和/或钝化腔30中的总气体压强可以是连续流态(在50Pa至500Pa的范围内,优选约133Pa)。可以基于钝化气体的实际性质、实际流动速率和实际尺寸来选择这些压强值。
压强控制器(未示出)可以控制用于对腔20、30中的压强进行控制的腔压强。此外,控制器对气体轴承层中的气体轴承层压强进行控制。
图3示出了沿着与衬底表面垂直的方向看,注入器头部1的起伏形状的示意性示例。典型地,曲线形状可以防止衬底2的一阶弯曲模式(bending mode)。因此,可以看出,沿着与衬底表面垂向的方向看去的起伏形状,形成了气体轴承70和腔20、30,以用于防止薄板状衬底的一阶弯曲模式。此外,典型地,沉积腔20、30的形状可以按照气体轴承狭口的形状,以允许紧凑的注入器头部构造。这些变化允许衬底表面上的压强分布的最优化。对于脆性衬底或柔性衬底而言,这种最优化很重要。
图4示出了用于衬底5的反应性离子蚀刻的装置100的另一示意性透视侧视图,其中,衬底5在钝化区3中具有C4F8壁钝化子循环。在该示例中,提供了等离子体产生结构220,以提供钝化气体等离子体。这种结构可以包含本领域已知的电极和/或RF线圈,以产生适当的钝化气体等离子体。贯穿本说明书,钝化气体或钝化等离子体(的使用)视情况而言是等同的。提供了支撑部件10,支撑部件10为衬底5提供支撑。一般而言,当增加压强时,工艺将会加快,特别是对于钝化步骤而言,因为与传递有活性并且有蚀刻性的个体(species)以打开并且进一步蚀刻特征部的底部部分相比而言,传递/蚀刻足够的分子,以用于在其侧壁区域和底部区域被完全覆盖的特征部内的钝化,将会花费大量的时间。
1.Langmuir蚀刻时间与A成比例地增加(仅对于经蚀刻的特征部的底部而言,即,增加的因子为{3/4·A+1}),其中,A为特征部深度与宽度的深宽比
2.Langmuir沉积时间与A2(A的平方)成比例地增加(对于经蚀刻的特征部的底部和侧壁而言,即增加的因子为{3/2·A2+19/4·A+1})
然而,对于蚀刻区域2中的蚀刻步骤而言,在更高的压强下,分子间碰撞的水平的增加将导致单向蚀刻特性的恶化。因此,蚀刻步骤应该在分子/过渡流态中的低压下,在0.1-1.0托(约0.1-1毫巴或10-100Pa)的范围内(更优选地,在10-100Pa(0.1-1托)进行。需要这些低压的原因在于应尽量避免分子间碰撞以便能定向蚀刻(“视线”)。
对于区3中的钝化步骤来说,增加朝向连续流动条件的压强是非常有益的,例如在从100Pa至1000Pa(约1托至10托)的范围内,并且保持流动速率不变,因为用于沉积的Langmuir时间尺度减小为十分之一。压强的进一步增加不导致减少的沉积时间,因为此时沉积变得受限于供应设备。对于更高压强下的基于CVD的钝化步骤而言,特征部中的涂层的不均匀性是一个风险(特别是在高A下):由于粘附概率增加,前体(precursor)将在特征部的口部附近被耗尽,使得特征部的底部不能被覆盖。清除区域可以形成为气体轴承;由气体轴承70形成至少一个外周边。
图5示出了一个示意图,其具有用于被称为SAM.24的硅前体H2Si[N(C2H5)2]2的适当压强和操作温度的工艺窗口W。虽然与被称为TMA的常规的铝材前体相比,典型地,该前体具有低约10倍的汽压强(vapor pressure),但汽压强是在用于钝化的适当的高压态。对于25-75℃的温度范围来说,SAM.24的分压在0.1-10托的范围内。
图6示出了另一实施例的进一步的示意性侧视图,其中,提供了原子层沉积(ALD)类型的钝化步骤,其具有基于ALD的SiO2沉积,优选地,在室温下。注意,与常规的C4F8钝化步骤相比而言,ALD工艺气体是环境友好的。因此,钝化区域包含多个供应设备31、32,至少一个供应设备31被布置成用于在原子层沉积工艺中供应前体气体;并且另一供应设备提供有反应物供应设备32,在使用中,该另一供应设备由流动屏障界定。虽然,附图示意性示出了设置在单个腔30中的供应设备;典型地,各供应设备31、32连同各自的引流管一起被包含在各自的腔中,所述各自的腔由流动屏障71和/或气体轴承70所定界,并由此界限出所述腔。其它ALD钝化材料和循环方案也是可行的,例如Al2O3等。此处,扩展到等离子体增强(例如,使用远程ICP等离子体源)也是有可能的。ALD不具有在特征部的口部附近的非共形(non-conformal)生长的缺陷,因为,由于ALD工艺的自限性,涂层自特征部的口部向特征部的底部进行。因此,ALD开启了增加压强和层增长率的可能性。ALD能够满足对于高增长率原子逐层控制以及因此使用连续的自限制表面反应的步骤共形(step-conformal)沉积的要求。
大部分ALD工艺是基于二元反应序列(binary reaction sequences),其中,发生两个表面反应并且沉积二元化合物膜。由于仅有有限数目的表面位置,反应只能沉积有限数目的表面个体。若这两个表面反应中的每一个都是自限的,那么这两个反应可以按照顺序的方式来进行,以沉积具有原子等级控制的薄膜。
ALD的优点在于在埃级别或单层级别下的精确厚度控制。ALD的自限制方面导致高深宽比结构上的优异阶梯覆盖(step coverage)以及共形沉积。
用于钝化的有效二元化合物膜可以是SiO2层,当采用ALD(特别是等离子体辅助的ALD)时,甚至可以在中温(下至室温)下使用特定的有机金属Si前体结合氧前体或等离子体氧来沉积SiO2层。SiO2是涂覆在Si晶片的2D表面上的硬罩材料的优选选择。SiO2是非常有效的掩模材料,其具有S(SiO2/Si)>80:1直至200:1的蚀刻速率选择性。
如在ALD中,仅沉积一个单层(或甚至更少),为了适当的钝化特性,可能需要多个(10的数量级)ALD步骤,以获得一层,或几个(例如上至约5)纳米。
可能地,通过反应性离子蚀刻系统的适当设计(图中概述了使用不同的喷嘴-衬底尺寸和不同的压强p3>p2>p1的示例)下,可以在比钝化步骤低10至100倍的压强下操作蚀刻步骤。为允许大气环境中的整个单元的操作,蚀刻和钝化环节2、3应该被环境保护区域环绕,环境保护区域具有约100微米量级的间隙高度。注意,在SF6蚀刻气体的情况下(其有利地防止引流管线的堵塞),允许有来自环境大气的约10﹪的氧(O2)的增加。O2的增加可以经由气体轴承供应、经由裂缝或有意的增加来进行。
图7示出了嵌入在蚀刻子循环200之间的ALD钝化循环300的示意性时间图表。在钝化步骤300中,SiO2ALD沉积由O前体(H2O、O3或O2等离子体)和Si前体例如胺基硅烷的钝化循环来提供,而优选地,通过由钝化腔中的反向偏压电极布置的反向偏置电压来抵消衬底的电极偏压。在蚀刻步骤200中,提供偏压,以将蚀刻等离子体4朝向衬底部分进行加速,以让离子轰击衬底的表面,从而对子部分的进行蚀刻。其它氧化物可包括氧化锗或氧化钨,优选地,在氟环境中易挥发的类型。
注意,各向异性的高深宽比的蚀刻剖面是通过在蚀刻步骤200中引入定向效应来获得的,这可以通过紧凑的(微)等离子体阵列源和衬底偏压的组合来提供。这可以通过在导电的衬底座10上设置偏压(DC或RF)来实现。这将在整个衬底上产生电压,使得离子从SF6等离子体区域中被提取出来。这些离子将优先蚀刻掉位于槽底部处的钝化层,并且保持定向蚀刻。偏压对C4F8钝化区域(其中,等离子体中的反应物气体压强较高)或ALD SiO2钝化区域(其中,压强在等离子体模式中相似或在纯热模式中更高)中的晶片部分的影响明显更低,从而抑制了大部分定向性。可替代地或附加地,可以在钝化腔上设置本地偏压(例如,通过布置在腔中的反偏压电极),以便抵消整体衬底卡盘上的预设偏压,这最佳地保持在注入器头部的蚀刻腔中产生的离子的定向性。
图8示出了包括旋转的注入器头部101的替代实施例。图8A显示空间RIE反应器头部的底侧,其中,SF6区域20和C4F8区域30整合为由排气区域和气体轴承平面围绕的入口。此处,可以将晶片5夹在衬底座10上,衬底座10在反应器头部101下面的轴11上转动,反应器头部101含有腔,该腔具有用于SF6等离子体蚀刻和C4F8(或SiO2ALD)钝化气体的入口以及用于气体轴承(例如,N2)的出口。注意,晶片5通过反应区域20、30下方的实际速度沿晶片5的径向变化,离晶片5的中心越远,速度越高,因而暴露时间越短。在气体出口的尺寸和形状相等的情况下,这会导致整个晶片5上的气体剂量不均匀,离晶片的中心越远,槽越浅。这可以通过改变出口密度或通过在进一步朝向晶片的边缘处插入越大的出口直径来进行补偿,以便实现均匀的气体剂量。晶片偏压可以通过导电的衬底台的DC或RF偏压来执行。衬底座10是通过由驱动轴连接的伺服电机来转动的。工艺和废弃气体线通过穿过烤箱的顶部的开口连接到反应器头部101上。衬底偏压可以是连续的。
图9示出了适于提供等离子体的多个电极配置。例如,可以提供高密度(约1013个体/cm3)等离子体或微等离子体源的阵列,其具有在微米至(亚)毫米范围内的微观尺度的等离子体,并且在这些长度上形成流动气体等离子体的准线性源或纵向等离子体源(远程ICP等离子体、微波),具有一定的额外裕度。
凭借其小尺寸(亚毫米),这些微等离子体一般能够在更高压强下操作,并且与在低压强区域下的传统的等离子体相比而言,展示出不同于的特性。因此,只要相应于气体个体的平均自由行程来缩减尺寸,那么更高的等离子体密度(>1013个体/cm3)也是有可能的。
作为在图9A中示出的示例,已知空心阴极放电形式的等离子体产生结构22从高密度的蚀刻气体供应设备40产生线性弧等离子体4,在图中磁体N/S之间,在衬底5上具有这样的长度。典型地,线性弧放电(linear arc discharge,LAD)示出为基于两个平行板221之间的RF生成的空心阴极放电。等离子体源22可以并入注入器头部1的刻蚀区域20(见图1),或者通过微机械加工与注入器头部1的刻蚀区域20集成在一起。原则上,对于典型的Le≥5毫米的侧向尺寸(图9中的x方向)以及H≥5毫米的高度来说,等离子体源22在半导体衬底5上延伸。可以选择典型的宽度,以便在整个晶片尺寸上获得均匀的等离子体强度,因此,在通常半导体处理中,最低标准为300至450毫米,在太阳能电池处理中,≥156毫米,但更典型地,为大到1米或以上。
图9B示出了包括电解质阻挡等离子体源的另一实施例22',特别是,具有在衬底5上产生等离子体4的介质223的空气中以13.56MHz进行操作的阻挡等离子体源。如本领域技术人员所知道的,诸如缝隙天线(SLAN)源之类的其它等离子体源22也可以使用。优选地,等离子体和衬底之间的所谓暗区足够大(至少几百微米),以便能自等离子体4向衬底表面5充分提取并加速离子。
图10示意性示出了使用注入器头部1的刻蚀/钝化工艺200/300,蚀刻/钝化工艺200/300正如可选地使用ALD钝化步骤310在本文中所公开的,ALD钝化步骤310使用如前述的具有腔31、32的ALD印制头部101。在第一个步骤900a中,提供衬底5,衬底5具有对等离子体敏感的子部分50,和保护衬底5的其余部分的光致抗蚀剂或图案化的硬膜部分51。
采用SF6的蚀刻步骤200大体上为各向同性。不中断的话,其将主要由非定向中性个体(含F基)进行。为了最小化该侧向蚀刻的组成部分,蚀刻步骤由下一个壁钝化步骤300迅速中断。在各蚀刻步骤期间,对衬底卡盘5应用偏压。这导致从等离子体到衬底5上的定向物理离子轰击,定向物理离子轰击仅在特征部的底部部分击穿聚合物,从而能进行深度特征部蚀刻。与硬氧化物(通常为SiO2)膜和/或光致抗蚀剂膜材料相比而言,该工艺允许以相对较高的蚀刻速率和选择性(高达约200:1)来对硅材中的深度竖直微结构55进行干蚀刻。因此,该方法包含以下时间循环的步骤:
e)将注入器的头部1等离子体蚀刻区域20放置(步骤900)在衬底部分5上方,该衬底部分具有对蚀刻等离子体4(例如,SF6)敏感的子部分50;
f)供应(步骤200)蚀刻等离子体4,并且将等离子体4朝向衬底部分加速,以便让离子轰击衬底5的表面50,以便对子部分进行蚀刻;
g)相对于衬底5移动(步骤300)注入器头部1,以将钝化区域30安置在衬底部分50的上方;以及
h)通过在腔30中提供钝化气体,来在衬底部分50上供应钝化层52。
时间循环的步骤可以通过注入器头部1的往复移动P、Q来执行。或者,这可以通过如图8中所公开的注入器头部101的转动运动来执行。
在替代的原子层钝化步骤310中,钝化区域包含多个供应设备31、32,通过在第一腔31中供应前体气体来进行原子层沉积工艺步骤中提供的钝化层的供应;且在提供有反应物供应设备的另一腔32中提供反应物供应,该另一腔在使用中由流动屏障界定。可选地,注入器头部1、101可以放置在气体轴承中,气体轴承通过气体轴承结构与衬底接触。
由于注入器头部向衬底的表面接近到很近,在使用中,典型地,气体轴承层显示出气体轴承层中的强烈的压强增加。例如,当注入器头部向衬底移近两倍(例如,从离衬底的表面50微米的位置处移动至离衬底的表面25微米的位置处)时,其它条件不变,那么气体轴承层中的压强在使用中至少翻倍,例如典型地增加八倍。优选地,在使用中,气体轴承层的刚度是在103牛顿/米和1010牛顿/米之间,但也可以在这个范围之外。这样的抬高的气体压强可以例如在1.2巴至20巴的范围内,特别是在从3巴至8巴的范围内。一般而言,更强的流动屏障导致更高的抬高的压强。抬高的工艺气体压强增加了在衬底的表面上的工艺气体沉积速度。由于工艺气体的沉积常常形成反应性离子蚀刻的重要的限制速度的工艺步骤,该实施例允许增加反应性离子蚀刻的速率。
在一个实施例中,装置被布置成用于在沿方向P朝向衬底表面在注入器头部上施加预应力。气体注入器可以被布置成用于通过控制气体轴承层中的压强来抵消预应力。在使用中,预应力增加气体轴承层的刚度。这样的增加的刚度减小了不想要的在衬底的表面外的移动。结果,注入器头部能够在更接近于衬底的表面处进行操作,而不会触碰衬底表面。
替代地或额外地,可以通过向注入器头部添加重物以产生预应力,来通过磁性和/或重力的方式形成预应力。替代地或额外地,预应力可以由弹簧或另一弹性元件来形成。
在一个实施例中,印制头部供应设备31、32被布置成用于在横向于腔的纵向方向的方向上的工艺气体的流动。在一个实施例中,前体供应是由至少一个前体供应裂缝(slit)来形成的,其中,腔的纵向方向沿着至少一个前体供应裂缝。优选地,注入器头部被布置用于在横向于至少一个前体供应裂缝的纵向方向的方向上的工艺气体的流动。这使得工艺气体沿着供应裂缝的浓度大致恒定,原因是,由于工艺气体黏附于衬底的表面,浓度梯度不能建立。优选地,工艺气体的浓度选择为略微高于反应性离子蚀刻所需的最小浓度。这有助于工艺气体的有效利用。优选地,在衬底表面的平面内的衬底和腔之间的相对移动为横向于至少一个前体的供应供应缝隙的纵向方向。因此,前体引流管是临近前体供应来提供的,以限定与衬底的输送方向对齐的工艺气流。
在一个实施例中,气体轴承层形成所述限制结构,特别是流动屏障。在该实施例中,外部流动路径可以至少部分地引入气体轴承层。由于气体轴承层形成限制结构和/或流动屏障的相当有效的版本,可以防止工艺气体经由外部流动路径的损耗。
在一个实施例中,流动屏障是由限制气幕和/或外部流动路径中的限制气压形成。这些形成用于形成流动屏障的可靠且通用的选项。形成限制气幕和/或压强的气体还可以形成气体轴承层的至少一部分。替代地或额外地,流动屏障由附着于注入器头部的流体结构形成。优选地,这种流体结构由流体构成,流体能够承受高达80℃、200℃、400℃以及600℃之一的温度。这些流体对于技术人员而言是已知的。
在一个实施例中,流动屏障由注入器头部和衬底的表面之间和/或注入器头部和在衬底表面的平面中从衬底表面延伸的表面之间的流间隙形成,其中,与被注入的工艺气体的体积流动速率相比而言,沿外部流动路径的流间隙的厚度和长度适于大体上阻止工艺气体沿外部流动路径的体积流动速率。优选地,这样的流间隙同时形成外部流动路径的至少一部分。优选地,流间隙的厚度由气体轴承层来确定。尽管,在该实施例中,少量工艺气体可能沿着外部流动路径流出腔,但其允许实现用于形成流动屏障的相当简单但有效的可选方案。
在一个实施例中,腔20、30在衬底表面的平面中具有细长的形状。横向于衬底表面的腔的尺寸可以显著地(例如,至少5倍或至少50倍)小于在衬底表面的平面中的腔的一个或更多个尺寸。细长的形状可以是平坦的或弯曲的。这种细长的形状缩减了需要注入腔中的工艺气体的体积,从而提高了注入气体的效率。其还缩短了用于填充和排空腔的时间,从而增加了整体反应性离子蚀刻工艺的速度。
适于形成钝化层的原子层沉积气体或蒸汽(优选为SiO2)可以包括例如特殊的有机金属Si前体(例如氨基硅烷,如N,N,N',N'-四乙基硅烷二胺(H2Si[N(C2H5)2]2)),其优选地在室温下与氧/氧等离子体发生反应。工艺气体可以和载体气体(例如,氮气或氩气)一起被注入。典型地,工艺气体在载体气体中的浓度可以在0.01体积%至1体积%的范围内。在使用中,典型地,腔中的工艺气体压强可以在0.1毫巴至1毫巴的范围内,但也可接近大气压强,或甚至显著高于大气压强。注入器头部可以提供有温度控制器,以用于在腔中建立温度,优选地,在20℃至40℃或甚至-20℃至+50℃的范围内。
适当地,腔壁由不锈钢形成,可选地,提供有陶瓷涂层。
本申请所描述的空间深度反应性离子蚀刻方法可以实现成本高效的蚀刻速率,蚀刻速率可超过目前的技术水平约10倍。此外,基于气体轴承的反应性离子蚀刻的有益效果可包括:
●反应器室的尺寸小很多:在从等离子体到特征部的路径上,更少的分子间碰撞,导致改善的单向蚀刻以及更好的蚀刻剖面和深度的均一性。
●蚀刻步骤期间没有或很少有钝化气体的相互作用并且反之亦然,从而降低或甚至防止了反应器壁上的沉积。
●工艺稳定很多,机器运行时间长很多,并且所要求的反应器清洁和重调节少很多。
●可以有更短的脉冲(无冲洗(flushing)),从而导致较不明显的流痕/波纹以及更光滑的通孔壁。
●更高的反应效率导致化学成分的成本高效的使用。
●用于空间加工的平台提供蚀刻和沉积的组合,并能够在TSV工艺流或用于光学互连的光学涂覆中扩展/转换到加速加工(例如脉冲PECVD SiOx TSV/通孔涂覆(与种子/屏障(例如TaN、Cu)层等的ALD/CVD结合的SiO2隔离))。
●在ALD钝化的情况下,可省略含氟的钝化化学成分(环境友好)。
可选用集成在注入器头部的组件的微腔中的用于局部试剂活化的其它物理刺激,例如激光(VCSELs,vertical-cavity surface-emitting lasers,垂直腔面发射激光器)、UV(紫外)源等。
因此,公开了一种全新的小型的基于气体轴承的深度反应性离子蚀刻(DRIE)工艺及装置,工艺及装置用于成本高效的DRIE蚀刻的超快的并且可选地无载体干法蚀刻,且具有高的深宽比的特征部,例如3D堆叠的裸片和晶片级别封装技术(例如堆叠内存和多样3D堆叠的系统级封装(System in Package,SiP)产品)以及微机电系统(MEMS)中的穿透硅材的通孔(TSV)互连、以及基于硅材的光伏电池的穿透晶片的互连。
尽管未明确示出,但根据一实施例的任何装置均可具有另一实施例中的装置的特征。
本申请不限于本文所描述的任何实施例,且在本领域技术人员见识范围内,修改是有可能的,并且这些修改可视为属于所附权利要求的范围内。所有运动学倒置均视为被同样地固有地公开了并属于本公开的范围。措辞例如“优选”、“特别”、“典型地”等的使用并不意在限制本申请。量词“一”或“一个”不排除复数。根据本申请的未具体或明确说明或要求的特征可被附加地包括在结构中而不背离本申请的范围。

Claims (15)

1.一种用于对衬底进行反应性离子蚀刻的装置,包括注入器头部,所述注入器头部包括:
等离子体蚀刻区域,其包括蚀刻气体供应设备并且布置有用于激发等离子体的等离子体产生结构,并且所述等离子蚀刻区域进一步包括电极结构,所述电极结构被布置成用于将所述蚀刻等离子体朝向衬底部分进行加速,以使离子撞击在所述衬底的表面上;
钝化区域,其包括提供有钝化气体供应设备的腔;该供应设备被布置成用于从该供应设备向所述腔提供钝化气流;所述腔在使用中由所述注入器头部和所述衬底的表面界定;以及
气体排出结构,其包括布置在所述蚀刻区域和所述钝化区域之间的气体排放部;所述气体排出结构因此形成所述蚀刻区域和所述钝化区域的空间分界;
其中,所述装置包含腔壁,并且其中,所述等离子体刻蚀区域和所述钝化区域是由其外周边处的气体轴承来界限出的,并且其中,所述气体轴承的压强、所述等离子体蚀刻区域和所述钝化区域中的压强由压强控制器来进行控制。
2.根据权利要求1所述的装置,进一步包括:气体轴承结构,所述气体轴承结构包括轴承气体注入器,所述轴承气体注入器被布置成用于在所述注入器头部和所述衬底的表面之间注入轴承气体,所述轴承气体因此所述气体轴承中的一个或多个。
3.根据权利要求2所述的装置,其中,所述钝化区域中的所述腔限定相对于具有腔供应设备和引流管的衬底表面的腔高度Hp;并且其中,所述轴承气体注入器被布置在面向所述衬底的轴承面部分中,所述轴承面部分相对于衬底限定间隙距离Hg,所述间隙距离Hg小于所述腔高度Hp
4.根据权利要求2所述的装置,其中,所述轴承气体注入器包括流量限制装置,所述流量限制装置限定所述气体轴承的机械刚度。
5.根据权利要求2所述的装置,其中,沿着与所述衬底的表面垂直的方向看,所述气体轴承形成为起伏形状,以防止薄板状衬底的一阶弯曲模式。
6.根据权利要求1所述的装置,其中,所述等离子体蚀刻区域包括蚀刻腔,所述蚀刻腔具有相对于衬底表面的腔高度He,所述腔高度He大于相对于在所述钝化区域中的衬底表面的腔高度Hp
7.根据权利要求1所述的装置,其中,所述钝化区域包含多个供应设备,至少一个供应设备被布置成用于在原子层沉积工艺步骤中供应前体气体;并且另一供应设备提供有反应物供应设备,所述另一供应设备在使用中由流动屏障界定。
8.根据权利要求7所述的装置,其中,在包括界限出所述腔的引流管的各个腔内提供有至少一个所述供应设备。
9.根据权利要求1所述的装置,进一步包含支撑部件,所述支撑部件被布置成与所述注入器头部相对,以支撑由所述气体轴承结构支持住的衬底;所述支撑部件包括用于将所述蚀刻等离子体导向所述衬底的电极。
10.根据权利要求1所述的装置,其中,所述注入器头部可移向和移离传送平面。
11.根据权利要求1所述的装置,进一步包含温度控制器,所述温度控制器被布置成用于将所述腔的温度保持在-20℃至+75℃的范围内。
12.一种用于在衬底的表面上进行反应性离子蚀刻的方法,所述方法使用包括注入器头部的装置,所述注入器头部包含:
等离子体蚀刻区域,其包括蚀刻气体供应设备并且布置有用于激发等离子体的等离子体产生结构;
钝化区域,其包括提供有钝化气体供应设备的腔;该供应设备和引流管被布置用于经由所述腔从所述供应设备向所述引流管提供钝化气流;所述腔在使用中由所述注入器头部和所述衬底的表面气体界定;以及
气体排出结构,其包含布置在所述蚀刻区域和钝化区域之间的气体排放部;所述气体排出结构由此形成所述蚀刻区域和钝化区域的空间分界;
在保持所述蚀刻区域和钝化区域空间上分开的同时,所述方法包含以下时间循环步骤:
a)将所述注入器的头部的等离子体蚀刻区域放置在衬底部分的上方,所述衬底部分具有对蚀刻等离子体敏感的子部分;
b)供应蚀刻等离子体,并且通过电极结构将所述蚀刻等离子体朝向所述衬底部分加速,以使离子撞击在所述衬底的表面上,以便蚀刻所述子部分;
c)相对于所述衬底移动所述注入器头部,以将所述钝化区域定位在所述衬底部分的上方;以及
d)通过从所述钝化气体供应设备在所述腔中提供钝化气体,而在所述衬底部分上供应钝化层;
其中,所述装置进一步包含腔壁,并且其中,所述等离子体蚀刻区域和所述钝化区域是由其外周边处的气体轴承来界限出的,并且其中,所述气体轴承的压强、所述等离子体蚀刻区域和所述钝化区域中的压强由压强控制器来进行控制。
13.根据权利要求12所述的方法,其中,所述钝化区域包含多个供应设备,通过在第一腔中供应前体气体来进行原子层沉积工艺步骤中提供的所述钝化层的供应;并且在另一腔中提供反应物供应,所述另一腔提供有反应物供应设备,所述另一腔在使用中由流动屏障界定。
14.根据权利要求12所述的方法,进一步包含:将所述注入器头部放置在气体轴承中,所述气体轴承通过气体轴承结构与所述衬底接触。
15.根据权利要求12所述的方法,进一步包含:将所述腔的温度控制在-20℃至+75℃的范围内。
CN201180021337.5A 2010-02-26 2011-02-25 用于反应性离子蚀刻的装置和方法 Expired - Fee Related CN102859647B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP10154955 2010-02-26
EP10154955.8 2010-02-26
EP10155103A EP2362411A1 (en) 2010-02-26 2010-03-01 Apparatus and method for reactive ion etching
EP10155103.4 2010-03-01
PCT/NL2011/050138 WO2011105908A1 (en) 2010-02-26 2011-02-25 Apparatus and method for reactive ion etching

Publications (2)

Publication Number Publication Date
CN102859647A CN102859647A (zh) 2013-01-02
CN102859647B true CN102859647B (zh) 2017-02-15

Family

ID=42314288

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180021337.5A Expired - Fee Related CN102859647B (zh) 2010-02-26 2011-02-25 用于反应性离子蚀刻的装置和方法

Country Status (6)

Country Link
US (1) US9761458B2 (zh)
EP (2) EP2362411A1 (zh)
KR (1) KR101908139B1 (zh)
CN (1) CN102859647B (zh)
TW (1) TWI604523B (zh)
WO (1) WO2011105908A1 (zh)

Families Citing this family (267)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
EP2159304A1 (en) * 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101867998B1 (ko) * 2011-06-14 2018-06-15 삼성전자주식회사 패턴 형성 방법
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR101460012B1 (ko) * 2013-03-21 2014-11-20 주식회사 테스 대면적 기판 처리 장치
KR101488760B1 (ko) * 2013-07-02 2015-02-04 주식회사 테스 전극어셈블리 및 이를 구비한 박막증착장치
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP2960358A1 (en) 2014-06-25 2015-12-30 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and surface treatment method
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016065221A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
ES2672245T3 (es) * 2015-08-31 2018-06-13 Total S.A. Aparato generador de plasma y procedimiento de fabricación de dispositivos con patrones usando procesamiento de plasma resuelto espacialmente
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10276426B2 (en) * 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing spin dry etching
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) * 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
JP6759004B2 (ja) * 2016-08-29 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10400332B2 (en) * 2017-03-14 2019-09-03 Eastman Kodak Company Deposition system with interlocking deposition heads
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
DE102017211539A1 (de) 2017-07-06 2019-01-10 Carl Zeiss Smt Gmbh Verfahren zum Entfernen einer Kontaminationsschicht durch einen Atomlagen-Ätzprozess
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10950454B2 (en) * 2017-08-04 2021-03-16 Lam Research Corporation Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
EP3588533A1 (en) 2018-06-21 2020-01-01 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and method of operating the same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
GB202001781D0 (en) 2020-02-10 2020-03-25 Spts Technologies Ltd Pe-Cvd apparatus and method
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7098677B2 (ja) 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP3933882A1 (en) 2020-07-01 2022-01-05 Carl Zeiss SMT GmbH Apparatus and method for atomic layer processing
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
KR102439532B1 (ko) * 2020-09-07 2022-09-02 운해이엔씨(주) 공기정화 및 살균 기능을 지닌 에어샤워 장치
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
DE102021206168A1 (de) 2021-06-16 2022-12-22 Carl Zeiss Smt Gmbh Verfahren zum Abscheiden einer Deckschicht, reflektives optisches Element für den EUV-Wellenlängenbereich und EUV-Lithographiesystem
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2406876A (en) 1942-05-29 1946-09-03 Standard Telephones Cables Ltd Instrument navigation system
US2782090A (en) 1954-07-21 1957-02-19 Robbart Edward Stabilization of cellulosic fabrics by applying alkyl silicon halide vapors
JPH08228068A (ja) 1995-02-22 1996-09-03 Nec Corp 無電解めっき用レジスト組成物
SE302110B (zh) 1963-11-20 1968-07-08 Dow Corning
DE1443519A1 (de) 1964-05-14 1968-11-07 Walter Bloechl Verfahren zur Herstellung von teilweise perfluorierten oder perfluorchlorierten gesaettigten oder ungesaettigten organischen Verbindungen
US3775121A (en) 1972-08-09 1973-11-27 Western Electric Co Method of selectively depositing a metal on a surface of a substrate
SU772601A1 (ru) 1979-04-23 1980-10-23 Предприятие П/Я М-5953 Головка дл распылени материалов
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5872139A (ja) 1981-10-26 1983-04-30 Tokyo Ohka Kogyo Co Ltd 感光性材料
NL8203318A (nl) 1982-08-24 1984-03-16 Integrated Automation Inrichting voor processing van substraten.
NL8500930A (nl) 1985-03-29 1986-10-16 Philips Nv Verplaatsingsinrichting met voorgespannen contactloze lagers.
US4718972A (en) 1986-01-24 1988-01-12 International Business Machines Corporation Method of removing seed particles from circuit board substrate surface
KR910003742B1 (ko) 1986-09-09 1991-06-10 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Cvd장치
NL8802822A (nl) 1988-11-16 1990-06-18 Bekaert Sa Nv Afdichtingselement voor het doorvoeren van tenminste een langwerpig voorwerp zoals draad en van een of meer afdichtingselementen voorziene vacuuminrichting.
US5063951A (en) 1990-07-19 1991-11-12 International Business Machines Corporation Fluid treatment device
EP0490118A1 (en) 1990-12-10 1992-06-17 Shipley Company Inc. Photoimagable solder mask and photosensitive composition
DE4115930C1 (zh) 1991-05-16 1992-08-27 Utp Schweissmaterial Gmbh & Co Kg, 7812 Bad Krozingen, De
US5157851A (en) 1991-10-02 1992-10-27 United Solar Systems Corporation Pinching gate valve
JP3221739B2 (ja) 1992-08-06 2001-10-22 タムラ化研株式会社 感光性樹脂組成物
JP2774743B2 (ja) 1992-09-14 1998-07-09 松下電器産業株式会社 撥水部材及びその製造方法
DE4317623C2 (de) 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
JPH0762232A (ja) 1993-08-30 1995-03-07 Toyobo Co Ltd 耐熱・耐光性に優れたポリアミド組成物およびポリアミド繊維
JPH07286899A (ja) 1994-04-19 1995-10-31 Jasco Corp 焦電形赤外線検出器
JPH08167666A (ja) 1994-12-15 1996-06-25 Nitto Denko Corp 半導体装置
US6270617B1 (en) * 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5641608A (en) 1995-10-23 1997-06-24 Macdermid, Incorporated Direct imaging process for forming resist pattern on a surface and use thereof in fabricating printing plates
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
JPH10258181A (ja) 1997-03-18 1998-09-29 Alps Electric Co Ltd ゲーム機用操作装置
JP3859182B2 (ja) 1997-03-27 2006-12-20 東京応化工業株式会社 ネガ型ホトレジスト組成物
US6255039B1 (en) 1997-04-16 2001-07-03 Isola Laminate Systems Corp. Fabrication of high density multilayer interconnect printed circuit boards
US6010603A (en) * 1997-07-09 2000-01-04 Applied Materials, Inc. Patterned copper etch for micron and submicron features, using enhanced physical bombardment
JP2003526191A (ja) * 1997-08-13 2003-09-02 アプライド マテリアルズ インコーポレイテッド 半導体デバイス用銅エッチング方法
JP3254179B2 (ja) 1997-12-10 2002-02-04 通信興業株式会社 通信ケーブル及びその製造方法
US5997963A (en) 1998-05-05 1999-12-07 Ultratech Stepper, Inc. Microchamber
AU2503500A (en) * 1999-01-12 2000-08-01 Ipec Precision, Inc. Method and apparatus for generating and confining a reactive gas for etching substrates
JP2000211993A (ja) 1999-01-22 2000-08-02 Mitsubishi Electric Corp 半導体ウェハの製造方法、半導体製造装置、および、半導体装置
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP3411241B2 (ja) 1999-06-18 2003-05-26 三菱電機株式会社 通信機器及び回線誤接続防止方法
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP3503546B2 (ja) 1999-11-01 2004-03-08 信越化学工業株式会社 金属パターンの形成方法
RU2208658C2 (ru) 2000-04-10 2003-07-20 Розанов Леонид Николаевич Способ и устройство для нанесения вакуумных покрытий на рулонные материалы
WO2001083852A1 (en) 2000-04-28 2001-11-08 Tokyo Electron Limited Method and apparatus for distributing gas within high density plasma process chamber to ensure uniform plasma
CA2357324A1 (en) 2000-09-15 2002-03-15 James D. Huggins Continuous feed coater
JP2002100623A (ja) 2000-09-20 2002-04-05 Fuji Daiichi Seisakusho:Kk 薄膜半導体製造装置
JP2002151494A (ja) 2000-11-14 2002-05-24 Sekisui Chem Co Ltd 常圧プラズマ処理方法及びその装置
TW531801B (en) 2000-11-14 2003-05-11 Sekisui Chemical Co Ltd Normal plasma processing method and processing device
US6641673B2 (en) 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
US20030213561A1 (en) * 2001-03-12 2003-11-20 Selwyn Gary S. Atmospheric pressure plasma processing reactor
JP2003086516A (ja) 2001-09-10 2003-03-20 Sanyo Electric Co Ltd サセプタ、cvd装置、成膜方法、および半導体装置
JP4067858B2 (ja) 2002-04-16 2008-03-26 東京エレクトロン株式会社 Ald成膜装置およびald成膜方法
US6869641B2 (en) 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
US7465407B2 (en) 2002-08-28 2008-12-16 Panasonic Corporation Plasma processing method and apparatus
JP4146773B2 (ja) 2002-08-28 2008-09-10 松下電器産業株式会社 プラズマ処理方法及び装置
KR100497748B1 (ko) 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US6852152B2 (en) 2002-09-24 2005-02-08 International Business Machines Corporation Colloidal seed formulation for printed circuit board metallization
US7064089B2 (en) 2002-12-10 2006-06-20 Semiconductor Energy Laboratory Co., Ltd. Plasma treatment apparatus and method for plasma treatment
KR20080106361A (ko) 2003-02-05 2008-12-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 레지스트 패턴의 형성방법 및 반도체장치의 제조방법
JP2004349358A (ja) 2003-05-21 2004-12-09 Mitsubishi Paper Mills Ltd めっきレジスト組成物及びめっきレジストシート並びにそれを用いた基板の製造方法
JP2005050965A (ja) 2003-07-31 2005-02-24 Ngk Spark Plug Co Ltd 配線基板及びその製造方法
US20050227049A1 (en) 2004-03-22 2005-10-13 Boyack James R Process for fabrication of printed circuit boards
DE102004015216B4 (de) 2004-03-23 2006-07-13 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Modul und Verfahren für die Modifizierung von Substratoberflächen bei Atmosphärenbedingungen
RU2264266C1 (ru) 2004-06-17 2005-11-20 Общество с ограниченной ответственностью "ПКФ ВОСТОК-ПЛЮС" Способ изготовления антиадгезионной силиконизированной бумаги и устройство для его осуществления
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
JP4701704B2 (ja) 2004-12-20 2011-06-15 ソニー株式会社 パターン形成方法、パターン形成装置および電子応用装置の製造方法
JP2006176826A (ja) 2004-12-22 2006-07-06 Canon Anelva Corp 薄膜処理装置
US20060166132A1 (en) 2005-01-27 2006-07-27 Meagley Robert P Ultraviolet light transparent nanoparticles for photoresists
JP5021932B2 (ja) 2005-12-15 2012-09-12 パナソニック株式会社 表示パネルの駆動装置
US20090304924A1 (en) 2006-03-03 2009-12-10 Prasad Gadgil Apparatus and method for large area multi-layer atomic layer chemical vapor processing of thin films
US20070218702A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US7413982B2 (en) 2006-03-29 2008-08-19 Eastman Kodak Company Process for atomic layer deposition
US7456429B2 (en) 2006-03-29 2008-11-25 Eastman Kodak Company Apparatus for atomic layer deposition
US20070281089A1 (en) 2006-06-05 2007-12-06 General Electric Company Systems and methods for roll-to-roll atomic layer deposition on continuously fed objects
WO2008027215A2 (en) 2006-08-30 2008-03-06 Lam Research Corporation Apparatus and method for integrated surface treatment and deposition for copper interconnect
US20080260963A1 (en) 2007-04-17 2008-10-23 Hyungsuk Alexander Yoon Apparatus and method for pre and post treatment of atomic layer deposition
US8110254B1 (en) 2006-09-12 2012-02-07 Sri International Flexible circuit chemistry
KR20080027009A (ko) 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
JP2008085009A (ja) 2006-09-27 2008-04-10 Sumitomo Bakelite Co Ltd 回路基板および電子機器
CN100517643C (zh) 2006-12-22 2009-07-22 中芯国际集成电路制造(上海)有限公司 镶嵌结构的制造方法
US7789961B2 (en) 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
KR100791010B1 (ko) 2007-01-12 2008-01-03 삼성전자주식회사 반도체 제조 장치 및 이를 이용한 반도체 기판의 처리 방법
US20080226838A1 (en) 2007-03-12 2008-09-18 Kochi Industrial Promotion Center Plasma CVD apparatus and film deposition method
WO2008153674A1 (en) 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
WO2009017322A1 (en) 2007-07-30 2009-02-05 Ips Ltd. Reactor for depositing thin film on wafer
US8211231B2 (en) 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US7572686B2 (en) 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
US8017183B2 (en) 2007-09-26 2011-09-13 Eastman Kodak Company Organosiloxane materials for selective area deposition of inorganic materials
US8398770B2 (en) 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US8182608B2 (en) 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
US7851380B2 (en) 2007-09-26 2010-12-14 Eastman Kodak Company Process for atomic layer deposition
US20090155488A1 (en) 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
US9027480B2 (en) 2007-12-19 2015-05-12 3M Innovative Properties Company Ink solutions for microcontact printing
US8333839B2 (en) 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
US20090291209A1 (en) 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US9238867B2 (en) 2008-05-20 2016-01-19 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
EP2159304A1 (en) 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
JP5276387B2 (ja) 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
CN102308368B (zh) 2008-12-04 2014-02-12 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
EP2197253A1 (en) 2008-12-12 2010-06-16 Nederlandse Organisatie voor toegepast-natuurwetenschappelijk Onderzoek TNO Method for electric circuit deposition
EP2211369A1 (en) * 2009-01-23 2010-07-28 Applied Materials, Inc. Arrangement for working substrates by means of plasma
CN103597119B (zh) 2009-07-08 2017-03-08 艾克斯特朗欧洲公司 用于等离子体处理的装置和方法
US20110076421A1 (en) 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
US20110097494A1 (en) 2009-10-27 2011-04-28 Kerr Roger S Fluid conveyance system including flexible retaining mechanism
NL2003836C2 (en) 2009-11-19 2011-05-23 Levitech B V Floating wafer track with lateral stabilization mechanism.
NL2004177C2 (en) 2010-02-01 2011-08-03 Levitech B V Dynamic fluid valve and method for establishing the same.
EP2362002A1 (en) 2010-02-18 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Continuous patterned layer deposition

Also Published As

Publication number Publication date
WO2011105908A1 (en) 2011-09-01
EP2362411A1 (en) 2011-08-31
US9761458B2 (en) 2017-09-12
US20130118895A1 (en) 2013-05-16
EP2539921A1 (en) 2013-01-02
TWI604523B (zh) 2017-11-01
TW201133612A (en) 2011-10-01
KR101908139B1 (ko) 2018-10-15
CN102859647A (zh) 2013-01-02
EP2539921B1 (en) 2018-04-04
KR20130028070A (ko) 2013-03-18

Similar Documents

Publication Publication Date Title
CN102859647B (zh) 用于反应性离子蚀刻的装置和方法
US11776846B2 (en) Methods for depositing gap filling fluids and related systems and devices
CN109906500A (zh) 选择性的SiN侧向内凹
US5409563A (en) Method for etching high aspect ratio features
KR20140138092A (ko) 3-차원적인 반도체 피쳐들에서의 보이드-프리한 텅스텐 충진을 위한 방법들 및 장치들
CN109564922A (zh) 三维存储设备及其制造方法
CN102187450A (zh) 以低蚀刻速率介电质衬里改善间隙填充的方法
TW201801175A (zh) 原子層蝕刻三維結構:水平與垂直表面上之Si、SiGe及Ge平坦度
CN104900578A (zh) 利用空间原子层沉积进行无缝间隙充填
TWI775839B (zh) 具有選擇性阻隔層的結構
TWI790265B (zh) 改良之金屬接觸定位結構
JP2014500608A (ja) 3次元構造のメモリ素子を製造する方法及び装置
TWI570890B (zh) 製造具有三維結構之記憶元件的方法與設備
US10964587B2 (en) Atomic layer deposition for low-K trench protection during etch
US20180233662A1 (en) Systems and methods for patterning of high density standalone mram devices
CN108431929A (zh) 使用稀释的tmah处理微电子基底的方法
US20190122865A1 (en) Oxygen treatment for nitride etching
US20170298507A1 (en) Semiconductor Fabrication Apparatus Including a Plurality of Reaction Containers and Methods of Forming Layers on Semiconductor Substrate Using the Same
Roozeboom et al. A new concept for spatially divided Deep Reactive Ion Etching with ALD-based passivation
KR100937945B1 (ko) 반도체 소자의 제조 방법
CN109923660A (zh) 高压退火及降低湿蚀刻速率
CN113892168A (zh) 蚀刻停止层
Roozeboom et al. A spatial ALD oxide passivation module in an all-spatial etch-passivation cluster concept
US11377733B2 (en) Fluorine-free tungsten deposition process employing in-situ oxidation and apparatuses for effecting the same
Roozeboom et al. Alternative technology concepts for low-cost and high-speed 2D and 3D interconnect manufacturing

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20170215

CF01 Termination of patent right due to non-payment of annual fee