KR20050083939A - 기판 처리 시스템, 도포 현상 장치 및 기판 처리 장치 - Google Patents

기판 처리 시스템, 도포 현상 장치 및 기판 처리 장치 Download PDF

Info

Publication number
KR20050083939A
KR20050083939A KR1020057009171A KR20057009171A KR20050083939A KR 20050083939 A KR20050083939 A KR 20050083939A KR 1020057009171 A KR1020057009171 A KR 1020057009171A KR 20057009171 A KR20057009171 A KR 20057009171A KR 20050083939 A KR20050083939 A KR 20050083939A
Authority
KR
South Korea
Prior art keywords
substrate
processing
unit
conveyance
wafer
Prior art date
Application number
KR1020057009171A
Other languages
English (en)
Other versions
KR100935291B1 (ko
Inventor
마키오 히가시
아키라 미야타
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20050083939A publication Critical patent/KR20050083939A/ko
Application granted granted Critical
Publication of KR100935291B1 publication Critical patent/KR100935291B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/3021Imagewise removal using liquid means from a wafer supported on a rotating chuck
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

반도체 웨이퍼 등의 기판에 레지스트막을 형성하고, 노광장치에서 노광되어 노광후의 기판을 현상하는 도포 현상 장치에 있어서, 노광장치로부터 반출되고 나서 가열 유닛(PEB)으로 가열을 시작할 때까지의 시간을 기판사이에서 조정함과 동시에, 레지스트의 도포 현상을 행하는 영역과 노광장치와의 사이에 개재하는 인터페이스부에서의 노광후 웨이퍼의 체류를 방지하는 것이다. 레지스트의 도포 현상을 행하는 영역에는, 웨이퍼의 처리의 흐름에 있어서의 상류측의 모듈로부터 차례로 하류측의 모듈로 웨이퍼를 1매씩 차례로 뒤로 보내어 하나의 반송 사이클을 실행하고, 계속해서 다음의 반송 사이클로 이행하는 제 1 반송 수단이 설치되고 있다. 가열 유닛(PEB)은 n개 예를 들면 5개 설치되어, 여기에 반입된 노광후 웨이퍼는, 그 때에 제 1 반송 수단이 실행하고 있는 반송 사이클을 포함하여 (n-1) 사이클 후에 제 1 반송 수단에 의해 반출된다.

Description

기판 처리 시스템, 도포 현상 장치 및 기판 처리 장치{WAFER PROCESSING SYSTEM, COATING/DEVELOPING APPARATUS, AND WAFER PROCESSING APPARATUS}
본 발명은, 예를 들면 반도체 웨이퍼나 액정 디스플레이용의 유리 기판(LCD기판)과 같은 기판에 대해서 처리 장치로 처리를 행한 후, 그 기판을 하나의 반송 수단으로부터 처리 유닛을 통하여 다른 반송 수단에 의해 후속의 복수의 처리 유닛으로 차례로 반송하는 도포 현상 장치에 관한 것이며, 예를 들면 레지스트막의 형성 및 노광후의 현상 처리를 행하는 도포 현상 장치에 있어서, 노광장치와의 사이에 개재한 인터페이스부로부터 현상 처리를 행하는 영역으로 노광후의 기판을 받아넘기기 위한 기술에 관한 것이다.
반도체 디바이스나 LCD 기판의 제조 프로세스에 있어서는, 포토리소그래피라 불리는 기술에 의해 기판에의 레지스트처리가 이루어지고 있다. 이러한 기술은, 예를 들면 반도체 웨이퍼(이하 웨이퍼라고 한다)에 레지스트액을 도포하여 상기 웨이퍼의 표면에 액막을 형성하고, 포토마스크를 이용하여 상기 레지스트막을 노광한 후, 현상처리를 행함으로써 소망의 패턴을 얻는, 일련의 공정에 의해 이루어진다.
이러한 공정을 실시하는 도포현상장치에 노광장치를 조합한 장치가 알려져 있다. 도 14는 이 시스템을 나타낸 개략 평면도, 도 15는 이 시스템의 일부를 나타내는 개략 측면도, 도 16은 이 장치에 있어서의 웨이퍼(W)의 반송경로를 나타내는 설명도이다. 도포현상장치(1)는 다수의 캐리어(C)가 얹어놓여지는 캐리어 얹어놓음부(1A)와 그 안쪽에 차례로 설치되는 처리블록(1B)과 인터페이스부(1C)로 구성되며, 노광장치(1D)는 인터페이스부(1C)를 통하여 도포 현상 장치(1)와 접속되어 있다. 캐리어 얹어놓음부(1A)내에는 캐리어(C)내의 웨이퍼(W)를 처리 블록(1B)으로 반송하는 받아넘김 아암(11)이 설치되어 있다. 처리 블록(1B)의 내부에는 전진후퇴 및 승강 자유롭고 또한 수평 방향으로 회전 자유로운 예를 들면 3개의 아암을 가진 메인 반송 아암(12)을 중심으로, 캐리어 얹어놓음부(1A)에서 보아 메인 반송 아암(12)의 앞쪽, 왼쪽, 안쪽에는 예를 들면 가열 유닛, 고정밀도 온도조절 유닛인 냉각 유닛을 다단으로 적층하여 이루어지는 선반유닛(13)(13a, 13b,13c)이 배치되어 있으며, 마찬가지로 오른쪽에는 도포 유닛(COT) 및 현상 유닛(DEV)을 포함한 액처리 유닛(14)이 배치되어 있다.
또 예를 들면 선반 유닛(13a~13c)에는 캐리어 얹어놓음부(1A)와 처리 블록(1B)과의 사이에서, 또는 선반 유닛(13a~13c)끼리의 사이에서, 혹은 처리 블록(1B)과 인터페이스부(1C)와의 사이에서 웨이퍼(W)를 받아 넘기기 위한 받아넘김 유닛(TRS1~TRS3)이나, 소수화 처리장치(ADH) 및 노광처리후의 가열 처리를 행하기 위한 베이크장치 등이 조립되어 있다.
인터페이스부(1C)에는 예를 들면 고정밀도 온도조절 유닛(CPL), 둘레가장자리 노광장치(WEE) 및 버퍼 카세트(SBU)가 설치되어 있으며, 이들 모듈의 사이에서 또는 이들 각 모듈과 처리 블록(1B)의 선반 유닛(13c)과의 사이에서 웨이퍼(W)를 받아 넘기기 위한 받아넘김 아암(15)이, 전진후퇴 및 승강 자유롭게 또한 수평방향으로 회전 자유롭게 설치되어 있다. 또한, 받아넘김 아암(15)은, 예를 들면 노광장치(1C)내에 설치되는 반입 스테이지(16) 및 반출 스테이지(17)에도 억세스가 가능하고, 인터페이스부(1C)와 노광장치(1D)와의 사이에서 웨이퍼(W)를 받아 넘길 수 있는 구성으로 되어 있다.
상기의 시스템에서는, 캐리어 얹어놓음부(1A)에 얹어놓여진 캐리어(C)내의 웨이퍼(W)는 받아넘김 아암(11)을 통하여 처리 블록(1B)에 반입되고, 도포 유닛(COT)으로 레지스트액의 도포가 이루어지고, 그 후 인터페이스부(1C), 노광장치(1D)의 순서로 반송되어 노광된다. 노광후, 웨이퍼(W)는 반대의 경로로 처리 블록(1B)내의 현상 유닛(DEV)까지 반송되고, 거기서 현상된다. 그 후 웨이퍼(W)는 받아넘김 아암(11)을 통하여 캐리어 얹어놓음부(1A)로 되돌려진다. 한편, 도포 및 현상의 전후에는 예를 들면 선반 유닛(13)(13a, 13b, 13c)으로 예를 들면 가열이나 냉각 등의 전처리 및 후처리가 이루어진다.
웨이퍼(W)는 상기의 처리가 실시됨에 있어서, 소정의 경로로 반송되도록 미리 프로그램되어 있으며, 도 16을 참조하면서 그 경로의 일례를 나타낸다. 한편 도면중에서 PAB는 프리베이킹유닛, PEB는 포스트 익스포져 베이킹 유닛, POST는 포스트 베이킹 유닛(현상후의 베이킹 유닛)이다. 도시한 바와 같이 웨이퍼(W)는 받아넘김 아암(11)에 의해 캐리어(C)로부터 처리 블록(1B)내에 반송된 후, 메인 반송 아암(12)에 의해 TRS1, ADH, COT, PAB, TRS2의 순서로 반송되고, 그 다음에 받아넘김 아암(15)에 의해 TRS2, CPL3, WEE, SBU, 반입 스테이지(16)의 순서로 반송된다. 한편 ADH의 후에는 실제로는 웨이퍼(W)가 온도조절되지만, 지면의 제약 때문에 생략하고 있다. 그리고 노광장치(1D)에 의한 노광처리후, 웨이퍼(W)는 받아넘김 아암(15)에 의해 반출 스테이지(17), TRS3의 순서로 반송되고, 메인 반송 아암(12)에 의해 TRS3, PEB, CPL, DEV, POST, CPL의 순서로 반송된 후, 받아넘김 아암(11)에 의해 캐리어(C)내로 되돌아간다.
그리고 웨이퍼를 연속 처리하는 경우에 있어서의 로트의 모든 웨이퍼에 대해서, 미리 각각이 어느 타이밍에서 어느 모듈로 반송될 것인지를 정한 반송 스케줄이 메모리내에 기억되어 있다. 따라서 받아넘김 아암(11) 및 메인 반송 아암(12)을 반송계로 부르기로 하면, 이 반송계는, 상기 반송 스케줄에 따라서 도 16의 점선으로 나타낸 바와 같이 TRS1, ADH, COT, PAB, TRS2, TRS3, PEB, CPL, DEV, POST, CPL의 순서로 받아 넘기기 동작을 한다. 도포 현상 장치에 있어서 웨이퍼를 캐리어(카세트)로부터 꺼내어 차례로 처리 유닛으로 반송하는 것에 대해서는 예를 들면 일본 특허청이 발행하는 특개 2001-351848호 공보(단락 0003, 단락 0093∼0099)에 기재되어 있다.
그런데 노광장치(1D)에서는 로트의 교체시에 있어서 레티클의 교환이나 노광처리에 있어서의 파라미터를 변경하는데 시간을 필요로 할 때, 혹은 알람이 발해졌을 때 등에 있어서, 노광장치(1D)로부터 잠시동안 웨이퍼가 반출되지 않는 경우가 있지만, 그 때문에 레티클의 교환의 종료후 등에 있어서, 노광장치(1D)로부터 연속하여 웨이퍼가 반출되는 경우가 있다. 그러나 메인 반송 아암(12)은 스케줄 반송을 행하고 있으므로, TRS3로부터 노광후의 1매의 웨이퍼를 받아 PEB로 반송한 후, 반대로 되돌릴 수 없기 때문에, 웨이퍼가 노광되어 있음에도 불구하고, 그 웨이퍼는 노광장치(1D)의 반출 스테이지에 대기한 채로, 반송 스케줄의 다음의 사이클까지 PEB에의 반송을 기다리지 않으면 안된다.
이 때문에 상기 웨이퍼는 노광되고 나서 가열될 때까지의 시간(가열전 경과시간)이 다른 웨이퍼보다 길어져 버린다. 그런데 목표로 하는 패턴의 선폭을 얻기 위해서 노광시간, 노광량, (PEB)에 있어서의 가열 온도 및 가열 시간 등의 파라미터를 미리 설정하는데, 그 때 가열전 경과시간에 대해서도 미리 설정한 시간을 예상하고 있다. 이 때문에 패턴이 미세화하고, 화학 증폭형의 레지스트를 이용했을 경우, 노광후에 있어서 가열전 경과시간의 길이가 현상 결과에 영향을 미친다고 생각할 수 있다. 따라서 노광후에 있어서 가열전 경과시간이 웨이퍼 사이에서 격차가 나면, 향후 패턴의 선폭이 미세화하여 나갈 때의 선폭의 균일성이 낮아져, 생산수율이 저하할 우려가 있다.
또한 인터페이스부(1C)에서 노광후의 웨이퍼가 체류하면, 노광장치(1D)로 노광을 진행시킬 수 없게 되어, 노광장치의 스루풋을 다 살릴수가 없게 된다. 이를 피하기 위해서는 인터페이스부(1C)내에 버퍼를 설치하면 좋지만, 그런 경우에는 반송 공정이 많아져, 결과적으로 장치 전체의 스루풋의 방해가 된다.
도 1은 본 발명에 관한 도포 현상 장치의 실시의 형태를 나타내는 평면도이다.
도 2는 상기 도포 현상 장치를 나타내는 사시도이다.
도 3은 상기 기판 처리 장치에 있어서의 선반 유닛의 구조를 나타내는 측면도이다.
도 4는 상기 선반 유닛의 일단(一段)을 이루는 가열 유닛(PEB)의 일례를 나타내는 평면도이다.
도 5는 상기 가열 유닛(PEB)을 나타내는 종단면도이다.
도 6은 상기 도포 현상 장치에 있어서의 인터페이스부를 나타내는 개략 사시도이다.
도 7은 상기 도포 현상 장치내의 웨이퍼의 반송 경로를 나타내는 평면도이다.
도 8은 상기 도포 현상 장치의 제어부의 일례를 나타내는 구성도이다.
도 9는 상기 제어부에서 작성되는 반송 스케줄의 일례를 나타내는 설명도이다.
도 10은 상기 제어부에서 작성되는 반송 스케줄의 일례를 나타내는 설명도이다.
도 11은 비교예에 있어서의 반송 스케줄의 일례를 나타내는 설명도이다.
도 12는 상기 비교예와 대비하기 위한 본 실시형태에 있어서의 반송 스케줄의 일례를 나타내는 설명도이다.
도 13은 상기 제어부의 다른 예를 나타내는 구성도이다.
도 14는 종래의 도포 현상 장치를 나타내는 평면도이다.
도 15는 종래의 도포 현상 장치의 일부를 나타내는 설명도이다.
도 16은 종래의 도포 현상 장치내의 웨이퍼의 반송 경로를 나타내는 평면도이다.
도 17은 다른 실시형태의 반송 개시 지연 시간의 최대치 Tmax를 일정하게 하기 위한 제어를 나타내는 플로우차트이다.
도 18은 대기 시간, 반송 개시 지연 시간의 최대치, 최단 시간, 실반송 시간의 관계를 나타내는 도면이다.
도 19는 다른 실시형태의 도포 현상 장치에 있어서의 인터페이스부를 나타내는 개략 사시도이다.
도 20은 다른 실시 형태의 도포 현상 장치내의 웨이퍼의 반송 경로를 나타내는 평면도이다.
본 발명은 이러한 사정에 기초하여 이루어진 것으로, 그 목적은, 기판에 대해서 처리 장치로 처리를 행한 후, 그 기판을 하나의 반송 수단으로부터 처리 유닛을 통하여 다른 반송 수단에 의해 후속의 복수의 처리 유닛에 차례로 반송하는 장치에 있어서, 처리 장치로 처리된 후, 다음의 처리에 이르기까지의 시간을 기판의 사이에서 조정하고, 또한 기판의 체류를 방지하는 데에 있다. 본 발명의 다른 목적은, 기판을 노광한 후, 인터페이스부를 통하여 가열 유닛에 받아 넘김에 있어서, 노광된 후, 가열될 때까지의 시간을 각 기판의 사이에서 조정할 수 있고, 노광후의 기판이 인터페이스부에서 체류하는 것을 방지할 수 있어 노광장치의 스루풋의 성능을 충분히 발휘할 수 있는 도포 현상 장치를 제공하는 데에 있다.
상기 목적을 달성하기 위해서, 본 발명에 관한 기판 처리 시스템은, 처리 장치로 처리된 기판을, 2개의 반송 수단의 사이의 기판의 받아넘김부를 겸용하는 받아넘김용 처리 유닛을 통하여 후속의 복수의 처리 유닛에 차례로 반송하는 기판 처리 장치에 있어서, 상기 처리 장치로 처리된 기판에 대해서 소정의 처리를 행하는 n(2이상의 정수)개의 받아넘김용 처리 유닛과 기판이 놓여지는 곳을 모듈이라고 부른다고 하면, 각 모듈에 놓여진 기판을 1매씩 하나 순번이 뒤의 모듈로 옮겨가도록 차례차례 반송을 실시함으로써 하나의 반송 사이클을 실행하고, 해당 하나의 반송 사이클이 종료한 후 다음 반송 사이클로 이행하도록 제어되어, 상기 받아넘김용 처리 유닛으로부터 기판을 꺼내어 후속의 복수의 처리 유닛으로 차례로 반송하는 제 1 반송 수단과, 상기 처리 장치로 처리된 기판을 1매씩 받아넘김용 처리 유닛으로 반송하기 위한 제 2 반송 수단과, 받아넘김용 처리 유닛으로 기판이 반입되었을 때에, 그 때에 실행되고 있는 반송 사이클을 포함하여 (n-m(1이상으로 n보다 작은 정수)) 사이클 후에 상기 기판을 받아넘김 처리 유닛으로부터 반출하도록 제 1 반송 수단을 제어하는 제어부를 구비한 것을 특징으로 한다.
이 발명에 의하면, 제 1 반송 수단의 하나의 반송 사이클이 실행되고 있을 때 처리 장치로부터 예를 들면 연속하여 (m+1)매의 기판이 반출되어도, 받아넘김용 처리 유닛은 예를 들면 (m+1)개 비어 있으므로, 그들 기판이 체류 하지 않고 제 2 반송 수단에 의해 받아넘김용 처리 유닛으로 반입할 수 있다. 따라서 처리 장치로 처리된 후, 다음의 처리에 이르기까지의 시간을 기판의 사이에서 조정할 수 있고, 또 기판의 체류를 방지할 수 있다.
본 발명에서는, 처리 장치로부터 기판이 반출되고 나서 받아넘김 처리 유닛으로 상기 기판의 처리가 시작될 때까지의 시간을 어느 기판에 대해서나 미리 설정한 시간이 되도록 조정하는 수단을 구비하도록 하여도 좋다. 이 경우 미리 설정하는 시간을 기판의 반송 시간이 가장 긴 경우에 맞추면 좋지만, 기판의 체류를 피할 수 있기 때문에, 최대 반송 시간은 높다 해도 대수롭지 않아, 원활한 반송을 행할 수 있고, 더욱이 처리 장치로 처리된 후, 다음의 처리에 이르기까지의 시간을 기판사이에서 정확하게 조정할 수 있다.
청구항 3의 발명은, 청구항 1의 발명을, 레지스트액을 도포하고, 그 기판이 노광장치로 노광된 후, 현상 처리를 행하는 도포 현상 장치에 적용한 것이며, 상기 기판에 대해서 레지스트막을 형성하기 위한 일련의 처리를 차례로 행하는 복수의 처리 유닛과, 노광후의 기판에 대해서 현상 처리를 행하기 위한 일련의 처리를 차례로 진행하는 복수의 처리 유닛과, 레지스트막의 형성을 행하는 처리 유닛군 및 현상 처리를 행하는 처리 유닛군이 설치되는 영역과 노광장치와의 사이에 개재하는 인터페이스부와 레지스트막이 형성된 기판을 인터페이스부에 받아 넘기기 위한 제 1 받아넘김부와, 노광후의 기판에 대해서 가열 처리를 행하고, 제 2 받아넘김부를 겸용하는 n(2이상의 정수)개의 가열 유닛과 복수의 기판을 수납한 캐리어가 얹어놓여지는 캐리어 얹어놓음부와, 이 캐리어 얹어놓음부에 얹어놓여진 캐리어로부터 기판을 받아, 레지스트막을 형성하기 위한 각 처리 유닛, 제 1 받아넘김부의 순서로 기판을 반송하고, 더욱 노광장치로 노광된 기판을 상기 가열 유닛으로부터 받아, 현상 처리를 행하기 위한 각 처리 유닛, 캐리어 얹어놓음부에 얹어놓여진 캐리어의 순서로 반송함과 동시에, 기판이 놓여지는 곳을 모듈이라고 부른다고 하면, 각 모듈에 놓여진 기판을 1매씩 하나의 순번이 뒤의 모듈로 옮겨가도록 차례로 반송을 행함으로써 하나의 반송 사이클을 실행하고, 해당 하나의 반송 사이클이 종료한 후, 다음의 반송 사이클로 이행하도록 제어되는 제 1 반송 수단과, 상기 인터페이스부에 설치되어, 제 1 받아넘김부로부터 기판을 받아 노광장치로 받아 넘김과 동시에, 노광장치로 노광된 기판을 1매씩 상기 가열 유닛으로 반송하는 제 2 반송 수단과, 상기 가열 유닛에 기판이 반입되었을 때에, 그 때에 실행되고 있는 반송 사이클을 포함하여 (n-m(1이상으로 n보다 작은 정수) 사이클 후에 상기 기판을 가열 유닛으로부터 반출하도록 제 1 반송 수단을 제어하는 제어부를 구비한 것을 특징으로 한다. 가열 유닛은, 기판을 가열하는 가열 플레이트와, 이 가열 플레이트로 가열된 기판을 냉각하는 냉각 플레이트와, 가열 플레이트와 냉각 플레이트와의 사이에서 기판을 받아 넘기는 수단을 구비한 것을 이용할 수 있다.
본 발명에 관한 기판 처리 장치는, 노광장치와의 사이에서 기판을 받아 넘기는 것이 가능하고, 복수의 기판에 소정의 처리를 하는 기판 처리 장치로서, 기판에 제 1 처리를 하는 제 1 처리 유닛과, 상기 노광장치로 노광된 기판에 상기 제 1 처리와는 다른 제 2 처리를 하는 제 2 처리 유닛과, 기판에, 상기 제 1 처리 및 상기 제 2 처리와는 다른 제 3 처리를 하는 복수의 제 3 처리 유닛과, 상기 제 1 처리 유닛, 제 2 처리 유닛 및 복수의 제 3 처리 유닛과의 사이에서 기판을 반송하는 제 1 반송 기구와, 상기 노광장치와 상기 복수의 제 3 처리 유닛과의 사이에서 기판을 반송하는 제 2 반송 기구와, 상기 제 1 반송 기구에 의한 기판의 반송과, 상기 제 2 반송 기구에 의한 기판의 반송을 독립하여 제어하는 제어부를 구비한다.
본 발명에서는, 제어부에 의해, 제 1 반송 기구와 제 2 반송 기구를 독립적으로 제어할 수 있는 동시에, 예를 들면, 제 2 반송 기구와 노광장치가 동기하도록 제어할 수 있다. 이에 따라, 제 3 처리 유닛으로 제 3 처리를 하는 동시에, 제 1 반송 기구에 의한 제 3 처리가 끝난 기판의 반출이 시간이 맞지 않을 때에도, 노광이 끝난 기판을 제 3 처리 유닛으로 반송할 수 있다. 또한, 제 3 처리 유닛이 복수 설치되어 있기 때문에, 제 1 반송 기구의 반송의 지연이 클 때에도 노광이 끝난 기판을 제 3 처리 유닛으로 차례로 반송할 수 있다.
여기서, 제 1 처리에는, 예를 들면, 기판에의 레지스트의 도포 처리가 포함되고, 제 2 처리에는 현상 처리가 포함된다. 또한, 제 3 처리란, 예를 들면, 노광이 끝난 기판의 가열 처리가 포함된다.
본 발명의 하나의 형태에 의하면, 상기 제어부는, 상기 제 3 처리 유닛에 반입된 기판의 매수와, 상기 제 1 처리 유닛, 상기 노광장치 및 제 3 처리 유닛과의 사이의 어느 쪽에서 반송되고 있는 기판의 매수와, 상기 제 1 처리 유닛 및 상기 노광장치의 어느 쪽에서 처리되고 있는 기판의 매수와의 합이 상기 제 3 처리 유닛의 수가 되기 전에, 상기 제 3 처리 유닛에 반입된 기판을 반출하도록, 상기 제 1 반송 기구에 의한 반송을 제어한다. 이에 따라, 예를 들면, 제 3 처리 유닛으로 노광이 끝난 기판을 대기시키기 위한 빈 곳이 없어져 노광이 끝난 기판의 반송이 정체되는 것을 방지할 수 있다.
본 발명의 하나의 형태에 의하면, 상기 제 2 반송 기구는, 상기 제 3 처리유닛에 기판을 반송 가능한 주반송 기구와, 상기 노광장치에 의해 노광된 기판을 받는 것이 가능한 보조 반송 기구를 가지며, 상기 제어부는, 상기 주반송 기구에 의한 기판의 반송과 상기 보조 반송 기구에 의한 기판의 반송을 독립적으로 제어한다. 이에 따라, 주반송 기구와 보조 반송 기구로 반송을 분담할 수 있다. 즉, 예를 들면, 주반송 기구가 노광전의 제 1 기판을 반송하고 있을 때, 보조 반송 기구에 의해 제 2 기판을 신속하게 노광장치로부터 반출할 수 있다.
본 발명의 하나의 형태에 의하면, 상기 노광장치에 의해 노광이 종료하고 나서 상기 제 3 처리 유닛에 의해 제 3 처리가 시작될 때까지의 기판의 대기시간이 일정해지도록, 상기 노광이 종료한 기판을 상기 제 3 처리 유닛에 있어서의 제 3 처리의 시작시간을 제어하는 수단을 더욱 구비한다. 이에 따라, 각 기판에 대해서 노광후 같은 타이밍에서 제 3 처리를 할 수 있어, 현상 후의 배선 패턴의 선폭을 균일하게 할 수 있다.
본 발명의 하나의 형태에 의하면, 상기 대기시간은, 상기 노광장치에 의한 노광이 종료하고 나서 상기 노광된 기판이 상기 제 2 반송 기구에 의해 받아들여질 때까지의 시간의 최대치와, 상기 노광된 기판이 상기 제 2 반송 기구에 의해 받아들여지고 나서 상기 제 3 처리 유닛으로 반송될 때까지의 시간과의 합으로부터, 상기 노광장치에 의해 노광이 종료하고 나서 상기 제 3 처리 유닛으로 반송될 때까지의 실반송 시간을 뺀 시간이다.
여기서, 노광장치에 의한 노광이 종료하고 나서 노광된 기판이 제 2 반송 기구에 의해 받아들여질 때까지의 시간의 최대치는, 예를 들면, 각 처리 유닛의 처리 시간 등에 따라서 미리 정할 수 있다. 또, 노광된 기판이 제 2 반송 기구에 의해 받아들여지고 나서 제 3 처리 유닛으로 반송될 때까지의 시간은, 예를 들면, 제 2 반송 기구의 반송 속도, 반송 거리 등에 따라서 미리 정할 수 있다. 이에 따라, 실반송시간을 계측함으로써, 대기 시간을 구할 수 있다.
본 발명의 하나의 형태에 의하면, 상기 주반송기구 및 상기 보조반송 기구중의 적어도 한쪽은, 기판을 반송하기 위한 제 1 반송 부재와, 상기 제 1 반송 부재와 일체로 이동 가능하게 설치되어 기판을 반송 가능한 제 2 반송 부재를 가진다. 이에 따라, 예를 들면, 주반송기구의 제 2 반송 부재에 노광이 끝난 제 1 기판을 얹어 놓은 상태로 노광전의 제 2 기판을 제 1 반송 부재에 얹어 놓고 반송할 수 있다. 따라서, 기판을 대기시키고 다른 기판을 부드럽게 반송할 수 있다. 또한, 예를 들면 노광장치로부터 연속하여 노광이 끝난 기판이 반출될 때, 제 1 반송 부재와 제 2 반송 부재에 각각 노광이 끝난 기판을 얹어 놓음으로써, 기판을 대기시키고 다른 기판을 부드럽게 반송할 수 있다.
본 발명의 하나의 형태에 의하면, 상기 노광장치 및 상기 제 3 처리 유닛의 사이에 설치되어, 상기 노광장치에 의한 노광이 종료하고 나서 상기 제 3 처리 유닛에 의해 제 3 처리가 시작될 때까지의 시간을 일정하게 하기 위한 대기 유닛을 더욱 구비한다. 이에 따라, 노광이 끝난 기판을 일단 대기 유닛으로 대기시키고, 노광장치에 의해 노광이 종료하고 나서 제 3 처리 유닛에 의해 제 3 처리가 시작될 때까지의 시간을 각 기판에서 일정하게 할 수 있다.
이하에, 본 발명에 관한 기판 처리 시스템을 레지스트 패턴 형성 장치에 적용한 실시형태에 대해 설명한다. 이 레지스트 패턴 형성 장치는, 본 발명의 도포 현상 장치의 실시형태를 나타내는 것이기도 하며, 이 도포 현상 장치와 노광장치로 이루어지는 것이다. 도 1은, 본 실시형태의 레지스트 패턴 형성 장치를 나타내는 평면도이며, 도 2는 동일 사시도이다. 도면중에서 B1은 피처리체인 웨이퍼(W)가 예를 들면 13매 밀폐 수납된 캐리어(C)를 반출입시키기 위한 캐리어 얹어놓음부이며, 캐리어(C)를 복수개 얹어 놓을 수 있는 얹어놓음대(21)와, 이 얹어놓음대(21)에서 보아 전방의 벽면에 설치되는 개폐부(22)와, 개폐부(22)를 통하여 캐리어(C)로부터 웨이퍼(W)를 꺼내기 위한 제 1 반송 수단의 일부를 이루는 트랜스퍼 아암(23)이 설치되어 있다.
캐리어 얹어놓음부(B1)의 안쪽에는 케이스체(24)에서 주위를 둘러싸는 처리 블록(B2)이 접속되어 있으며, 이 처리 블록(B2)에는 앞쪽으로부터 차례로 가열ㅇ냉각계의 유닛을 다단화한 3개의 선반 유닛(U1, U2, U3)과 후술하는 그 외의 각종 유닛을 포함한 각 유닛간의 웨이퍼(W)의 받아넘김을 행하는 전진후퇴 및 승강 자유롭게 또한 연직축회전으로 회전 자유로운 제 1 반송 수단의 일부인 메인 반송 기구(25)(25A, 25B)가 교대로 배열하여 설치되어 있다. 즉, 선반 유닛(U1, U2, U3) 및 메인 반송 기구(25)(25A, 25B)는 캐리어 얹어놓음부(B1)쪽에서 보아 전후 일렬로 배열되어 있으며, 각각의 접속 부위에는 도시하지 않은 웨이퍼 반송용의 개구부가 형성되어 있으며, 웨이퍼(W)는 처리 블록(B2)내를 일끝단쪽의 선반 유닛(U1)으로부터 다른 끝단쪽의 선반 유닛(U3)까지 자유롭게 이동할 수 있도록 되어 있다. 한편, 메인 반송 기구(25)(25A, 25B)는, 후술하는 제어부로부터의 지령에 기초하여 콘트롤러에 의해 구동이 제어된다. 이 예에서는, 트랜스퍼 아암(23)과 메인 반송 기구(25) (25A, 25B)에 의해 제 1 반송 수단이 구성된다.
또한 메인 반송 기구(25)(25A, 25B)는, 캐리어 얹어놓음부(B1)에서 보아 전후방향에 배치되는 선반 유닛(U1, U2, U3)쪽의 일면부와, 오른쪽의 액처리 유닛(U4, U5)쪽의 일면부와, 왼쪽의 일면을 이루는 배면부로 구성되는 구획벽(26)에 의해 둘러싸여지는 공간내에 놓여져 있으며, 전진후퇴 자유롭게, 승강 자유롭게 및 수평 방향으로 회전 자유로운 복수의 아암 예를 들면 3개의 아암을 구비하고 있다. 이들 복수의 아암은 독립하여 전진후퇴할 수 있도록 구성되어 있다. 또한 메인 반송 기구(25A)의 왼쪽{메인 반송 기구(25A)를 사이에 두어 액처리유닛(4)과 대향하는 위치}에는 복수단의 소수화 처리유닛(ADH)이 배치되어 있으며, 상기의 각 유닛과 마찬가지로 도시하지 않는 개구부를 통하여 메인 반송 기구(25A)가 그 내부에 억세스할 수 있도록 되어 있다. 도면중 27, 28은 각 유닛으로 이용되는 처리액의 온도 조절 장치나 온습도 조절용의 덕트 등을 구비한 온습도 조절유닛이다.
액처리 유닛(U4, U5)은, 예를 들면 도 2에 나타낸 바와 같이 도포액(레지스트액)이나 현상액과 같은 약액공급용의 스페이스를 이루는 수납부(29) 위에, 예를 들면 도포 유닛(COT) 및 현상 유닛(DEV)을 복수단 예를 들면 5단으로 적층한 구성으로 되어 있다. 또한 이미 기술한 선반 유닛(U1, U2, U3)은, 액처리유닛(U4, U5)에서 행해지는 처리의 전처리 및 후처리를 행하기 위한 각종 유닛을 복수단 예를 들면 10단으로 적층한 구성으로 되어 있다. 한편, 도면작성의 편의상 도 2에서는 소수화 처리 유닛(ADH)의 도시를 생략하고 있다. 상술의 전처리 및 후처리를 행하기 위한 각종 유닛중에는, 소수화처리 유닛(ADH)으로 처리된 웨이퍼(W)를 레지스트액의 도포전에 소정 온도로 조정하기 위한 온도조절 유닛인 냉각 유닛(CPL1), 레지스트액의 도포후에 웨이퍼의 가열 처리를 행하기 위한 프리베이킹유닛 등으로 불리고 있는 가열 유닛(PAB), 노광후의 웨이퍼(W)를 가열처리하는 포스트익스포져 베이킹 유닛 등으로 불리고 있는 가열 유닛(PEB), 이 가열 유닛(PEB)으로 가열된 웨이퍼(W)를 현상처리전에 소정 온도로 조정하기 위한 온도조절 유닛인 냉각 유닛(CPL3), 현상처리후의 웨이퍼(W)를 가열 처리하는 포스트베이킹 유닛 등으로 불리고 있는 가열 유닛(POST), 이 가열 유닛(POST)으로 가열된 웨이퍼(W)를 냉각하는 냉각 유닛(CPL4)이 포함되어 있다. 도 3은 이들 유닛의 레이아웃의 일례를 나타내고 있으며, 가열 유닛(PEB)은 예를 들면 5단 설치되고 있다. 한편 도 3의 레이아웃은 편의상의 것이며, 실제의 장치에서는 각 유닛의 처리 시간 등을 고려하여 유닛의 설치수를 결정할 수 있다. 또 선반 유닛(U1 및 U3)은 예를 들면 도 3에 나타낸 바와 같이 웨이퍼(W)를 받아 넘기기 위한 받아넘김대를 가진 수수 유닛(TRS1), (TRS2)을 각각 구비하고 있다.
가열 유닛(PAB), (POST)는 모두 가열 플레이트를 구비하고, 메인 반송 기구(25A, 25B)의 쌍방에서 억세스 할 수 있도록 구성되어 있다.
노광후의 웨이퍼(W)를 가열 처리하는 가열 유닛(PEB)은, 가열 플레이트 및 가열후의 웨이퍼(W)를 차게 식히는 냉각 플레이트를 구비하고 있다. 도 4는(PEB)의 상세한 구조를 나타내는 도면으로, 케이스체(41)의 내부에는 스테이지(42)가 설치되고, 이 스테이지(42)의 정면쪽(도면중 오른쪽)에는, 팬(43)을 통하여 연이어 통하는 환기실(44)이 설치되고 있다. 환기실(44)은 예를 들면 선반 유닛(U3)내를 상하로 관통하여, 도시하지 않는 온도 조절용 에어의 공급부와 접속하는 구성으로 되어 있다. 케이스체(41)에 있어서의 좌우의 측벽(45) 중, 스테이지(42)를 사이에 두는 부분에는, 전방쪽에 웨이퍼(W)를 반출입시키기 위한 개구부(40)(40a, 40b)가 형성되고, 배면쪽에는 냉매 유로(46), 환기구(147)가 상하로 관통하여 형성되고 있다. 개구부(40) (40a, 40b)는 셔터(47)에 의해 개폐 자유롭게 되어 있으며, 메인 반송 기구(25B)는 개구부(40a)를 통해, 주반송부(31A)는 개구부(40b)를 통해 각각 케이스체(41)내로 억세스 할 수 있도록 되어 있다. 또 환기구(147)는 팬(48)을 통해 케이스체(41)내와 연이어 통하는 구성으로 되어 있다.
스테이지(42)의 윗면에는, 그 전방쪽에 냉각 아암(5)이, 후방쪽에 히터(61)를 구비한 가열 플레이트(6)가 각각 설치되어 있다. 냉각 아암(5)은, 케이스체(41)내에 개구부(40)(40a, 40b)를 통해 진입해 오는 메인 반송 기구(25B) 또는 후술하는 주반송부(31A)와, 가열 플레이트(6)와의 사이에서 웨이퍼(W)를 받아 넘김과 동시에, 반송시에는 가열된 웨이퍼(W)를 조(粗)냉각하는(차게 식히는) 역할을 가진 것이다. 이 때문에 도 5에 나타낸 바와 같이 각부(51)가 스테이지(42)에 설치되는 가이드 수단(49)(도 4 참조)을 따라서 Y방향으로 전진후퇴 가능하도록 구성되어 있으며, 이에 따라 냉각 플레이트(52)가 개구부(40)(40a, 40b)의 옆쪽 위치로부터 가열 플레이트(6)의 위쪽 위치까지 이동할 수 있도록 되어 있다. 또한 냉각 플레이트(52)의 이면쪽에는, 예를 들면 온도 조절수를 흐르게 하기 위한 도시하지 않는 냉각 유로가 설치되어 있다.
스테이지(42)에 있어서의 메인 반송 기구(25B) 또는 주반송부(31A)와 냉각 플레이트(52)와의 웨이퍼(W)의 받아넘김 위치, 및 가열 플레이트(6)와 냉각 플레이트(52)와의 웨이퍼(W)의 받아넘김 위치의 각각에는, 구멍부(53)를 통하여 상승 및 하강하도록 지지 핀(54)이 3개씩 설치되고 있으며, 냉각 플레이트(52)에는, 이들 지지 핀(54)이 상승했을 때에 상기 냉각 플레이트(52)를 관통하여 웨이퍼(W)를 들어 올릴 수 있도록 슬릿(55)이 형성되어 있다.
도 1로 설명을 되돌리면, 처리 블록(B2)에 있어서의 선반 유닛(U3)의 안쪽에는, 인터페이스부(B3)를 통하여 노광장치(B4)가 접속되어 있다. 이하, 인터페이스부(B3)에 대해 도 1, 도 2 및 도 6을 참조하면서 설명한다. 인터페이스부(B3)는 처리 블록(B2)과 노광장치(B4)와의 사이에 전후로 설치되는 제 1 반송실(3A), 제 2 반송실(3B)로 구성되어 있으며, 각각에 제 2 반송 수단(31)을 이루는 주반송부(31A) 및 보조반송부(31B)가 설치되어 있다. 주반송부(31A)는 승강 자유롭고 또한 연직축둘레로 회전 자유로운 기체(32)와, 이 기체(32)상에 설치되는 전진후퇴 자유로운 아암(33)으로 구성되어 있다. 제 1 반송실에는 주반송부(31A)를 사이에 두고 캐리어 얹어놓음부(B1)쪽에서 본 왼쪽에는, 웨이퍼(W)의 에지부만을 선택적으로 노광하기 위한 둘레가장자리 노광장치(WEE)와, 복수 예를 들면 25매의 웨이퍼(W)를 일시적으로 수용하는 2개의 버퍼 카세트(SBU)가 설치되어 있다. 마찬가지로 오른쪽에는 받아넘김 유닛(TRS3)과, 각각 예를 들면 냉각 플레이트를 가진 2개의 고정밀도 온도조절 유닛(CPL2)이 설치되어 있다.
여기서 상기 장치에 있어서의 제 1 반송 수단을 이루는 트랜스퍼 아암(23) 및 메인 반송 기구(25)(25A, 25B)와, 제 2 반송 수단(31)(31A,31B)의 기능을 도 7을 참조하여 설명한다. 트랜스퍼 아암(23)은, 캐리어 얹어놓음부(B1)에 얹어놓여진 캐리어(C)내의 처리전의 웨이퍼(W)를 받아넘김 유닛(TRS1)으로 반송하여, 현상을 끝내고 냉각 유닛(CPL4)에 놓여진 처리후의 웨이퍼(W)를 상기 캐리어(C)로 반송하는 역할을 가진다. 메인 반송 기구(25)(25A, 25B)는, 받아넘김 유닛(TRS1) 상의 웨이퍼(W)를 소수화 처리 유닛(ADH), 냉각 유닛(CPL1), 도포 유닛(COT), 가열 유닛(PAB), 수수 유닛(TRS2)의 순서로 반송하고, 더욱 인터페이스부(B3)로부터 반출되어 가열 유닛(PEB) 내에 얹어놓여진 웨이퍼(W)를 냉각 유닛(CPL3), 현상 유닛(DEV), 가열 유닛(POST), 냉각 유닛(CPL4)의 순서로 반송하는 역할을 가진다.
주반송부(31A)는, 받아넘김 유닛(TRS2)에 얹어놓여진 노광전의 웨이퍼(W)를 둘레가장자리 노광장치(WEE), 버퍼 카세트(SBU), 고정밀도 온도조절 유닛(CPL2)에 차례로 반송함과 동시에, 보조 반송부(31B)에 의해 받아넘김 유닛(TRS3)에 얹어놓여진 노광후의 웨이퍼(W)를 가열 유닛(PEB)에 반송하는 역할을 갖추고 있다.
또한 보조 반송부(31B)에 대해서는, 승강 자유롭고 또한 연직축 둘레에 회전 자유로운 기체(34)가 가이드 기구(35)의 기능에 의해 좌우 방향으로 이동할 수 있도록 구성되어 있으며, 더욱 이 기체(34)상에 전진후퇴 자유로운 아암(36)이 설치되어 있다. 이 보조 반송부(31B)는, 고정밀도 온도조절 유닛(CPL2) 내의 웨이퍼(W)를 노광장치(B4)의 반입 스테이지(37)에 반송함과 동시에, 노광장치(B4)의 반출 스테이지(38)상의 웨이퍼(W)를 받아넘김 유닛(TRS3)으로 반송하는 역할을 갖추고 있다. 이 제 2 반송 수단(31)(31A, 31B)은 후술하는 제어부로부터의 지령에 기초하여, 구동 제어된다.
상기의 패턴형성장치는, 이미 기술한 바와 같이 메인 반송 기구(25)(25A, 25B) 및 제 2 반송 수단(31)(31A, 31B)의 구동 제어나 그 외 각 처리 유닛을 제어하는 제어부(7)를 구비하고 있다. 도 8은 이 제어부(7)의 구성을 나타내는 것으로, 실제로는 CPU(중앙 처리 유닛), 프로그램 및 메모리 등으로 구성되지만, 여기에서는 구성요소의 일부를 블록화하여 설명하기로 한다.
도 8 중 70은 버스이며, 이 버스(70)에 레시피 격납부(71), 레시피 선택부(72), 반송 스케줄 작성부(73), 제 1 반송 제어부(74), 제 2 반송 제어부(75)가 접속되어 있다. 레시피 격납부(71)는 예를 들면 웨이퍼(W)의 반송 경로가 기록되고 있는 반송 레시피나, 웨이퍼(W)에 대해서 행하는 처리 조건 등이 기록된 복수의 레시피가 격납되는 부위이다. 레시피 선택부(72)는 레시피 격납부(71)에 격납된 레시피로부터 적당한 것을 선택하는 부위이며, 예를 들면 웨이퍼의 처리 매수나 레지스트의 종류 등을 입력할 수도 있도록 되어 있다.
반송 스케줄 작성부(73)는, 레시피에 포함되는 웨이퍼(W)의 반송 레시피에 기초하여, 로트내의 모든 웨이퍼(W)에 대해 어느 타이밍에서 어느 유닛으로 반송할 것인지와 같은 내용의 반송 스케줄을 작성하는 부위이며, 본 실시형태에서는 캐리어 얹어놓음부(B1) 및 처리 블록(B2)내에 있어서의 반송 스케줄이 작성된다. 구체적으로는 가는 경로(往路)에서는 캐리어 얹어놓음부(B1)에 얹어놓여진 캐리어(C)로부터 인터페이스부(B3) 직전의 받아넘김 유닛(TRS2)까지의 구간에 대하여, 오는 경로(復路)는 가열 유닛(PEB)으로부터 캐리어 얹어놓음부(B1)에 얹어놓여진 캐리어(C)까지의 구간에 대하여, 각각 후술의 타이밍으로 반송 스케줄이 작성된다. 제 1 반송 제어부(74)는, 반송 스케줄 작성부(73)에 의해 작성된 반송 스케줄에 기초하여 제 1 반송 수단{트랜스퍼 아암(23) 및 메인 반송 기구(25)}을 제어하는 것이다.
본 실시형태에서는, 노광후의 웨이퍼(W)가 가열 유닛(PEB)에 놓여진 후, 어떠한 반송 스케줄로 상기 웨이퍼(W)를 가열 유닛(PEB)으로부터 반출할 것인지 하는 것이 중요한 점의 하나이다. 웨이퍼(W)가 놓여지는 곳을 모듈이라고 부른다고 하면, 트랜스퍼 아암(23) 및 메인 반송 기구(25)(25A, 25B)로이루어지는 제 1 반송 수단은, 반입된 캐리어(C)내로부터 웨이퍼(W)를 1매 꺼내어, 하나 순번이 뒤의 모듈로 반송함과 동시에 상기 하나 뒤의 모듈에 놓여져 있는 웨이퍼(W)를 더욱 하나 뒤의 모듈로 반송하고, 이렇게 해서 최초의 모듈 예를 들면 캐리어(C)로부터 스타트해서 차례로 웨이퍼(W)를 하나 차례가 뒤의 모듈로 받아넘겨, 마지막 모듈로의 반송이 종료했을 때에, 하나의 페이즈(사이클)가 종료된다.
또한 최초의 모듈이란, 반송 경로중에 존재하는 모듈군 중에서 가장 마지막의 웨이퍼(W)가 위치하고 있는 모듈로서, 캐리어(C)내에 미처리 웨이퍼(W)가 남아 있을 때는 상기 캐리어(C)이다. 또한 마지막 모듈이란, 반송 경로중에서 선두의 웨이퍼가 위치하고 있는 모듈이며, 예를 들면 선두의 웨이퍼(W)가 이미 모든 처리를 끝내어, 본래의 캐리어(C)로 되돌려졌을 때에는 캐리어(C)가 해당하지만, 예를 들면 선두의 웨이퍼(W)가 캐리어(C)까지 도달하지 않고 예를 들면 현상 후에 가열 유닛(POST)에 놓여졌다고 하면, 상기 가열 유닛(POST)이 마지막 모듈에 해당한다.
그리고 노광후의 웨이퍼(W)가 가열 유닛(PEB) 내에 반입되었다고 하면, 이 웨이퍼(W)가 상기 가열 유닛(PEB)으로부터 반출되는 타이밍은, 그 반입시에 실행되고 있는 제 1 반송 수단의 사이클을 포함하여, 가열 유닛(PEB)의 설치단수보다도 1개 적은 수의 사이클에 들어갔을 때에 상기 웨이퍼(W)가(PEB)로부터 반출된다. 즉 반송 스케줄 작성부(73)는, 가열 유닛(PEB)에 웨이퍼(W)가 반입되면 가열 유닛(PEB)의 설치단수 '5'보다도 1개 적은 '4'사이클후의 페이즈에 있어서, 가열 유닛(PEB)의 다음의 모듈인 냉각 유닛(CPL3) 부분에 상기 웨이퍼(W)를 기재한다.
제 2 반송 제어부(75)는, 제 2 반송 수단(31)(31A, 31B)을 제어하는 것이다. 이 제 2 반송 제어부(75)는, 반송원 모듈로부터 웨이퍼(W)의 반출이 가능한 취지의 신호와 반송처 모듈에 웨이퍼(W)의 반입이 가능한 취지의 신호가 출력되었을 때에, 예를 들면 출력된 순서로 반송원 모듈로부터 웨이퍼(W)를 반송처 모듈로 반출하도록 제 2 반송 수단(31)(31A, 31B)을 제어한다. 한편 이 모듈이란, 이 예에서는 받아넘김 유닛(TRS2), 둘레가장자리 노광장치(WEE), 버퍼 카세트(SBU), 냉각 유닛(CPL2), 반입 스테이지(37), 반출 스테이지(38), 받아넘김 유닛(TRS3), 가열 유닛(PEB)이다.
여기서 본 실시형태에 있어서, 노광장치는 본 발명의 처리 장치에 해당하고, 가열 유닛(PEB)은, 본 발명에 있어서의, 처리 장치로 처리된 기판에 대해서 소정의 처리를 행하는 받아넘김용 처리 유닛에 해당한다. 또한 가열 유닛(PEB)의 단수 '5'는, 본 발명에서 말하는 n(2이상의 정수)개의 'n'에 해당한다.
다음에 본 실시형태의 작용을 설명한다. 먼저 기판인 웨이퍼(W)에 대한 처리를 시작하기에 앞서, 오퍼레이터가 레시피를 선택한다. 레시피를 선택하면, 반송 스케줄 작성부(73)에 의해 로트내의 모든 웨이퍼에 대해서, 예를 들면 도 9에 나타낸 바와 같이 전반분의 반송 스케줄, 본 예로 말하면 로트내의 각 웨이퍼(W1∼W3)에 대하여 캐리어 얹어놓음부(B1)에 얹어놓여지는 캐리어(C)로부터 받아넘김 유닛(TRS2)까지의 범위에 있어서 반송 스케줄이 작성된다.
또한 도 9에서는 편의상 10매의 웨이퍼(A01∼A10)가 차례로 반송되는 경우로서, 각 처리 유닛이 1개라고 기재되어 있다. 또한 도 9에서는 모든 모듈을 기재하면 지면에 다 들어가지 않기 때문에, 일부 모듈을 생략하고 있으며, 예를 들면 가열 유닛(PEB)의 뒤에는 냉각 유닛(CPL3)을 생략하여 현상 유닛(DEV)을 기재하고 있다. 그리고 실제로는 웨이퍼(W)는 다수매 존재하고 또한, ADH, CPL, COT, PAB 등의 각 처리 유닛은 복수 설치되어 있으며, 그 경우 동일종류의 복수의 처리 유닛을 1호, 2호 …로 식별한다고 하면, 도 10과 같이 ADH의 란을 ADH-1과 ADH-2…로 행한 상태로 각 처리 유닛을 대수만큼 설치하여, 페이즈의 수를 그 대수만큼 준비하여 스케줄을 세울 수 있다. 그러나 이렇게 기재하면 설명이 복잡해지고, 또한 도면의 작성이 지면의 제약 때문에 곤란해지기 때문에, 도 9와 같이 간략화하여 기재한다.
그리고 제어부(7)는 이 반송 스케줄을 참조하면서 각 부에 지시를 출력하여, 웨이퍼(W)에 대한 처리가 시작된다. 로트의 각 웨이퍼(W)는 캐리어 얹어놓음부(B1)내의 트랜스퍼 아암(23)에 의해 캐리어(C)로부터 꺼내어져 받아넘김 유닛(TRS1)에 반입된 후, 처리 블록(B2)내의 메인 반송 기구(25) (25A, 25B)에 의해서 도 7에 나타낸 바와 같이 받아넘김 유닛(TRS1), 소수화 처리 유닛(ADH), 도포유닛(COT), 가열 유닛(PAB), 받아넘김 유닛(TRS2)의 순서로 반송되면서 소정의 처리가 실시된다. 메인 반송 기구(25)(25A, 25B)는 앞서 설명한 바와 같이 3매의 아암을 구비하고 있으며, 예를 들면 이미 소수화 처리가 이루어진 웨이퍼를 소수나 처리 유닛(ADH)으로부터 꺼내고, 그 다음에 받아넘김 유닛(TRS1)으로부터 받은 다음의 웨이퍼를 소수화 처리 유닛(ADH)으로 반입하고, 이렇게 해서 차례로 웨이퍼(W)를 다음의 처리 유닛에 보내도록 하고 있다.
받아넘김 유닛(TRS2)까지 반송된 웨이퍼(W)는, 도 7에서 설명한 바와 같이 인터페이스부(B3)내에서 둘레가장자리 노광 유닛(WEE), 버퍼 카세트(SBU), 냉각 유닛(CPL2), 반입 스테이지(37)의 순서로 반송되어, 노광장치(B4)에서 노광된다. 그리고 노광처리 후에는 반출 스테이지(38)로부터 받아넘김 유닛(TRS3)을 경유하여 처리 블록(B2)의 가열 유닛(PEB)으로 반송되지만, 제 2 반송 수단(31)(31A, 31B)의 동작은 이미 서술한 바와 같이 작성이 끝난 전반분의 반송 스케줄에 포함되지 않고, 따라서 트랜스퍼 아암(23) 및 메인 반송 기구(25)(25A,25B)에 대해서 비동기(독립)로 동작한다. 한편 반출 스테이지(38)의 아웃 레디 신호의 출력 후, 반송 스케줄 작성부(73)에서는 후반분, 즉 웨이퍼(W)가 처리 블록(B2)내의 (PEB)로 반송된 후의 오는 경로의 반송 스케줄의 작성을 한다.
도 9는, 제 1 반송 수단의 반송 스케줄에 따라서 웨이퍼(A01)로부터 웨이퍼(A10)까지가 차례로 인터페이스부(B3)(도면중에서 'IFB'로 표시하고 있다)로 반입되어, 노광장치(B4)에서 노광된 후 가열 유닛(PEB)으로 반입되는 모양을 상기 반송 스케줄의 페이즈와 대응시켜 나타내는 도면으로, 예를 들면 A01+2는 웨이퍼(AO) 외에 후속의 2매의 웨이퍼(A02 및 A03)가 인터페이스부(B3) 또는 노광장치(B4)내에 존재하는 것을 나타내고 있다. 예를 들면 도 9에 나타낸 바와 같이, 선두의 웨이퍼(AO1)가 노광되어 인터페이스부(B3)의 제 2 반송 수단(31)에 의해 가열 유닛(PEB)으로 반입되고, 그 때 제 1 반송 수단이 실행하고 있는 사이클이 페이즈 10이라고 하면, 이 웨이퍼(AO1)는 그 사이클을 포함해 4개후의 사이클인 페이즈 13으로 제 1 반송 수단인 메인 반송 기구(25B)에 의해 반출되도록 반송 스케줄이 작성된다. 실제로는 가열 유닛(PEB)의 다음 반송될 곳의 유닛은 냉각 유닛(CPL3)이지만, 편의상 현상 유닛(DEV)을 반송될 곳의 유닛으로 하여 그 란에 AO1가 기재되어 있다.
가열 유닛(PEB)에서는, 제 2 반송 수단에 의해 웨이퍼(W)가 한쪽의 개구부(40a)(도 4 참조)를 통해서 냉각 플레이트(52)에 받아넘겨지고, 냉각 플레이트(52)로부터 가열 플레이트(6)에 받아넘겨져 가열 처리되어, 그 후 냉각 플레이트(52)에 받아 넘겨져 차게 식혀지며, 이후에 메인 반송 기구(25B)에 의해 한쪽의 개구부(40b)를 통해서 반출된다.
그런데 통상 노광장치(B4)로부터는 반송 스케줄의 1사이클의 사이에 1매의 웨이퍼가 반출되지만, 경우에 따라서는 2매 반출되는 경우가 있고, 동일 사이클내에서 노광장치(B4)로부터 가열 유닛(PEB)에 반송되려고 하는 경우가 있다. 예를 들면 도 9에 나타내는 페이즈 15에 있어서 노광장치(B4)로부터 웨이퍼(A06, A07)가 반출된다고 하면, 이 시점에서는 빈 가열 유닛(PEB)이 2개 존재한다. 그 이유는, 가열 유닛(PEB)에 웨이퍼가 체재하는 제 1 반송 수단의 사이클수가 '4'이며, 가열 유닛(PEB)의 설치단수는 5단이기 때문이다. 이 때문에 웨이퍼(A06, A07)는 가열 유닛(PEB)으로 반입되고, 웨이퍼(AO6)에 대해서는 페이즈 18로 메인 반송 기구(25B)에 의해 반출되고, 웨이퍼(A07)에 대해서는 다음의 페이즈 19로 반출된다.
이렇게 해서 일시적으로 웨이퍼의 체재 사이클수가 통상의 체재 사이클수보다 하나 증가하여 5사이클이 되지만, 반송 스케줄의 1 사이클내에 2매의 웨이퍼가 반송되었을 경우에는, 그 후에 노광장치(B4)로부터 1매도 웨이퍼가 반송되지 않는 사이클(도 9의 예에서는 페이즈 17)이 존재하고, 그 사이클로 여분의 빈 가열 유닛(PEB)이 추가된다. 한편 반송 스케줄의 1사이클내에 2매의 웨이퍼가 반송되었을 경우에는, 그 전에 노광장치(B4)로부터 1매도 웨이퍼가 반송되지 않는 사이클이 존재하기도 한다.
이상과 같이 본 실시형태에 의하면, 제 2 반송 수단(31)으로부터 노광후의 웨이퍼(W)를 메인 반송 기구(25)에 받아 넘기기 위한 받아넘김 유닛으로서 복수대 예를 들면 5대(단)의 가열 유닛(PEB)을 설치하고, 이 가열 유닛(PEB)의 냉각 플레이트(52)를 이용하여 제 1 반송 수단인 메인 반송 기구(25)와 인터페이스부(B3)내의 제 2 반송 수단(31)과의 사이의 받아넘김을 행하고 있다. 그리고 제 2 반송 수단(31)에 의해 가열 유닛(PEB)에 놓여진 웨이퍼(W)는, 그 때에 제 1 반송 수단이 실행하고 있는 반송 스케줄의 해당 사이클을 포함하여 4사이클째에서, 즉 가열 유닛(PEB)의 설치수 n보다 1개 적은 사이클수 n-1가 경과하고 나서 반출되도록 제 1 반송 수단을 제어하고 있다.
따라서 각 웨이퍼(W)의 사이에서, 노광되고 나서 가열 유닛(PEB)에 의해 가열될 때까지의 시간의 격차가 적어지고, 더욱 인터페이스부(B3)에 있어서의 노광후의 웨이퍼의 체류를 피할 수 있으며, 그 때문에 노광장치(B4)의 스루풋을 방해하는 것을 방지할 수 있고, 노광장치(B4)의 성능을 충분히 발휘할 수 있다. 그 이유를 도 11 및 도 12를 참조하면서 설명한다. 도 11은, 웨이퍼가 가열 유닛(PEB)에 반입되었을 때에, 그 때에 제 1 반송 수단이 실행하고 있는 반송 스케줄의 해당 사이클을 포함하여 5사이클째에서, 즉 가열 유닛(PEB)의 설치수와 같은 사이클수가 경과한 후 가열 유닛(PEB)으로부터 반출되도록 제 1 반송 수단을 제어했을 경우의 반송의 모양이다. 도 11에 있어서 페이즈 9(사이클 9)로 웨이퍼(A05 및 A06)의 2매가 가열 유닛(PEE)에 반입되려고 하면, 그 시점에서 비어 있는 가열 유닛(PEE)은 하나밖에 없기 때문에 웨이퍼(A05)는 가열 유닛(PEE)에 반입되지만, 웨이퍼(A06)는 반입되지 못하고 인터페이스부(B3)내에서 대기하게 되어 버린다. 그 결과 웨이퍼(A06)에 있어서의 노광장치(B4)-가열 유닛(PEB) 사이에서 반송 시간이 다른 웨이퍼에 비해 길어지므로, 즉 노광후의 가열전 경과시간이 다른 웨이퍼에 비해 길어져 버린다. 또한 웨이퍼(A06)가 인터페이스부(B3)내에서 대기하게 되면, 노광장치(B4)로부터 웨이퍼를 반출할 수 없게 되어, 이 결과 노광장치(B4)의 작업을 중단해야 하게 된다.
이에 대해서 도 12는, 실시형태와 같이 웨이퍼가 가열 유닛(PEB)으로 반입된 후, 가열 유닛(PEE)의 설치수보다 1개 적은 사이클수 '4'가 경과한 후 가열 유닛(PEE)으로부터 반출되도록 제 1 반송 수단을 제어했을 경우의 반송의 모양이다. 이 경우에는 2개의 가열 유닛(PEE)이 비어 있으므로, 웨이퍼 (A05 및 A06)의 2매 모두가 가열 유닛(PEE)으로 반입되게 된다. 이 때문에 노광후의 가열전 경과시간의 격차가 작고, 예를 들면 화학 증폭형의 레지스트에 대해 현상에의 악영향을 억제할 수 있어, 회로 패턴의 선폭에 격차가 생기는 것을 억제할 수 있으므로 제품의 생산수율이 향상한다.
여기서 본 발명에서는, 노광후의 가열전 경과시간의 최대 시간을 미리 결정해 두어, 로트의 모든 웨이퍼의 가열전 경과시간이 맞춰지도록 조절하는 것이 바람직하다. 이러한 수법은, 도 12와 같이 반송하는 경우에는 의미가 있지만, 도 11과 같이 가열 유닛(PEE)의 설치수와 같은 사이클수가 경과한 후 웨이퍼를 반출하는 수법에서는, 최대 시간을 상기의 웨이퍼(A06)의 경우와 같이 인터페이스부(B3)에서 대기하는 경우에 맞추지 않으면 안되기 때문에, 모든 웨이퍼에 대해 노광장치(B4)-가열 유닛(PEB) 사이의 반송 시간이 상당히 길어져, 채택할 수 없다.
도 13은, 제어부(7)내에 가열전 경과시간 조정부(76)를 설치한 구성을 나타내며, 이 가열전 경과시간 조정부(76)는 노광장치(B4)에서 웨이퍼(W)의 노광이 종료하여 아웃 레디 신호가 출력된 시점으로부터, 상기 웨이퍼(W)가 가열 유닛(PEB)에서 가열이 시작되는 시점까지의 가열전 경과시간 t를 소정 시간으로 조정하기 위한 프로그램을 포함하는 것으로, 어느 웨이퍼에 대해서도 상기 시간 t가 일정해지도록 하는 것을 목적으로 하고 있다. 구체적으로는 웨이퍼(W)가 가열 유닛(PEB)의 냉각 플레이트(52) 위에 놓여진 시점에서 그 웨이퍼(W)에 대한 상기 시간을 요구하여, 미리 설정한 시간으로부터 그 해당 시간(t)을 뺀 시간만큼 예를 들면 PEB내의 가열 플레이트(6) 위쪽에서 지지 핀(54)에 지지된 상태로 대기시키도록 프로그램이 짜여져 있다. 웨이퍼(W)를 대기시키는 부위는, 냉각 플레이트(52)상에서도 좋고, 혹은 냉각 플레이트(52)쪽에서 지지 핀(54)에 지지되고 있는 상태여도 좋다. 상기 미리 설정한 시간이란, 예를 들면 여러 가지의 케이스를 상정하여 노광장치(B4)로부터 아웃 레디 신호가 출력된 시점으로부터, 상기 웨이퍼(W)가 가열 유닛(PEB)으로 가열이 시작될 때까지 예상되는 최대시간이 된다.
이상에 있어서, 인터페이스부(1C)의 제 2 반송 수단(31)은 주반송부(31A) 및 보조반송부(31B)로 분할되지 않고 1개의 반송부여도 좋다. 또 가열 유닛(PEB)의 설치수 n은 '5'에 한정되는 것이 아니고, '2', '3', '4' 또는 '6' 이상이어도 좋다. 또한 가열 유닛(PEB)으로 반입된 후, 그 때의 제 1 반송 수단의 반송 사이클을 포함하여 (n-1) 사이클 후에 반출되는 것에 한정하지 않고, (n-2) 사이클 후에 반출되어도 좋고, (n-3) 사이클 후에 반출되도록 해도 좋다. 즉 본 발명은, m을 1이상으로 n보다 작은 정수로 하면, (n-m) 사이클 후에 가열 유닛(PEB)으로부터 반출하도록 하는 것이다.
본 발명은, 도포 현상 장치에 한정되는 것이 아니라, 예를 들면 처리 장치에서 절연막의 재료를 기판에 도포한 후, 받아넘김용 처리 유닛으로 예를 들면 겔화 처리하고, 그 후 제 1 반송 수단에 의해 꺼내어 베이크 처리 유닛, 큐어 처리 유닛, 기판 반출부로 차례로 반송하는 시스템 등에도 적용할 수 있다.
다음에, 다른 실시형태에 대해 설명한다. 본 실시형태에서는 설명의 편의상, 도 7 및 도 8을 참조하면서 설명한다.
도 8에 나타낸 바와 같이, 제 1 반송 제어부(74)는, 반송 스케줄 작성부(73)에 의해 작성된 반송 스케줄에 기초하여 제 1 반송 기구로서의 트랜스퍼 아암(23) 및 메인 반송 기구(25)를 제어한다. 또, 제 2 반송 제어부(75)는, 제 2 반송 기구로서의 주반송부(31A), 보조 반송부(31B)를 제어한다. 이 때, 트랜스퍼 아암(23), 메인 반송 기구(25)와, 주반송부(31A), 보조 반송부(31B)와의 반송이 독립(비동기)이 되도록 제어되고 있다. 또한, 제 2 반송 제어부(75)에 의해, 주반송부(31A)와, 보조 반송부(31B)와, 노광장치(B4)가 동기하도록 제어되고 있다.
본 실시형태에서는, 예를 들면, 노광장치(B4)에서는 로트의 교체시에 있어 레티클의 교환이나 노광 처리에 있어서의 파라미터를 변경하는데에 시간을 필요로 할 때, 혹은 알람이 발생했을 때 등에 있어서, 노광장치(B4)로부터 잠시동안 웨이퍼(W)가 반출되지 않는 경우가 있다. 그 때문에 레티클의 교환의 종료후 등에 있어서, 노광장치(B4)로부터 연속하여 웨이퍼(W)가 반출되는 경우가 있다.
이 때, 도 7에 나타낸 바와 같이, 트랜스퍼 아암(23), 메인 반송 기구(25A, 25B)와는 독립적으로, 주반송부(31A), 보조 반송부(31B)가 제어된다. 이 때문에, 주반송부(31A), 보조 반송부(31B)에 의해 노광이 끝난 웨이퍼(W)를 n개의 제 3 처리 유닛으로서의 가열 유닛(PEB)에 차례로 반송할 수 있다. 이에 따라, 예를 들면, 트랜스퍼 아암(23), 메인 반송 기구(25A, 25B)가 가열 유닛(PEB)으로 가열이 끝난 웨이퍼의 반출에 시간이 맞지 않을 때에도, 다른 노광이 끝난 웨이퍼를 가열 유닛(PEB)에 반송할 수 있다. 따라서, 노광장치(B4)로부터 가열 유닛(PEB)에 웨이퍼(W)를 반출하지 못하여 노광장치(B4)가 스톱하는 것을 방지할 수 있다. 또, 가열 유닛(PEB)이 n개 설치되어 있기 때문에, 예를 들면, 메인 반송 기구(25A, 25B)의 반송의 지연이 클 때에도 노광이 끝난 웨이퍼를 가열 유닛(PEB)으로 차례로 반송할 수 있다.
본 실시 형태에서는, 도 7에 나타내는 주반송부(31A)와 보조반송부(31B)는, 제 2 반송 제어부(75)에 의해 독립적으로 제어된다. 이에 따라, 주반송부(31A)와 보조 반송부(31B)에서 웨이퍼(W)의 반송을 분담할 수 있다. 예를 들면, 주반송부(31A)가 둘레가장자리 노광장치(WEE)로부터 버퍼 카세트(SBU)에 웨이퍼(W)를 반송하고 있을 때, 노광장치(B4)에 의해 노광된 웨이퍼(W)가 반송 가능한 상태가 되는 경우가 있다. 이 때, 주반송부(31A)의 반송이 종료할 때까지 기다리는 일 없이, 주반송부(31A)에 의한 웨이퍼(W)의 반송과 평행하게 보조반송부(31B)에 의해 웨이퍼(W)를 신속하게 노광장치(B4)로부터 받아넘김 유닛(TRS3)으로 반송할 수 있다.
다음에, 가열전 경과시간, 즉, 노광 장치(B4)에 의한 노광이 종료하고 나서 가열 유닛(PEB)으로 가열이 시작될 때까지의 노광후 지연 시간 TPED(Post Exposure Delay Time)를, 각 웨이퍼(W)에서 일정하게 하기 위한 제어에 대해 도 17에 나타내는 플로우차트를 참조하면서 설명한다.
도 17에 나타낸 바와 같이, 스텝 1(S1)에 있어서, 제어부(7)는, 노광장치(B4)에 의해 노광이 종료하고 나서 가열 유닛(PEB)에 의해 가열이 시작될 때까지 실제로 걸린 실반송시간 Tr을 계측한다.
계속해서, 스텝 2에 있어서, 스텝 1에서 계측한 실반송시간 Tr을 이용하여, 웨이퍼(W)를 예를 들면 냉각 플레이트(52)상에서 대기시키는 대기 시간Tt을 하기 식을 이용하여 연산한다.
대기시간 Tt = (반송 개시 지연 시간의 최대치 Tmax) + (최단 시간 Tmin)-(실반송 시간 Tr)
도 18은, 대기 시간 Tt, 반송 개시 지연 시간의 최대치 Tmax, 최단 시간 Tmin, 실반송 시간 Tr의 관계를 나타내고 있다. 여기서, 반송 개시 지연 시간의 최대치 Tmax는, 웨이퍼(W)가 노광장치(B4)에서 대기하는 시간의 최대치 Tmax, 즉, 노광장치(B4)에 의해 노광이 종료하고 나서 주반송부(31A)에 의해 받아들여질 때까지의 시간의 최대치 Tmax를 나타내고 있다. 최단시간 Tmin는, 주반송부(31A)가 노광된 웨이퍼(W)를 받고 나서 가열 유닛(PEB)으로 반송하기 위해서 필요로 하는 최단의 시간을 나타내고 있다. 반송 개시 지연 시간의 최대치 Tmax에 대해서는, 예를 들면, 반송 속도를 변경하여 반송 시험을 행함으로써 반송 속도-반송 개시 지연 시간의 최대치 Tmax 테이블이 준비되어 있다. 반송 속도-반송 개시 지연 시간의 최대치 Tmax 테이블은, 미리 제어부(7)에 격납되어 있다. 또한, 최단 시간 Tmin에 대해서는, 예를 들면, 반송 속도 등을 변경하여 시험을 행함으로써 반송 속도-최단 시간 Tmin 테이블이 준비되어 있다. 반송 속도-최단 시간 Tmin 테이블은, 미리 제어부(7)에 격납되어 있다. 이에 따라, 실반송 시간 Tr를 계측하는 것만으로, 대기 시간 Tt를 구할 수 있다.
이어서, 스텝 3에 있어서, 웨이퍼(W)를 가열 유닛(PEB)에 있어서 스텝 2에서 구한 대기 시간 Tt 대기시킨다.
계속해서, 스텝 4에 있어서, 가열 유닛(PEE)에 있어서 웨이퍼(W)에 가열 처리를 시작한다. 이에 따라, 노광후 지연 시간 TPED를, 각 웨이퍼(W)에서 일정하게 할 수 있다. 즉, 노광된 웨이퍼(W)에 대해서 노광후 같은 타이밍에 가열 처리를 실시하여, 각 웨이퍼(W)사이에서, 패턴의 선폭에 오차가 발생하는 것을 억제할 수 있다.
본 실시 형태에서는, 제 1 반송 제어부(74)는, 가열 유닛(PEB)에 반입된 웨이퍼의 매수와 처리 블록(B2), 인터페이스부(B3), 노광장치(B4) 및 가열 처리 유닛(PEB)의 각각의 사이에서 반송되고 있는 웨이퍼의 매수와 처리 블록(B2), 인터페이스부(B3) 및 노광장치(B4)에서 처리되고 있는 웨이퍼의 매수와의 합이, 가열 유닛(PEB)의 수가 되기 전에, 가열 유닛(PEB)에 반입된 웨이퍼를 반출하도록, 트랜스퍼 아암(23) 및 메인 반송 기구(25)를 제어한다. 예를 들면, 도 3에 나타내는 5개의 가열 유닛(PEB) 중 2개의 가열 유닛(PEB)에 웨이퍼가 반입되고 있을 때에 대해 설명한다. 이 때에는, 처리 블록(B2), 인터페이스부(B3) 및 노광장치(B4)로 처리 또는 반송되고 있는 웨이퍼의 매수가 3매가 되기 전에, 메인 반송 기구(25)가, 가열 유닛(PEB)에 반입된 웨이퍼를 반출한다. 이에 따라, 항상 가열 유닛(PEB)에 빈 곳이 있는 상태를 유지하여, 가열 유닛(PEB)으로 웨이퍼(W)를 받을 수 있다. 따라서, 예를 들면, 노광장치(B4)에서 노광이 끝난 웨이퍼(W)의 반송이 막히는 것을 방지하고, 노광장치(B4) 자체의 생산 능력을 최대한으로 활용할 수 있다.
도 6에 나타낸 바와 같이 주반송부(31A)가 아암(33)을 1개 가지는 예를 나타냈지만, 도 19에 나타낸 바와 같이, 주반송부(31A)가 제 1 반송 부재로서의 아암(33)의 위쪽에, 제 2 반송 부재로서의 아암(133)을 가지도록 해도 좋다. 이렇게 함으로써, 예를 들면, 아암(133)에 노광이 끝난 웨이퍼(W)를 얹어 놓은 상태로 노광전의 다른 웨이퍼(W)를 예를 들면 둘레가장자리 노광장치(WEE)로부터 버퍼 카세트(SBU)로 반송할 수 있다. 따라서, 웨이퍼(W)를 부드럽게 반송할 수 있다. 또, 아암(33)과 아암(133)에 노광이 끝난 웨이퍼(W)를 얹어 놓고, 아암(133)을 노광이 끝난 웨이퍼(W)의 반송의 대기(완충)용으로 이용할 수 있다. 주반송부(31A) 및 보조 반송부(31B)중의 적어도 한쪽이, 아암(133)을 가지도록 하면, 같은 효과를 얻을 수 있다.
본 실시 형태에서는, 웨이퍼(W)를 가열 유닛(PEB)의 예를 들면 냉각 플레이트(52)상에서 대기하는 예를 나타낸다. 그러나, 도 20에 나타낸 바와 마찬가지로, 노광장치(B4) 및 가열 유닛(PEB)의 사이에, 노광후 지연 시간 T PEB를 일정하게 하기 위해서, 버퍼 카세트(SBU)와 같은 구성의 대기 유닛(SBU2)을 배치하도록 해도 좋다(도 19 참조). 이 때, 보조 반송부(31B)에 의해 받아넘김 유닛(TRS3)으로부터 대기 유닛(SBU2)으로 웨이퍼(W)가 반송되고, 주반송부(31A)에 의해 대기 유닛(SBU2)으로부터 가열 유닛(PEB)으로 웨이퍼(W)가 반송된다. 상기 실시형태와 마찬가지로, 노광이 끝난 웨이퍼(W)를 대기 유닛(SBU2)에서 대기시킴으로써, 노광후 지연시간 TPEB을 각 웨이퍼(W)에서 일정하게 할 수 있다. 따라서, 노광된 웨이퍼(W)에 대해서 같은 타이밍에서 가열 처리를 실시하고, 각 웨이퍼(W) 사이에서 패턴의 선폭에 오차가 발생하는 것을 억제할 수 있다.
이상 설명한 바와 같이, 본 발명에 의하면, 처리 장치로 처리된 후, 다음의 처리에 이르기까지의 시간을 기판의 사이에서 조정할 수 있고, 또한 기판의 체류를 방지할 수 있다. 도포 현상 장치에 적용한 발명에 있어서는, 기판을 노광한 후, 인터페이스부를 통하여 가열 유닛에 받아 넘김에 있어서, 노광된 후, 가열될 때까지의 시간을 각 기판의 사이에서 조정할 수 있어, 노광후의 기판이 인터페이스부에서 체류하는 것을 방지할 수 있고, 노광장치의 스루풋의 성능을 충분히 발휘할 수 있다.

Claims (12)

  1. 처리 장치에서 처리된 기판을, 2개의 반송 수단의 사이의 기판의 받아넘김부를 겸용하는 받아넘김용 처리 유닛을 통하여 후속의 복수의 처리 유닛에 차례로 반송하는 기판 처리 장치에 있어서,
    상기 처리 장치로 처리된 기판에 대해서 소정의 처리를 행하는 n(2이상의 정수)개의 받아넘김용 처리 유닛과,
    기판이 놓여지는 곳을 모듈이라고 부른다고 하면, 각 모듈에 놓여진 기판을 1매씩 하나 순번이 뒤의 모듈로 옮겨가도록 차례로 반송을 행함으로써 하나의 반송 사이클을 실행하고, 해당 하나의 반송 사이클이 종료한 후 다음의 반송 사이클로 이행하도록 제어되어, 상기 받아넘김용 처리 유닛으로부터 기판을 꺼내어 후속의 복수의 처리 유닛으로 차례로 반송하는 제 1 반송 수단과,
    상기 처리 장치로 처리된 기판을 1매씩 받아넘김용 처리 유닛으로 반송하기 위한 제 2 반송 수단과,
    받아넘김용 처리 유닛에 기판이 반입되었을 때에, 그 때에 실행되고 있는 반송 사이클을 포함하여 (n-m(1이상으로 n보다 작은 정수)) 사이클 후에 상기 기판을 받아넘김 처리 유닛으로부터 반출하도록 제 1 반송 수단을 제어하는 제어부를 구비한 것을 특징으로 하는 기판 처리 시스템.
  2. 제 1 항에 있어서,
    처리 장치로부터 기판이 반출되고 나서 받아넘김 처리 유닛으로 상기 기판의 처리가 시작될 때까지의 시간을 어느 기판에 대해서나 미리 설정한 시간이 되도록 조정하는 수단을 구비한 것을 특징으로 하는 기판 처리 시스템.
  3. 기판에 대해서 레지스트액을 도포하고, 그 기판이 노광장치로 노광된 후, 현상 처리를 행하는 기판 처리 장치에 있어서,
    상기 기판에 대해서 레지스트막을 형성하기 위한 일련의 처리를 차례로 행하는 복수의 처리 유닛과,
    노광후의 기판에 대해서 현상 처리를 행하기 위한 일련의 처리를 차례로 행하는 복수의 처리 유닛과,
    레지스트막을 형성하는 처리 유닛군 및 현상 처리를 행하는 처리 유닛군이 설치되는 영역과 노광장치와의 사이에 개재하는 인터페이스부와,
    레지스트막이 형성된 기판을 인터페이스부로 받아 넘기기 위한 제 1 받아넘김부와,
    노광후의 기판에 대해서 가열 처리를 행하고, 제 2 받아넘김부를 겸용하는 n(2이상의 정수)개의 가열 유닛과,
    복수의 기판을 수납한 캐리어가 얹어놓여지는 캐리어 얹어놓음부와,
    이 캐리어 얹어놓음부에 얹어놓여진 캐리어로부터 기판을 받아, 레지스트막을 형성하기 위한 각 처리 유닛, 제 1 받아넘김부의 순서로 기판을 반송하고, 더욱 노광장치에서 노광된 기판을 상기 가열 유닛으로부터 받아, 현상 처리를 행하기 위한 각 처리 유닛, 캐리어 얹어놓음부에 얹어놓여진 캐리어의 순서로 반송함과 동시에, 기판이 놓여지는 곳을 모듈이라고 부른다고 하면, 각 모듈에 놓여진 기판을 1매씩 하나의 순번 뒤의 모듈로 옮겨가도록 차례로 반송을 행함으로써 하나의 반송 사이클을 실행하고, 상기 하나의 반송 사이클이 종료한 후 다음의 반송 사이클로 이행하도록 제어되는 제 1 반송 수단과,
    상기 인터페이스부에 설치되어, 제 1 받아넘김부로부터 기판을 받아 노광장치로 받아넘김과 동시에, 노광장치에서 노광된 기판을 1매씩 상기 가열 유닛으로 반송하는 제 2 반송 수단과,
    상기 가열 유닛에 기판이 반입되었을 때에, 그 때에 실행되고 있는 반송 사이클을 포함하여 (n-m(1이상으로 n보다 작은 정수)) 사이클 후에 상기 기판을 가열 유닛으로부터 반출하도록 제 1 반송 수단을 제어하는 제어부를 구비한 것을 특징으로 하는 도포 현상 장치.
  4. 제 3 항에 있어서, 가열 유닛은, 기판을 가열하는 가열 플레이트와, 이 가열 플레이트로 가열된 기판을 냉각하는 냉각 플레이트와, 가열 플레이트와 냉각 플레이트와의 사이에서 기판의 받아넘김을 행하는 수단을 구비한 것을 특징으로 하는 도포 현상 장치.
  5. 제 3 항 또는 제 4 항에 있어서,
    노광장치로부터 기판이 반출되고 나서 가열 유닛에서 상기 기판의 가열 처리가 시작될 때까지의 시간을 어느 기판에 대해서나 미리 설정한 시간이 되도록 조정하는 수단을 구비한 것을 특징으로 하는 도포 현상 장치.
  6. 노광 장치와의 사이에서 기판의 받아넘김이 가능하고, 복수의 기판에 소정의 처리를 하는 기판 처리 장치로서,
    기판에 제 1 처리를 하는 제 1 처리 유닛과,
    상기 노광장치에서 노광된 기판에 상기 제 1 처리와는 다른 제 2 처리를 하는 제 2 처리 유닛과,
    기판에, 상기 제 1 처리 및 상기 제 2 처리와는 다른 제 3 처리를 하는 복수의 제 3 처리 유닛과,
    상기 제 1 처리 유닛, 제 2 처리 유닛 및 복수의 제 3 처리 유닛의 사이에서 기판을 반송하는 제 1 반송 기구와,
    상기 노광장치와 상기 복수의 제 3 처리 유닛의 사이에서 기판을 반송하는 제 2 반송 기구와,
    상기 제 1 반송 기구에 의한 기판의 반송과, 상기 제 2 반송 기구에 의한 기판의 반송을 독립하여 제어하는 제어부를 구비하는 것을 특징으로 하는 기판 처리 장치.
  7. 제 6 항에 있어서,
    상기 제어부는,
    상기 제 3 처리 유닛에 반입된 기판의 매수와,
    상기 제 1 처리 유닛, 상기 노광장치 및 제 3 처리 유닛과의 사이의 어느 한쪽에서 반송되고 있는 기판의 매수와,
    상기 제 1 처리 유닛 및 상기 노광장치의 어느 한쪽에서 처리되고 있는 기판의 매수와의 합이 상기 제 3 처리 유닛의 수가 되기 전에, 상기 제 3 의 처리 유닛에 반입된 기판을 반출하도록, 상기 제 1 반송 기구에 의한 반송을 제어하는 것을 특징으로 하는 기판 처리 장치.
  8. 제 6 항에 있어서,
    상기 제 2 반송 기구는,
    상기 제 3 처리 유닛에 기판을 반송 가능한 주반송 기구와,
    상기 노광장치에 의해 노광된 기판을 받는 것이 가능한 보조 반송 기구를 가지며,
    상기 제어부는, 상기 주반송기구에 의한 기판의 반송과 상기 보조반송 기구에 의한 기판의 반송을 독립적으로 제어하는 것을 특징으로 하는 기판 처리 장치.
  9. 제 6 항에 있어서,
    상기 노광장치에 의해 노광이 종료하고 나서 상기 제 3 처리 유닛에 의해 제 3 처리가 시작될 때까지의 기판의 대기 시간이 일정해지도록, 상기 노광이 종료한 기판을 상기 제 3 처리 유닛에 있어서의 제 3 처리의 개시 시간을 제어하는 수단을 더욱 구비하는 것을 특징으로 하는 기판 처리 장치.
  10. 제 9 항에 있어서,
    상기 대기시간은, 상기 노광장치에 의한 노광이 종료하고 나서 상기 노광된 기판이 상기 제 2 반송 기구에 의해 받아들여질 때까지의 시간의 최대치와, 상기 노광된 기판이 상기 제 2 반송 기구에 의해 받아들여지고 나서 상기 제 3 처리 유닛으로 반송될 때까지의 시간과의 합으로부터, 상기 노광장치에 의해 노광이 종료하고 나서 상기 제 3 처리 유닛으로 반송될 때까지의 실반송시간을 뺀 시간인 것을 특징으로 하는 기판 처리 장치.
  11. 제 8 항에 있어서,
    상기 주반송기구 및 상기 보조반송기구 중의 적어도 한쪽은, 기판을 반송하기 위한 제 1 반송 부재와,
    상기 제 1 반송 부재와 일체로 이동 가능하게 설치되어 기판을 반송 가능한 제 2 반송 부재를 가지는 것을 특징으로 하는 기판 처리 장치.
  12. 제 6 항에 있어서,
    상기 노광장치 및 상기 제 3 처리 유닛의 사이에 설치되어, 상기 노광장치에 의한 노광이 종료하고 나서 상기 제 3 처리 유닛에 의해 제 3 처리가 시작될 때까지의 시간을 일정하게 하기 위해서, 기판을 대기시키는 대기 유닛을 더욱 구비하는 것을 특징으로 하는 기판 처리 장치.
KR1020057009171A 2002-11-28 2003-11-18 기판 처리 시스템 및 도포 현상 장치 KR100935291B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002346138 2002-11-28
JPJP-P-2002-00346138 2002-11-28

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020097019977A Division KR100974141B1 (ko) 2002-11-28 2003-11-18 기판 처리 장치

Publications (2)

Publication Number Publication Date
KR20050083939A true KR20050083939A (ko) 2005-08-26
KR100935291B1 KR100935291B1 (ko) 2010-01-06

Family

ID=32376045

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020097019977A KR100974141B1 (ko) 2002-11-28 2003-11-18 기판 처리 장치
KR1020057009171A KR100935291B1 (ko) 2002-11-28 2003-11-18 기판 처리 시스템 및 도포 현상 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020097019977A KR100974141B1 (ko) 2002-11-28 2003-11-18 기판 처리 장치

Country Status (4)

Country Link
US (1) US7379785B2 (ko)
KR (2) KR100974141B1 (ko)
AU (1) AU2003280854A1 (ko)
WO (1) WO2004049408A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8591224B2 (en) 2006-09-29 2013-11-26 Tokyo Electron Limited Substrate processing apparatus and substrate processing method

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7083338B2 (en) * 2003-10-27 2006-08-01 Samsung Electronics Co., Ltd. Lithography equipment
US7085677B1 (en) * 2004-04-19 2006-08-01 Amazon Technologies, Inc. Automatically identifying incongruous item packages
JP5008280B2 (ja) * 2004-11-10 2012-08-22 株式会社Sokudo 基板処理装置および基板処理方法
JP5154008B2 (ja) * 2004-11-10 2013-02-27 株式会社Sokudo 基板処理装置および基板処理方法
JP4926433B2 (ja) 2004-12-06 2012-05-09 株式会社Sokudo 基板処理装置および基板処理方法
JP5154007B2 (ja) * 2004-12-06 2013-02-27 株式会社Sokudo 基板処理装置
JP5154006B2 (ja) * 2004-12-06 2013-02-27 株式会社Sokudo 基板処理装置
JP4955976B2 (ja) * 2005-01-21 2012-06-20 東京エレクトロン株式会社 塗布、現像装置及びその方法
JP4955977B2 (ja) * 2005-01-21 2012-06-20 東京エレクトロン株式会社 塗布、現像装置及びその方法
JP4459831B2 (ja) * 2005-02-01 2010-04-28 東京エレクトロン株式会社 塗布、現像装置
JP2006269497A (ja) * 2005-03-22 2006-10-05 Olympus Corp 基板処理装置及び基板収納方法
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
JP4549959B2 (ja) * 2005-09-14 2010-09-22 大日本スクリーン製造株式会社 基板処理装置
JP4937559B2 (ja) * 2005-09-14 2012-05-23 株式会社Sokudo 基板処理装置および基板処理方法
JP4761907B2 (ja) 2005-09-28 2011-08-31 株式会社Sokudo 基板処理装置
JP4450784B2 (ja) * 2005-10-19 2010-04-14 東京エレクトロン株式会社 塗布、現像装置及びその方法
US7961291B2 (en) * 2005-12-23 2011-06-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070250202A1 (en) * 2006-04-17 2007-10-25 Tokyo Electron Limited Coating and developing system, method of controlling coating and developing system and storage medium
JP2008034746A (ja) * 2006-07-31 2008-02-14 Tokyo Electron Ltd 塗布、現像装置、その方法及び記憶媒体
JP5132920B2 (ja) * 2006-11-22 2013-01-30 東京エレクトロン株式会社 塗布・現像装置および基板搬送方法、ならびにコンピュータプログラム
JP5023679B2 (ja) * 2006-12-05 2012-09-12 東京エレクトロン株式会社 塗布、現像装置及びその方法並びに記憶媒体
JP4840168B2 (ja) * 2007-01-31 2011-12-21 東京エレクトロン株式会社 加熱装置、加熱方法及び記憶媒体
DE102007025339A1 (de) * 2007-05-31 2008-12-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Entfernen leerer Trägerbehälter von Prozessanlagen durch Steuern einer Zuordnung zwischen Steuerungsaufgaben und Trägerbehälter
US8636458B2 (en) * 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
JP5001828B2 (ja) * 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010192623A (ja) * 2009-02-17 2010-09-02 Renesas Electronics Corp 半導体装置の製造装置、その制御方法、及びその制御プログラム
JP4751460B2 (ja) * 2009-02-18 2011-08-17 東京エレクトロン株式会社 基板搬送装置及び基板処理システム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5433290B2 (ja) * 2009-04-20 2014-03-05 東京エレクトロン株式会社 基板収納方法及び制御装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5318005B2 (ja) 2010-03-10 2013-10-16 株式会社Sokudo 基板処理装置、ストッカー装置および基板収納容器の搬送方法
JP5392190B2 (ja) * 2010-06-01 2014-01-22 東京エレクトロン株式会社 基板処理システム及び基板処理方法
JP5408059B2 (ja) 2010-07-09 2014-02-05 東京エレクトロン株式会社 塗布、現像装置、塗布、現像方法及び記憶媒体
JP5397399B2 (ja) 2010-07-09 2014-01-22 東京エレクトロン株式会社 塗布、現像装置
JP5490741B2 (ja) * 2011-03-02 2014-05-14 東京エレクトロン株式会社 基板搬送装置の位置調整方法、及び基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130123966A1 (en) * 2011-11-14 2013-05-16 Shenzhen China Star Optoelectronics Technology Co., Ltd. Spatial three-dimensional inline handling system
NL2010166A (en) 2012-02-22 2013-08-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10698392B2 (en) * 2018-06-22 2020-06-30 Applied Materials, Inc. Using graphics processing unit for substrate routing and throughput modeling
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04239720A (ja) * 1991-01-23 1992-08-27 Hitachi Ltd 露光装置
JPH07142356A (ja) 1993-11-19 1995-06-02 Sony Corp レジスト・パターン形成方法およびこれに用いるレジスト・パターン形成システム
JPH07171478A (ja) * 1993-12-20 1995-07-11 Dainippon Screen Mfg Co Ltd 基板処理装置
JPH07297258A (ja) * 1994-04-26 1995-11-10 Tokyo Electron Ltd 板状体の搬送装置
JPH08255750A (ja) * 1995-01-13 1996-10-01 Tokyo Electron Ltd 処理方法、レジスト処理方法及びレジスト処理装置
US5849602A (en) * 1995-01-13 1998-12-15 Tokyo Electron Limited Resist processing process
JPH08316130A (ja) * 1995-05-22 1996-11-29 Sony Corp レジストパタ−ンの形成方法および装置
JP3156757B2 (ja) * 1997-03-25 2001-04-16 日本電気株式会社 電子線露光装置及びレジスト塗布現像装置並びにレジストパターン形成方法
JP3914690B2 (ja) 1999-06-30 2007-05-16 東京エレクトロン株式会社 基板受け渡し装置及び塗布現像処理システム
JP2001351848A (ja) 2000-06-07 2001-12-21 Tokyo Electron Ltd 基板処理システム及び基板処理方法
US6461986B2 (en) * 2000-07-24 2002-10-08 Tokyo Electron Limited Substrate processing method apparatus and substrate carrying method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8591224B2 (en) 2006-09-29 2013-11-26 Tokyo Electron Limited Substrate processing apparatus and substrate processing method

Also Published As

Publication number Publication date
KR100974141B1 (ko) 2010-08-04
WO2004049408A1 (ja) 2004-06-10
KR100935291B1 (ko) 2010-01-06
AU2003280854A1 (en) 2004-06-18
KR20090109137A (ko) 2009-10-19
US20050287821A1 (en) 2005-12-29
US7379785B2 (en) 2008-05-27

Similar Documents

Publication Publication Date Title
KR100935291B1 (ko) 기판 처리 시스템 및 도포 현상 장치
JP4087328B2 (ja) 塗布、現像装置及び塗布、現像装置の運転方法
JP4414909B2 (ja) 塗布、現像装置
JP4464993B2 (ja) 基板の処理システム
US7597492B2 (en) Coating and developing system, coating and developing method and storage medium
US7563043B2 (en) Coating/developing apparatus and substrate transfer method
JP4541966B2 (ja) 塗布処理方法及び塗布処理装置並びにコンピュータプログラム
JP2006310698A (ja) 基板処理装置
KR100919084B1 (ko) 기판처리장치 및 기판반송방법
KR101018525B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR101339608B1 (ko) 도포, 현상 장치 및 그 방법 및 기억 매체
JP2005294460A (ja) 塗布、現像装置
JP4018965B2 (ja) 基板処理装置
JP4492875B2 (ja) 基板処理システム及び基板処理方法
JP2000091226A (ja) 基板処理装置
JPH07171478A (ja) 基板処理装置
JP4606159B2 (ja) 基板処理装置、基板処理方法、コンピュータプログラム及び記憶媒体
US11927890B1 (en) Substrate processing apparatus and method of fabricating semiconductor device using the same
JPH11340298A (ja) 基板処理装置
JP2002359181A (ja) 基板処理装置
JPH10112487A (ja) 基板処理装置
JP2001326157A (ja) 処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121130

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131210

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141205

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20161122

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20171120

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20181219

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20191217

Year of fee payment: 11