KR20040042892A - 혼합 화학 프로세스를 위한 장치 및 방법 - Google Patents

혼합 화학 프로세스를 위한 장치 및 방법 Download PDF

Info

Publication number
KR20040042892A
KR20040042892A KR1020030080486A KR20030080486A KR20040042892A KR 20040042892 A KR20040042892 A KR 20040042892A KR 1020030080486 A KR1020030080486 A KR 1020030080486A KR 20030080486 A KR20030080486 A KR 20030080486A KR 20040042892 A KR20040042892 A KR 20040042892A
Authority
KR
South Korea
Prior art keywords
gas
gas conduit
chamber body
distribution assembly
fluid communication
Prior art date
Application number
KR1020030080486A
Other languages
English (en)
Other versions
KR101151192B1 (ko
Inventor
링 쳔
빈센트 쿠
메이 창
디엔-예 유
화 츙
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20040042892A publication Critical patent/KR20040042892A/ko
Application granted granted Critical
Publication of KR101151192B1 publication Critical patent/KR101151192B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating

Abstract

다수의 증착 공정을 수행하는 방법 및 장치가 제공된다. 일 실시예에서, 증착 장치는 챔버 몸체 및 상기 챔버 몸체상에 배치된 가스 공급 조립체를 포함한다. 일 실시예에서, 증착 방법은 처리될 기판 표면을 챔버 몸체내에 위치시키는 단계, 상기 챔버 몸체상에 배치된 가스 분배 조립체를 이용하여 둘 이상의 화합물을 상기 챔버 몸체내로 공급하여 제 1 재료를 포함하는 필름을 증착하는 단계, 및 그 후에, 상기 챔버 몸체상에 배치된 가스 분배 조립체를 이용하여 둘 이상의 상이한 화합물을 상기 챔버 몸체내로 공급하여 제 2 재료를 포함하는 필름을 증착하는 단계를 포함한다. 이러한 실시예들의 일 측면에서, 상기 가스 분배 조립체는 상기 챔버 몸체와 유체 연통되는 가스 도관, 상기 가스 도관과 유체 연통되는 하나 이상의 고속 작동 밸브를 구비하는 둘 이상의 분리된 가스 유입구; 및 상기 가스 도관과 유체 연통되는 혼합 채널을 포함한다. 상기 밸브는 하나 이상의 화합물을 상기 가스 도관내로 교번적으로 펄스시키며, 상기 혼합 채널은 하나 이상의 화합물의 연속적인 유동을 상기 가스 도관내로 공급하도록 구성된다.

Description

혼합 화학 프로세스를 위한 장치 및 방법 {APPARATUS AND METHOD FOR HYBRID CHEMICAL PROCESSING}
본 발명의 실시예는 일반적으로, 다중 기상 증착 프로세스를 인-시츄(in-situ) 방식으로 수행하기 위한 장치 및 방법에 관한 것이다. 보다 구체적으로, 본 발명의 실시예는 주기적인 층과 화학 기상 증착 기술을 이용하여 필름을 인-시츄 방식으로 증착하기 위한 개선된 가스 분배 장치 및 방법에 관한 것이다.
서브-쿼터 미크론(sub-quarter micron) 범위의 다층 배선화(multilevel metallization)는 차세대 초대규모 집적 회로(VLSI)를 위한 중요한 기술 중에 하나이다. 이러한 기술의 핵심인 다층 상호접점(multilevel interconnects)은 콘택, 비어스, 라인 또는 기타 어퍼쳐를 포함하는 고 종횡비의 미세구조물들을 가진다. 이들 미세구조물들의 신뢰성 있는 제조는 VLSI의 성공에 매우 중요하며 또한, 개개의 기판에 대한 품질과 회로 밀도를 개선하기 위한 꾸준한 노력을 위해서도 중요하다. 그러므로, 4 : 1(높이 : 폭) 이상의 고 종횡비를 갖는 무공(void-free) 미세구조물을 제조하기 위한 커다란 노력이 진행 중에 있다.
서브-미크론 범위의 고 종횡비 상호접점 미세구조물과 같은 VLSI 미세구조물을 충진시키기 위한 금속으로 최근에 구리가 선택되는데, 그 이유는 구리 및 구리 합금이 알루미늄 보다 낮은 저항을 갖기 때문이다. 그러나, 구리 및 구리 합금은 예를들어 실리콘 산화물, 실리콘, 및 기타 유전체 재료와 같은 주위 금속으로 확산하여 회로의 접촉 저항을 증가시키는 경향이 있다. 또한, 구리 및 구리 합금은 집적 회로의 공지 구성요소들 중에서 트랜지스터 게이트, 커패시터 유전체, 트랜지스터 웰, 트랜지스터 채널, 전기적 배리어 영역, 상호접점과 같은 주위 구성요소로 확산하려는 경향이 있다. 그러므로, 배리어 층들이 구리의 배선화 공정 이전에 증착되어서 구리 원자들의 확산을 방지 또는 방해해야 한다.
상호접점을 제조하기 위한 통상적인 공정순서는 하나 이상의 비전도 층들을 증착하는 단계, 하나 이상의 미세구조물들를 형성하도록 상기 하나 이상의 비전도 층들을 에칭하는 단계 및 상기 미세구조물들을 충진시키도록 구리와 같은 하나 이상의 전도 층들을 증착하는 단계이다. 상기 배리어 층들은 통상적으로 텅스텐, 티탄, 탄탈 및 이들의 질화물과 같은 내화 금속을 포함한다. 이들 그룹 중에서, 탄탈 질화물은 배리어 층으로 사용하기에 가장 바람직한 원소들 중에 하나인데, 그 이유는 탄탈 질화물이 내화 금속 질화물 중에 가장 낮은 저항치를 갖는 원소 중에 하나이며 동 배선 공정을 위한 양호한 접착 층으로서의 역할을 하기 때문이다. 탄탈 질화물과 같은 내화 금속 질화물 층은 통상적으로, 물리 기상 증착(PVD) 및 화학 기상 증착(CVD)과 같은 종래의 증착 기술을 사용하여 증착된다.
종래의 증착 공정은 상호접점 구조물을 제조하는데 어려움이 있는데, 그 이유는 이들 공정이 종횡비가 4 : 1을 초과하는 경우, 특히 종횡비가 10 : 1을 초과하는 경우에 서브-미크론 구조물을 충진하는데 문제점이 있기 때문이다. 종종, 배리어 층은 좁은 미세구조물의 개구를 가교연결하여, 하나 이상의 공동을 형성하거나 미세구조물 내에 단락을 유발한다. 공동은 저항을 증가시키고 미세구조물의 전자이동 저항을 감소시키므로, 공동을 갖는 미세구조물들은 부실하고 신뢰성 없는 접점을 형성하게 된다.
원자층 증착(ALD) 기술은 고 종횡비를 갖는 미세구조물 위에 배리어 층과 같은 재료들을 증착하기 위해 연구되고 있는 증착 기술 중에 하나이다. ALD는 제 1 반응물과 제 2 반응물로 구분된 펄스들을 순차 도입하여 기판 표면에 단층만을 제한적으로 흡착하는 결과를 초래한다. 상기 반응물들은 소정의 두께로 증착 재료가 증착될 때까지 순차적으로 도입된다. 세정 가스의 펄스 및/또는 반응물의 펄스 사이의 펌프에 의한 배기는 챔버에 남아 있는 과잉 반응물로 인한 반응물의 기상 반응 가능성을 감소시키는 역할을 한다.
통상적인 제조 과정에 있어서 처리된 웨이퍼는 다수의 프로세싱 챔버들 사이로 이동하게 되어 귀중한 처리시간을 소모하게 된다. 때때로, 처리 웨이퍼는 프로세싱 챔버 사이에서 진공 해제 상태에 놓이게 되어, 기판이 여러 상황 중에서도 기판 표면의 산화를 초래하는 주위 조건에 노출되게 된다. 공동과 같이, 금속 산화물은 상호접점의 저항을 증가시키며 비어스와 작은 미세구조물의 전자이동 저항을 감소시킨다. 금속 산화물은 또한 미립자 공급원의 문제가 될 수 있으며 전체 회로의 신뢰성을 감소시킨다. 금속 산화물은 또한 연속 증착 층의 불균일한 분포를 조장하는 공동을 생성함으로써 연속 증착 공정에 방해될 수 있다.
그러므로, 본원 발명의 목적은 다중 증착기술을 사용하여 다층 재료를 인-시츄 방식으로 증착시키기 위한 신규한 방법 및 장치를 제공하고자 하는 것이다. 그러한 신규한 방법 및 장치는 다수의 처리 챔버들 사이로 기판을 이송할 필요성을 제거하며 공동의 형성 가능성을 감소시킨다.
도 1은 본 발명에 따른 주기적인 층의 증착 공정과 화학 기상 증착 프로세스를 수행할 수 있는 프로세싱 시스템(100)의 개략적인 횡단면도이며,
도 2는 가스 분배 조립체(130)의 부분 확대된 횡단면도이며,
도 3은 도 2의 3-3선을 따라 취한 가스 분배 조립체(130)의 평면도이며,
도 4는 가스 분배 조립체(130)를 통한 예시적인 흐름 형태를 도시하는 개략적인 도면이며,
도 5a는 제 2 가스 분배 보조 조립체(500)의 일 실시예를 도시하는 확대된 수직 단면도이며,
도 5b는 제 2 가스 분배 보조 조립체(500)의 다른 실시예를 도시하는 확대된 수직 단면도이며,
도 6은 도 5의 6-6선을 따라 취한 제 2 가스 분배 시스템(500)의 개략적인 수평 단면도이며,
도 7은 프로세싱 시스템(100)의 실시예에 적합한 예시적인 열적 플레이트(180)의 등축도이며,
도 7a는 가열 판(180)의 하나의 예시적인 설계를 나타내는 횡단면도이며,
도 8a 내지 도 8d는 예시적인 상호접점 구조물(800)을 상이한 제조단계로 나타내는 개략적인 도면이며,
도 9는 다중 증착 프로세스를 수행하는 예시적인 다중 챔버 프로세싱 시스템(900)의 개략적인 평면도이다.
다중 증착 공정을 수행할 수 있는 장치가 제공된다. 일 실시예에서, 상기 장치는 챔버 몸체와 상기 챔버 몸체 상에 배열되는 가스 분배 조립체를 포함한다. 그러한 실시예에 있어서의 일 측면에 따라, 가스 분배 조립체는 챔버 몸체와 유체 연통된 가스 도관과, 상기 가스 도관과 유체 연통된 하나 이상의 고속 작동 밸브를 갖춘 두 개 이상의 격리된 가스 유입구, 및 상기 가스 도관과 유체 연통된 혼합 채널을 포함한다. 상기 밸브는 두 개 이상의 화합물을 가스 도관으로 교번적으로 펄스 분배하며, 혼합 채널은 하나 이상의 화합물을 가스 도관으로 연속적으로 분배하도록 채용된다.
상기 실시예의 다른 일면에 따라, 가스 분배 조립체는 챔버 몸체와 유체 연통된 가스 도관과, 제 1 단부에서 상기 가스 도관과 유체 연통된 두 개 이상의 분리 유동 통로, 및 제 2 단부에서 상기 가스 도관 주위에 배열되는 하나 이상의 환형 혼합 채널을 포함한다. 각각의 격리된 유동 통로는 하나 이상의 고속 작동밸브를 포함하며, 상기 혼합 채널은 관통 형성된 복수의 노즐을 경유하여 가스 도관과 유체 연통되어 있다. 상기 유동 통로는 상기 가스 분배 조립체 내에 형성된 차압에 의해 혼합 채널과 격리되어 있다.
단일 처리 챔버 내에서 다중 증착 공정을 수행함으로써 기판 표면 상에 다층을 증착시키기 위한 방법도 제공된다. 그러한 방법의 일면에 따라, 상기 방법은 처리될 기판 표면을 챔버 몸체 내에 위치시키는 단계와, 제 1 재료를 포함하는 필름을 증착시키도록 챔버 몸체 상에 배열되는 가스 분배 조립체를 사용하여 두 개 이상의 화합물을 챔버 몸체 내측으로 분배하는 단계, 및 제 2 재료를 포함하는 필름을 증착시키도록 챔버 몸체 상에 배열되는 가스 분배 조립체를 사용하여 두 개 이상의 화합물을 챔버 몸체 내에 분배하는 단계를 포함한다. 상기 가스 분배 조립체는 챔버 몸체와 유체 연통된 가스 도관과, 제 1 단부에서 상기 가스 도관과 유체 연통된 두 개 이상의 격리된 유동 통로, 및 제 2 단부에서 상기 가스 도관 주위에 배열되는 하나 이상의 환형 혼합 채널을 포함한다. 각각의 격리된 유동 통로는 두 개 이상의 화합물을 가스 도관으로 교번적으로 펄스 공급하도록 채용되는 하나 이상의 고속 작동 밸브를 포함한다. 상기 혼합 채널은 관통 형성된 복수의 노즐을 경유하여 가스 도관과 유체 연통되어 있고 두 개 이상의 화합물을 가스 도관 내측으로 연속적으로 분배하도록 채용된다.
전술한 본 발명의 특징이 달성되고 상세히 이해될 수 있도록, 간단히 요약했던 본 발명에 대해서 첨부 도면에 도시한 본 발명의 실시예들을 참조하여 더욱 상세히 설명한다. 그러나, 첨부 도면은 본 발명의 통상적인 실시예만을 도시한 것이므로, 본 발명의 범주를 한정하는 것이라고 이해해서는 않되며 다른 동등한 유효한 실시예들이 있을 수 있다고 이해해야 한다.
도 1은 다수의 증착 기술을 사용하여 피가공재 표면 상에 여러 재료들, 필름, 및 층을 증착할 수 있는 프로세싱 시스템(100)의 개략적인 단면도이다. 이러한 프로세싱 시스템(100)은 챔버 몸체(102)의 상부에 배치된 가스 분배 조립체(130)를 포함한다. 이러한 챔버 몸체(102)는 펌핑 플레이트(162), 라이너(167), 슬릿 밸브(108), 및 내부에 배치된 기판 지지부(12)를 포함한다. 슬릿 밸브(108)는 챔버 몸체(102)의 측벽(104) 내부에 형성되며, 가스 분배 조립체(130)와 챔버 몸체(102) 사이에 형성된 유체-밀봉식 시일(fluid-tight seal)을 손상시키지 않고 챔버 몸체(102)의 내부를 향해 그리고 내부로부터 멀어지게 피가공재(도시 안됨)를 이송시킬 수 있다. 예컨대 로봇 웨이퍼 이송 조립체와 같은 임의의 종래의 피가공재 이송 조립체(도시 안됨)가 사용가능하다. 발명의 명칭이 "멀티-챔버 일체형 프로세스 시스템(multi-chamber integrated process system)"이며 여기에 참조로서 병합되어 있는 통상적으로 양도된 US 특허 제 4,951,601호에 종래의 로봇 웨이퍼 이송 조립체의 일례가 개시되어 있다.
기판 지지부(112)는 리프트 모터(114)에 장착되어, 기판 지지부(112)와 그 위에 배치된 기판(110)을 상승 및 하강시킨다. 기판 지지부(112)는 프로세싱 동안 기판 지지부(112)에 기판(110)을 고정시키기 위한 진공 척(vacuum chuck), 정전 척(electrostatic chuck) 또는 클램프 링(도시 안됨)을 더 포함한다. 이러한 기판 지지부(112)는 저항 히터(resistive heater)와 같은 매설된 가열 요소(152)를 사용하여 가열될 수 있거나, 또는 기판 지지부(112) 위에 배치된 가열 램프(도시 안됨)와 같은 복사열을 사용하여 가열될 수 있다. 기판 지지부(112)상에는 세정링(purge ring; 122)이 배치되어 세정 채널(124)이 형성되는데, 이 세정 채널(124)에는 기판(110)의 주변 부분 위에의 증착을 방지하도록 세정 가스가 제공된다.
라이너(167)는 지지 받침대(support pedestal; 112) 둘레에 배치되며, 챔버 몸체(102)의 내부 수직면을 둘러싼다. 이러한 라이너(167)는 알루미늄과 같이 전술한 임의의 프로세스 친화성 물질로 제조되며, 바람직하게로는 챔버 몸체(102)와 동일한 물질로 제조된다. 이러한 라이너(167) 내부에는 세정 채널(168)이 형성되는데, 이러한 세정 채널(168)은 챔버 몸체(102)의 측벽을 통해 연장되는 펌핑 포트(117)와 유체 연통된다. 펌핑 포트(117)에 인접한 챔버 몸체(102)에는 펌프 시스템(118)이 연결가능하며, 이러한 펌프 시스템(118)은 챔버 몸체(102) 내부로 유체의 유동을 인도하는 것을 돕는다.
펌핑 플레이트(162)는 세정 채널(168)의 상부면을 형성하며, 챔버 몸체(102)와 펌핑 포트(117) 사이에서의 유체의 유동을 조절한다. 이러한 펌핑 플레이트(162)는 다수의 어퍼쳐(162A)가 관통해서 형성된 환형 부재이다. 이러한 펌핑 플레이트(162) 내에 형성된 어퍼쳐(162A)의 직경, 개수 및 위치는 챔버 몸체(102)에서 유출되어 기판(110)과 접촉 상태인 가스들을 포함하는 가스들의 유동을 제한한다. 이러한 어퍼쳐(162A)는 피가공재상에 일관되고 균일한 증착을 제공한다.
세정 채널(168)의 체적이 챔버 몸체(102)의 주변 둘레에서 일관되지 않기 때문에, 어퍼쳐(162A)의 직경, 개수 및 위치는 펌핑 플레이트(162) 주위에 전략적으로 배열된다. 예컨대, 세정 채널(168)은 슬릿 밸브(108) 둘레에서 보다 작은 단면적을 가져서, 챔버 몸체(102)의 안팎으로 피가공재의 이송을 수용한다. 따라서, 어퍼쳐(162A)의 크기, 배향, 개수를 보다 명확하게 구성하고 설계해야만, 피가공재의 표면 및 주변 주위에서 균일한 유체 유동을 달성한다.
챔버 몸체(102)의 펌핑 포트(117)에는 펌프 시스템(118)이 연결되는데, 이러한 펌프 시스템(118)은 챔버 몸체(102) 내부에 유체의 유동을 인도하는 것을 돕는다. 이러한 펌프 시스템(118)은 챔버 몸체(102)로부터 가스들을 배기시키고, 프로세싱 시스템(100) 내부에 원하는 압력 또는 원하는 압력 범위를 유지시킨다. 세정 채널(168)은 도관(166)과 스로틀 밸브(118A)를 통해 펌프 시스템(118)에 연결되어 있다. 이들 세정 채널(168), 스로틀 밸브(118a) 및 펌프 시스템(118)은 프로세싱 시스템(100) 안으로 가스 유동을 제어하기 위해 함께 작용한다.
프로세싱 시스템(100)은 원격 플라즈마 소스(remote plasma source)(도시 안됨)를 더 포함할 수 있어서, 프로세싱 시스템(100)의 내부면 상에 형성된 오염물질 또는 입자들을 세정시킨다. 수소, 질소, 산소함유 화합물, 불소함유 화합물, 및 이들의 혼합물과 같은 프로세스 가스에 전기장을 인가함으로써, 예컨대 원격 플라즈마 소스 내부에 활성종(reactive species)의 플라즈마가 발생될 수 있다. 통상, 전기장은 RF 또는 마이크로웨이브 전력원(도시 안됨)에 의해 발생된다. 이후, 이러한 활성종은 프로세싱 시스템(100) 안으로 도입되어, 원치 않는 입자들을 반응적으로 세정하거나 제거시킨다.
또한, 프로세싱 시스템(100)은 어플라이드 머티어리얼스,인코포레이티드(Applied Materials, Inc.)에서 구매가능한 EnduraTM플랫폼과 같은 일체형 프로세싱 플랫폼 내에 일체화될 수 있다. EnduraTM플랫폼의 상세한 설명은 발명의 명칭이 "일체형 모듈라 프로세싱 플랫포옴(Integrated Modular Processing Platform)"이며 여기에 참조로서 병합되어 있는 통상적으로 양도된 US 특허출원 제 09/451,628호(1999년 11월30일 출원)에 개시되어 있다.
도 1을 다시 참조하면, 가스 분배 조립체(130)는 챔버 리드(chamber lid; 132)상에 배치된 열적 플레이트(180) 및 헤드 조립체(131)를 포함하며, 이들 각각에는 확장 도관(134)의 적어도 일부분이 관통하여 형성되어 있다. 챔버 리드(132)의 하부면(160)은 점진적인, 테이퍼 사면(gradual tapering slope)/리세스(recess)를 포함하며, 이러한 사면/리세스는 하부면(160)의 중앙 부분에서부터 확장 도관(134)과 인접하여 하부면(160)의 둘레 부분까지 연장된다. 하부면(160) 상에 형성된 리세스는 아래에 배치된 기판(110)을 거의 덮을 수 있는 크기와 형상을 가진다. 표면이 보다 균일한 속도를 제공하도록 기판(110)의 표면을 가로질러 보다 균일한 농도의 가스를 제공하기 때문에, 테이퍼형의 하부면(160)은 기판(110)의 표면을 가로질러 가스의 보다 균일한 증착을 제공할 것이다.
도 2는 가스 분배 조립체(130)의 부분 확대 단면도이다. 확장 도관(134)은 상부(237)에서부터 하부(235)까지 점차 증가하는 내경(134A)을 가진다. 이러한 확장 도관(134)은 곧은 테이퍼 면, 오목면, 볼록면, 또는 이들의 조합체와 같은 하나 이상의 테이퍼 내부면을 포함할 수 있다. 확장 도관(134)은 예컨대, 제 1 테이퍼부분과 제 2 비-테이퍼 부분과 같이, 하나 이상의 테이퍼 내부면의 섹션들을 포함할 수도 있다. 일 양상에 있어서, 확장 도관(134)의 내경(134A)은 상부(237)에서부터 하부(235)까지 점차 증가하는 곧은 테이퍼 면이다. 확장 도관(234)의 하부(235)는 챔버 리드(132)의 하부면(160)과 인접해 있으며 하부 에지(238)에서 모서리가 깎여있거나/매끄러워서, 가스 유동의 스태그네이션(stagnation)을 최소화한다. 일 양상에 있어서, 내부면(134A)은 상부(237)에서 약 0.2인치 내지 0.1인치이며, 하부(235)에서 약 0.5인치 내지 약 3.0인치이다. 이들 크기는 단지 설명을 위해 제공된 것이며, 약 500sccm 내지 약 3000sccm의 총 가스 유동을 수용하는 것으로 알려져 있다. 물론, 이러한 특정화된 크기는 통과하는 임의의 가스 유동을 수용하도록 변경될 수 있다.
가스 분배 조립체(130)는 헤드 조립체(131) 상에 배열된 하나 이상의 제 2 가스 분배 보조-조립체(500) 및 하나 이상의 제 1 가스 분배 보조-조립체(200)를 더 포함한다. 이들 2개의 가스 분배 보조-조립체(200, 500)는 확장 도관(134)과 유체 연통 상태이다. 제 1 가스 분배 시스템(200)은 2 이상의 고속 작동 밸브(2개의 밸브(140A, 140B)가 도시되어 있음)를 포함하여, 이들 각각의 공급원(도시 안됨)으로부터 확장 도관(134) 안으로 가스들의 유동을 조절한다. 제 2 가스 분배 시스템(500)은 확장 도관(134)의 하부(235)에 근접하여 배치되며, 도 5를 참조하여 아래에서 보다 상세히 설명될 것이다.
제 1 가스 분배 시스템(200)을 보다 상세히 살펴보면, 각각의 밸브(140A, 140B)는 2이상의 포트를 구비하며, 증착 모드에 따라, 확장 도관(134)으로의 동시적인 가스 유동 및/또는 분리/변경 가스 유동을 제공하도록 되어 있다. 일 양상에서, 밸브(140A, 140B)는 개별의 반응 가스 공급원(도시 안됨) 및 공통 세정 가스 공급원(도시 안됨)과 각각 연결된 3방향 밸브이다. 소정의 경우에, 하나 이상의 반응 가스를 전달하기 위해 캐리어 가스가 요구될 수도 있다. 이것이 발생할 때, 캐리어 가스 및 세정 가스 양쪽 모두로서 동일한 가스가 사용될 수도 있다. 적합한 세정/캐리어 가스는 수소, 질소, 헬륨, 아르곤 및 이들의 화합물을 포함한다.
밸브(140A, 140B)는 하나 이상의 화합물의 단펄스(short pulse)를 정밀하게 그리고 반복적으로 챔버 몸체(102) 안으로 전달한다. 밸브(140A, 140B)는, 원하는 유입 속도로 원하는 전구체를 신뢰성있게, 반복적으로 그리고 정밀하게 계측할 수 있는 임의의 유형의 밸브일 수 있다. 밸브(140A, 140B)의 온/오프 사이클 또는 펄스는 약 100msec미만이다. 일부 경우에 있어서, 투입은 1-2msec만큼 빠르다. 일례로서, 밸브(140A, 140B)는 부품 번호 FR-21-6.35 UGF-APD로서 일본의 후지킨(Fujikin)에서 구매가능한 것들과 같은, 전자 제어식(EC) 밸브일 수도 있다.
도 2에 도시된 바와 같이, 각각의 밸브(140A, 140B)는 분배 도관(250A, 250B)을 통해 확장 도관(134)과 유체 연통 상태이다. 이러한 분배 도관(250A, 250B)이 밸브(140A, 140B)의 부재로서 기계가공될 수 있거나, 분배 도관(250A, 250B)이 별도의 부재로서 제조되고 밸브(140A, 140B)에 조립될 수도 있다. 분배 도관(250A, 250B)의 길이는 확장 도관(134)에 가까이 근접해서 밸브(140A, 140B)를 위치시키도록 최소화되며, 밸브(140A, 140B)와 확장 도관(134) 사이의 불필요한 부피를 감소시킨다. 이러한 근접성은 증착하는 동안 보다 양호한 제어 및 작동가능성을 제공한다.
도 3은 도 2의 3-3선에 따른 가스 분배 조립체(130)의 상부 횡단면도이다. 분배 도관(250A, 250B)은 확장 도관(134)에 대해 접선방향으로 위치된다. 사용하는 동안, 분배 도관(250A, 250B)을 통해 흐르는 가스는 화살표(310A, 310B)로 도시된 바와 같이 초기에 원 방향으로 흐른다. 접선방향으로 가스를 제공하면, 확장 도관(134)을 통해 원형의 층류(laminar flow)가 발생되고, 기판 표면(110)을 가로질러 개선된 유동 분포와 확장 도관(134)의 내부면의 개선된 세정을 야기시킨다. 비교해 보면, 확장 도관(134) 내부에 난류(turbulent flow)가 균일하지 않게 유동하며, 확장 도관(134) 내부에 가스 유동이 없는 영역을 생성시킬 수 있다.
도 4는 가스 분배 조립체(130)를 통과하는 예시적인 유동 형태(regime)를 개략적으로 도시한 도면이다. 도시한 바와 같이, 분배 도관(250A, 250B)은 확장 도관(134)의 종축선(290)에 대해 관계(+β, -β)로 위치된다. 각각의 분배 도관(250A, 250B)은 종축선(290)에 대해 법선 방향(여기서, +β, -β=90˚)에 위치되거나, 종축선(290)에 대해 경사지게(여기서는, 0˚< +β<90˚또는 0˚< -β< 90˚) 위치된다. 관계(+β, -β)에 무관하게, 분배 도관(250A, 250B)을 통해 확장 도관(134)의 내벽(134A) 안으로 가스가 흐른다. 확장 도관(134)의 내벽과의 접촉부는 가스의 속도를 감속시키고, 기판(110)의 표면상에 이전에 흡착/흡수된 반응물을 날려버릴 가능성을 감소시킨다. 확장 도관(134) 안으로 유입되기 전에 가스 유동의 속도를 보다 감소시키기 위해 분배 도관(250A, 250B)의 내경을 감소시킬 수도 있다.
분배 도관(134)을 통과하는 정확한 유동 패턴이 알려지지는 않았지만, 가스가 확장 도관(134)을 통해 이동함에 따라, 초기의 원형, 층류(310A, 301B)(도 3에 도시됨)는 라미나 "보르텍스" 유동(와류; laminar vortex flow) 또는 라미나 "스파이럴" 유동(나선형 유동; laminar spiral flow) 패턴(화살표 402A, 402B로 도시됨)으로 발전되는 것으로 보인다. 분배 도관(250A, 250B)과 기판(110) 사이의 거리(410)는 거의 수직인 유동 경로가 생성될 정도로 "보르텍스" 유동(402A, 402B)의 속도가 감소되도록 구성된다. "보르텍스" 유동(402A, 402B)은 가스의 양질의 혼합을 제공하며, 필요하다면, 확장 도관(134)의 내부면의 효과적인 세정 또는 소제(sweep)를 제공하는 반면, 거의 수직인 유동은 기판 표면(110) 상에 보다 양호한 증착을 가능하게 한다. 일 양상에서, 확장 도관(134)은 통과하는 가스의 층류를 발생시키고 발달시키는 것을 돕기 위해 미러 폴리싱(mirror polishing)된다.
분배 도관(250A, 250B)을 통해 확장 도관(134) 안으로 전달되는 가스의 갑작스런 단열 팽창으로 인해, 온도가 하강해서 입자들의 형성 및 가스의 응축을 야기시킨다. 이론에 속박되고 싶지는 않지만, 점진적으로 증가하는 확장 도관(134)의 내경(134A) 및 분배 도관(250A, 250B)의 배향은 확장 도관(134)을 통과하는 가스의 단열 팽창을 줄이게 할 수 있다고 생각된다. 따라서, 가스 온도는 보다 용이하게 제어되며, 챔버 리드(132) 상에서의 가스 분해, 증착 및 응축을 방지한다.
가스 온도는 챔버 리드(132)의 온도를 제어함으로써 제어된다. 챔버 리드(132)는 통과되어 전달되는 화합물과 특정한 증착 프로세스에 따라 좌우되는 냉각 요소 및/또는 가열 요소(도시 안됨)를 포함한다. 일 양상에서, 챔버리드(132) 내에는 하나 이상의 물 채널들이 형성될 수 있다. 다른 양상에 있어서, 챔버 리드(132)의 부품들을 가열 요소가 둘러싸거나, 가열 요소가 매설될 수도 있다. 또 다른 양상에 있어서, 챔버 리드(132)는 가열 및 냉각 채널 또는 요소 모두를 포함하여, 챔버 리드(132)의 여러 부분들을 가열 및/또는 냉각시킨다. 예컨대, 확장 도관(134)과 가까운 챔버 리드(132)의 중앙 부분은 가열되지만, 챔버 리드(132)의 주변 부분은 냉각될 수 있다.
도 5a는 제 2 가스 분배 보조-조립체(500)의 확대 수직 횡단면도이다. 제 2 가스 분배 보조-조립체(500)는 혼합 채널(520)이 관통 형성된 방사상 믹서(510)를 포함한다. 혼합 채널(520)은 환형이고 확장 도관(134)과 동심으로 형성된다. 혼합 채널(520)의 부피는 작동 온도, 압력, 및 증착 중에 사용된 가스의 유동 속도 뿐만 아니라, 사용된 전구체 가스, 챔버 몸체(102)의 크기, 처리되는 기판(110)의 크기, 확장(expanding) 도관(134)의 부피 및 치수와 같은 많은 인자에 의존한다.
방사상 믹서(510)는 확장 도관(134)과 환형 혼합 채널(520) 사이에 위치된 내벽(515)을 갖는다. 노즐과 같은 하나 이상의 통로(530)가 혼합 채널(520)과 확장 도관(134) 사이의 유체 연통을 허용하기 위해 내벽(515)을 통해 형성된다. 노즐(530)은 방사상으로 배치되고 확장 도관(134)의 외주부를 따라 실질적으로 균일하게 분포된다. 노즐(530)은 확장 도관(134)에 실질적으로 수직하게 배치될 수도 있다. 선택적으로, 노즐(530)은 약 -60°내지 약 +60°범위와 같이, 법선에 대해 소정의 각도로 배치될 수도 있다. 일 측면에서, 노즐(530)의 수는 12개이지만, 다른 수, 형태 및/또는 분포의 노즐(530)이 또한 이용될 수도 있다.
적어도 하나의 가스 유입구(두 개의 유입구가 도 5a에서 525, 526으로 도시됨)는 방사상 믹서(510)의 외측으로부터 혼합 채널(520)과 연통된다. 일반적으로, 가스 유입구(525, 526)는 반응물 가스, 전구체 가스, 캐리어 가스, 세정 가스, 및 소정의 조합물의 하나 이상의 공급원(도시 않음)과 유체 연통한다. 가스 유입구(525, 526)는 하나 이상의 프로세싱 가스를 그들 각각의 공급원(도시 않음)으로부터 환형 혼합 채널(520)로 제공하여 가스가 노즐(530)을 통해 확장 도관(134)으로 유입되기 전에 혼합된다.
유입구(525, 526)는 노즐(530) 중 어느 하나와 직접 정렬되지 않도록 배향되어 있다. 예를 들어, 유입구(525, 526)는 노즐(530)에 대해 오프셋되어 가스 유입구(525, 526) 중 어느 하나로부터 배출되는 가스의 각각의 속도 중 어느 하나가 다른 것의 국부적 압력에 영향을 주지 않는다. 결과적으로, 혼합 채널(520) 내에서 가스의 완전한 혼합이 달성되고, 실질적으로 동일한 유동의 가스가 노즐(530)을 통해 확장 도관(134)으로 유입된다.
도 5b는 또다른 실시예인 제 2 가스 분배 보조-조립체(500)의 확대 수직 횡단면도이다. 제 2 가스 분배 보조-조립체(500)는 내벽(515)의 하부 표면에 형성된 갭 또는 통로(555)를 포함한다. 도 5b에 현재와 같이 도시되지만, 노즐(530) 및 갭(555) 모두가 내벽(515)을 통해 형성됨이 예상된다. 상기 실시예의 일 측면에서 갭(555)의 높이는 내벽(515)의 직경을 가로질러 일정할 수도 있다. 상기 실시예의 또다른 측면에서, 갭(555)의 높이는 챔버(102) 내에 형성된 펌핑 효과를 보상하기 위해 내벽(515) 직경을 가로질러 변할 수도 있다. 예를 들어, 펌핑 포트(117)에가장 근접한 갭(555)의 높이는 유체 유동을 막기 위해 펌핑 포트(117)에 대향하는 갭(555) 높이의 절반이며, 여기서 압력차는 최대이다. 갭(555)의 높이를 변경함으로써, 혼합 채널(520)을 통해 유동하는 가스의 유체 역학은 보다 양호하게 제어될 수 있어서 확장 도관(134) 및 챔버 몸체(102)를 통해 보다 양호한 혼합 또는 보다 양호한 분포를 제공한다.
도 6은 도 5의 선 6-6을 따라 취한 제 2 가스 분배 시스템(500)의 개략적인 수평 횡단면도이다. 노즐(530)은 혼합 채널(520)로부터 확장 도관(134)으로 유동하는 가스에 실질적인 유동 저항을 제공하도록 배열되고 치수가 결정된다. 노즐(530)에 의해 제공된 가스 유동에 대한 실질적인 저항은 실질적으로 동일한 유동 속도가 각각의 노즐(530)을 통해 달성되어, 기판(110)에 완벽하고 균일한 유동 분포를 제공한다.
도 6a는 제 2 가스 분배 시스템(500)의 선택적인 실시예의 개략적인 수평 횡단면도이다. 상기 실시예에서, 복수의 접선방향으로 배치된 노즐(630)은 확장 도관(134)의 내경을 따라 방향성 유동을 제공하기 위해 방사상 믹서(510)의 내벽(515) 내에 형성된다. 노즐은 바람직하게 시계방향 유동 패턴의 가스를 제공하기 위해 동일한 방향으로 기울어져 있다. 방향성 유동은 가스의 혼합을 촉진하고 유동 가스가 확장 도관(134)의 내경(134A)에 이끌리게 하는 소용돌이 효과(swirling effect)를 제공한다.
도 7은 전술된 프로세싱 시스템(100)의 실시예에 적절한 예시적인 절연 플레이트(180)의 등축도이다. 절연 플레이트(180)는 확장 도관(134) 주위에서 헤드 조립체(131)와 챔버 리드(132) 사이에 배치되는 환형 부재이다. 절연 플레이트(180)는 헤드 조립체(131)를 챔버 리드(132)로부터 열적으로 한정하거나 절연시키고, 낮은 열전달계수를 갖는 예를 들어 스테인레스 강과 같은 재료로 바람직하게 제조된다. 즉, 헤드 조립체(131)를 챔버 몸체(102)와 챔버 리드(132)와 같은 프로세싱 시스템(100)의 나머지 부분으로부터 열적으로 절연시키기 위해, 양호한 전도체가 아닌 재료가 양호하다. 이처럼, 보다 양호한 온도 제어와 보다 양호한 전체적인 작동 가능성이 달성된다.
도 7a는 열적 플레이트(180)의 일 예의 횡단면도이다. 상기 실시예에서, 리세스(181)는 헤드 조립체(131) 및 리드(132)와 접촉하게 되는 열적 플레이트(180)의 표면적을 최소화하기 위해 열적 플레이트(180)의 상부 및 하부 표면 내부에 형성된다. 표면적의 감소는 또한 부품들 사이의 열전달을 감소시킨다. 결과적으로, 헤드 조립체(131)는 리드(132)의 온도에 의해 상대적으로 영향을 받지 않도록 유지된다. 유사하게, 리드(132)는 헤드 조립체(131)의 온도에 상대적으로 영향을 받지 않도록 유지된다. 결과적으로, 리드(132)의 온도는 보다 작은 열/에너지가 열적 플레이트(180)를 가로질러 전달되기 때문에 용이하게 유지된다.
도 1을 다시 참조하면, 프로세싱 시스템(100)은 프로세싱 조건을 제어하기 위해 프로그램화된 개인용 컴퓨터, 워크 스테이션 컴퓨터 등과 같은 제어기(170)를 더 포함할 수도 있다. 예를 들어, 제어기(170)는 기판 프로세스 과정의 상이한 단계 중에 밸브(140A, 140B)를 통한 다양한 프로세스 가스, 캐리어 가스 및 세정 가스의 유동을 제어하도록 구성될 수도 있다. 제어기(170)는 랜덤 액세스메모리(174) 및 하드 디스크 드라이브(176)와 같은, 메모리와 데이타 통신하는 프로세서(172)를 포함한다. 일반적으로, 제어기(170)는 적어도 펌프 시스템(118), 동력 공급원(114), 및 밸브(140A, 140B)와 연결된다. 게다가, 제어기(170)는 다른 작동 중에서 웨이퍼 전달, 온도 제어, 챔버 배기와 같은 웨이퍼 프로세싱에 이용되는 다른 작동의 자동 제어를 책임지도록 구성될 수도 있으며, 이들 작동의 소정 내용은 본원의 다른 곳에서 설명된다. 예시적인 제어기(170)는 본원에 참조되고, 2001년, 3월 7일에 출원된 "ALD 챔버용 밸브 제어 시스템"이란 명칭의 공동 출원중인 미국 특허 출원 번호 제 09/800,881호에 보다 자세히 설명되어 있는 프로그램 가능한 논리 컴퓨터(PLC)와 같은 챔버/주문형 제어기이다.
프로세싱 시스템(100)은 화학 기상 증착, 주기적인 층 증착(cyclical layer deposition), 원자 층 증착, 디지탈 화학 기상 증착, 및 급속 화학 기상 증착 기술을 수행하도록 작동될 수도 있다. 용어 "주기적인 층 증착", "원자 층 증착", "디지탈 화학 기상 증착", 및 "급속 화학 기상 증착"은 호환적으로 사용되고 가스상 증착 기술을 지칭하며, 이에 의해 둘 이상의 화합물이 기판 표면 상에 박층 재료를 증착시키기 위해 프로세싱 챔버의 반응 영역 내로 순차적으로 유입된다.
여기서 사용되는 "기판 표면"은 필름 프로세싱이 수행되는 기판 표면을 지칭한다. 예를 들어, 기판 표면은 도포 공정에 따라, 실리콘, 실리콘 산화물, 도핑된 실리콘, 게르마늄, 갈륨 아세나이드, 유리, 사파이어, 및 금속, 금속 합금과 같은 소정의 다른 재료, 및 다른 전도체 재료를 포함할 수도 있다. 기판 표면은 이산화실리콘 및 탄소 도핑된 실리콘 산화물과 같은 유전체 재료를 포함할 수도 있다.
용어 "화합물"은 하나 이상의 전구체, 산화제, 환원제, 반응물, 및 촉매, 또는 이들의 조합물을 포함하도록 의도된다. 용어 "화합물"은 또한 둘 이상의 화합물이 프로세싱 시스템 내에 동시에 유입될 때와 같이, 화합물 그룹을 포함하도록 의도된다. 예를 들어, 화합물 그룹은 하나 이상의 촉매와 하나 이상의 전구체를 포함할 수도 있다. 용어 "화합물"은 해리 또는 이온화에 의한 것처럼, 활성화 또는 에너지화 상태에 있는 하나 이상의 전구체, 산화제, 환원제, 반응물, 및 촉매, 또는 이들의 조합물을 포함하도록 의도된다. 매우 다양한 반도체 프로세싱 전구체, 화합물 및 반응물이 사용될 수도 있다. 그 예로는 예를 들어 사염화티탄(TiCl4), 불화텅스텐(WF6), 오염화탄탈(TaCl5), 요오드화티탄(TiI4), 브롬화티탄(TiBr4), 테트라키스(디메틸아미도)티탄(TDMAT), 펜타키스(디메틸 아미도)탄탈(PDMAT), 테트라키스(디에틸아미도)티탄(TDEAT), 텅스텐 헥사카르보닐(W(CO)6), 텅스텐 헥사클로라이드(WCl6), 테트라키스(디에틸아미도)티탄(TDEAT), 펜타키스(에틸 메틸 아미도)탄탈(PEMAT), 펜타키스(디에틸아미도)탄탈(PDEAT), 암모니아(NH3), 히드라진(N2H4), 모노메틸 히드라진(CH3N2H3), 디메틸 히드라진(C2H6N2H2), t-부틸히드라진(C4H9N2H3), 페닐히드라진(C6H5N2H3), 2,2'-아조이소부탄((CH3)6C2N2), 에틸아지드(C2H5N3), 및 질소(N2)를 포함한다.
각각의 화합물은 기판 표면에 부착 및/또는 반응하도록 시간 지연/휴지기에 의해 분리된다. 시간 지연은 이전에 펄스된 화합물의 흡착 또는 반응을 허용하도록 유리하게 조절된다. 시간 지연은 또한 예를 들어 어닐링, 조밀화, 및 질화와 같은 진행되는 하나 이상의 처리 프로세스를 허용하도록 조절될 수도 있다. 일 측면에서, 제 1 화합물 또는 화합물 A는 제 1 시간 지연/휴지기 후에 반응 영역 내로 투입(dose)/펄스된다. 다음 제 2 화합물 또는 화합물 B는 제 2 시간 지연 후에 반응 영역 내로 투입/펄스된다. 예를 들어 티탄 실리콘 질화물과 같은 3원계 재료가 바람직할 때, 제 3 화합물(C)은 제 3 시간 지연 후에 반응 영역 내로 투입/펄스된다. 시간 지연 후에 이러한 순차적인 직렬 펄스의 반응 화합물은 바람직한 필름 또는 필름 두께가 기판 표면 상에 형성될 때까지 무한정 반복될 수도 있다.
본원에서 사용되는 "펄스" 또는 "투입"은 프로세싱 챔버의 반응 영역 내로 간헐적으로 또는 비연속적으로 유입되는 특정 화합물의 양을 지칭한다. 각각의 펄스 내에 특정 화합물의 양은 펄스 기간에 따라 시간에 대해 변할 수도 있다. 특정 화합물은 단일 화합물 또는 둘 이상의 화합물의 혼합물/조합물을 포함할 수도 있다. 그러나, 특정 화합물의 연속 유동이 전술된 것처럼 본 발명에 의해 예상되지만, 이는 본 발명의 범위를 제한하는 것은 아니다.
"반응 영역"은 처리되는 기판 표면과 유체 연통하는 소정의 부피를 포함하는 것으로 의도된다. 반응 영역은 가스 공급원과 기판 표면 사이에 있는 프로세싱 챔버 내의 소정 부피를 포함할 수도 있다. 예를 들어, 반응 영역은 기판이 배치되는 투입 밸브의 하류에 있는 소정 부피를 포함한다.
각각의 펄스/투입 기간은 가변적이고 예를 들어 프로세싱 챔버의 부피 성능 뿐만 아니라 이에 결합된 진공 시스템의 성능을 수용하도록 조절될 수도 있다. 추가적으로, 화합물의 투입 시간은 기판 표면 상에 흡착되는 화합물의 성능 뿐만 아니라 화합물의 유동 속도, 화합물의 압력, 화합물의 온도, 투입 밸브의 형태, 이용되는 제어 시스템의 형태에 따라 변할 수도 있다. 투입 시간은 형성되는 층의 형태 및 소자의 구조에 기초하여 변할 수도 있다. 일반적으로, 투입 시간은 실질적으로 기판의 전체 표면 상에 흡착/화학적흡착되어 기판 표면 상에 소정 두께의 화합물 층이 형성되기에 충분한 화합물 부피를 제공하도록 충분히 길어야 한다.
기판 표면이 반응물에 이용될 수 있는 유한 수의 사이트를 갖기 때문에 단지 하나의 단일 층이 소정의 펄스 동안 기판 표면 상에 증착된다는 점에서 기판 표면 상에서 반응물의 단일층을 물리적흡착, 흡착, 또는 화학적흡착하는데 이용되는 표면 인력은 자체 제한적이라고 믿어진다. 유한 수의 사이트가 반응물에 의해 채워지면, 반응물의 또다른 증착은 차단될 것이다. 이러한 사이클은 질화탄탈층이 소정 두께가 될 때까지 반복될 수도 있다.
그러나, 설명의 단순화 및 용이성을 위해, 프로세싱 시스템(100)은 주기적인 층 증착(CLD)에 의한 인-시츄 배리어 층 및 화학 기상 증착(CVD)에 의한 접착 층을 증착하는데 이용될 수 있는 것처럼, 그 작동이 후술된다. 알루미늄, 구리, 티탄, 탄탈, 텅스텐, 이들의 질화물, 이들의 산화물, 및 이들의 조합물과 같은 하나 이상의 금속 함유 필름이 프로세싱 시스템(100) 내에서 증착될 수도 있다. 예를 들어, 배리어 층은 예를 들어 텅스텐, 티탄, 및 탄탈과 같은 하나 이상의 내화성 금속을 포함할 수도 있다. 배리어 층은 또한 예를 들어, 질화텅스텐, 질화티탄, 및 질화탄탈과 같은 하나 이상의 내화성 금속 질화물을 포함할 수도 있다. 배리어 층은예를 들어 티탄 실리콘 질화물 및 탄탈 실리콘 질화물과 같은 3원계 재료를 더 포함할 수도 있다. 유사하게, 접착층은 예를 들어, 알루미늄, 구리, 텅스텐, 이들의 합금, 이들의 질화물, 이들의 산화물, 이들의 합금을 포함할 수도 있다.
CLD 모드에서, 하나 이상의 금속 함유 전구체 및 하나 이상의 환원제가 밸브(140A, 140B)를 통해 확장 도관(134) 내로 순환적으로 유입되고, 캐리어 또는 세정 가스는 노즐(530)을 통해 확장 도관(134) 내로 유동한다. 캐리어 또는 세정 가스는 예를 들어 증착 화합물의 각각의 펄스 사이에서 하나 이상의 펄스와 같이, 연속 유동 또는 하나 이상의 분리 개시된 유동으로서 유입될 수도 있다.
증착 화합물이 있을 때, 연속 또는 펄스이든 간에 많은 상이한 캐리어 또는 세정 가스가 존재함이 인식된다. 즉, 각각의 증착 화합물은 연속 및/또는 펄스이든 간에 자체 캐리어 또는 세정 가스를 이용할 수도 있다. 선택적으로, 연속 및/또는 펄스이든 간에, 단일 캐리어 또는 세정 가스는 요구되는 모든 가스일 수도 있다.
연속 또는 펄스이든 간에, 각각의 캐리어 또는 세정 가스는 단일 가스 또는 둘 이상의 가스의 혼합물/조합물을 포함할 수도 있다. 가스는 웨이퍼 상에서의 증착 목적으로 유입된 화합물과 반응하지 않을 수도 있다. 선택적으로, 가스는 서로 반응하거나 예를 들어, 중간 화합물, 반응성 화합물, 및/또는 비증착 화합물을 형성하기 위해 증착 목적으로 유입된 화합물 중 하나 이상과 반응할 수도 있다. 예시적인 가스로는 아르곤, 헬륨, 질소, 산소, 및 수소를 포함한다.
CVD 모드에서, 하나 이상의 금속 함유 전구체가 노즐(530)을 통해 확장도관(134) 내로 유입되고, 세정 가스는 밸브(140A, 140B)를 통해 확장 도관(134) 내로 유동한다. 적절한 세정 가스로는 예를 들어 수소, 헬륨, 질소, 아르곤, 또는 이들의 조합물을 포함한다. 세정 가스는 역류를 방지하고, 증착 온도를 제어하고, 증착 가스의 혼합을 돕고, 그리고 증착 가스의 분포를 돕기 위해, 전구체 가스 용으로 사용되지 않더라도, 밸브(140A, 140B) 또는 노즐(530)을 통해 확장 도관(134) 내로 유동한다. 역류와 관련하여, 세정 가스는 증착 가스가 보다 낮은 압력으로 되도록 가스 분배 조립체(130) 내에 양압(positive pressure)을 제공하고, 증착 중에 노즐(530)로부터 밸브(140A, 140B)를 고립시키는 차압을 형성시킨다. 본원에서 사용되는 용어 "증착 가스"는 하나 이상의 전구체, 환원제, 반응물, 및 촉매를 포함한다. 각각의 "증착 가스"는 단일 화합물 또는 둘 이상의 화합물의 혼합물/조합물일 수도 있다.
본 발명의 실시예를 보다 더 설명하면, CLD 기술을 이용하여 질화탄탈(TaN)을 증착시키는 예시적인 프로세스가 설명된다. 먼저, 처리되는 기판(110)이 챔버 몸체(102) 내의 기판 지지부(112, support) 상에 위치된다. 그 다음, 아르곤 가스가 프로세싱 시스템(100) 내의 온도와 압력을 안정화시키기 위해 노즐(530)과 밸브(140A, 140B)를 통해 프로세싱 시스템(100) 내로 유동된다. 탄탈 함유 화합물의 하나 이상의 펄스가 교번적으로 질소 함유 화합물의 하나 이상의 펄스와 함께 제 1 밸브(140A)를 통해 확장 도관(134) 내로 유동되고, 제 2 밸브(140B)를 통해 확장 도관(134) 내로 유동된다. 이와 동시에, 예를 들어 아르곤과 같은 세정 가스가 혼합 채널(520)을 통해 확장 도관(134) 내로 유동된다.
제 1 밸브(140A)는 확장 도관(134) 내로 탄탈 함유 화합물의 하나 이상의 펄스를 약 100sccm 내지 약 1,000sccm 범위, 바람직하게 약 100sccm 내지 약 400sccm 범위의 유동 속도로 조절한다. 각각의 펄스는 약 0.5초 이하, 약 0.1초 이하, 또는 약 0.05초 이하의 시간을 갖는다. 제 2 밸브(140b)는 확장 도관(134) 내로 질소 함유 화합물의 하나 이상의 펄스를 약 0.5초 이하, 약 0.1초 이하, 또는 약 0.05초 이하의 펄스 시간 동안 약 100sccm 내지 약 1,000sccm 범위, 바람직하게 약 200sccm 내지 약 600sccm 범위의 유동 속도로 조절한다. 탄탈 함유 화합물과 질소 함유 화합물 사이의 시간은 약 0.5초 이하, 약 0.1초 이하, 또는 약 0.07초 이하일 수도 있다. 이러한 프로세스는 사이클당 약 0.5Å 내지 약 1.0Å 범위의 두께를 갖는 질화탄탈층을 형성한다. 1,000Å 이하, 바람직하게 약 20Å 이하, 보다 바람직하게 약 10Å과 같은 소정의 두께가 달성될 때까지, 상기 교번적인 과정이 반복된다.
탄탈 함유 화합물과 질소 함유 화합물은 확장 도관(134)의 내측 표면을 가로지르는 소용돌이 작용과 유사한 보르텍스(vortex) 유동 패턴(402)으로 확장 도관(134)을 통해 유동한다. 보르텍스 유동 패턴(402)은 기판(110) 표면을 향해 하류 유동(404)으로 분산된다. 가스는 그 후 챔버 리드(132)의 바닥 표면(160)과 기판(110)의 표면을 가로질러 유동한다. 아래로 경사진 챔버 리드(132)의 바닥 표면(160)은 기판(110) 표면을 가로지르는 가스 유동의 속도 변화 감소를 돕는다. 마지막으로, 가스는 챔버 몸체(102)로부터 펌핑 플레이트(162) 내에 형성된 어퍼쳐(162A)를 통해 펌핑 시스템(118) 내로 유동한다.
아르곤 세정 가스는 제 2 가스 분배 보조-조립체(500)로부터 약 100sccm 내지 약 1000sccm 범위, 바람직하게 약 100sccm 내지 약 400sccm 범위의 유동 속도로 유입된다. 아르곤 세정 가스는 확장 도관(124)의 내측 표면을 따라 유동하여 그 위에서의 응축 또는 증착을 방지한다. 세정 가스는 또한 증착 가스를 확장 도관(134) 및 챔버 리드(132)의 벽 온도로부터 차폐 또는 고립시켜, 증착 조건을 보다 잘 제어한다. 확장 도관(134) 및 챔버 리드(132)의 벽으로부터 증착 가스의 고립은 벽이 높은 온도에 있을 경우 전구체 가스의 분해 방지를 돕는다. 또한, 세정 가스는 확장 도관(134)을 통과하는 탄탈 함유 화합물과 질소 함유 화합물의 혼합을 돕는다. 또한, 세정 가스는 혼합 채널(520) 내에서 증착 가스의 역류를 방지한다.
소정의 탄탈 함유 화합물과 질소 함유 화합물이 상기 실시예에 따른 배리어 층을 형성하는데 사용될 수도 있다. 예를 들어, 탄탈 함유 화합물의 예로는; t-부틸이미노 트리스(디에틸아미노)탄탈(TBTDET); 펜타키스(에틸메틸아미노)탄탈(PEMAT); 펜타키스(디메틸아미노)탄탈(PDMAT); 펜타키스(디에틸아미노)탄탈(PDEAT); t-부틸이미노 트리스(디에틸 메틸아미노)탄탈(TBTMET); t-부틸이미노 트리스(디에틸 아미노)탄탈(TBTDMT); 비스(시클로펜타디에닐)탄탈 트리하이드라이드((Cp)2TaH3); 비스(메틸시클로펜타디에닐)탄탈 트리하이드라이드((CpMe)2TaH3); 이들의 유도체; 및 이들의 조합물을 포함한다. 추가적으로, 질소 함유 화합물의 예로는 암모니아; 히드라진; 메틸히드라진; 디메틸히드라진; t-부틸히드라진; 페닐히드라진; 아조이소부탄; 에틸아지드; 이들의 유도체; 및 이들의 조합물을 포함한다.
이들 화합물 또는 명시되지 않은 소정의 다른 적절한 화합물이 상온에서 고체, 액체, 또는 가스일 수도 있음이 이해된다. 예를 들어, PDMAT는 상온에서 고체이고 TBTDET는 상온에서 액체이다. 따라서, 비기상 전구체는 처리 챔버 내측으로의 도입 이전에 본 기술분야에서 이미 공지된, 승화 또는 증발 단계가 수행된다. 아르곤, 헬륨, 질소, 수소 또는 이들의 혼합물과 같은 캐리어 가스도 본 기술분야에 일반적으로 공지된 바와 같이, 화합물을 처리 챔버 내측으로 분배하는 것을 돕는데 사용될 수 있다.
펜타디메틸-아미노 탄탈(PDMAT)의 교번/순차 펄스에 의한 주기적인 증착공정을 사용하여 TaN 배리어 층을 200㎜ 웨이퍼 상에 형성하는 예시적인 공정에 대해 이후에 설명한다. PDMAT는 다수의 이유로 바람직한 탄탈 함유 화합물이다. PDMAT는 상당히 안정하고, 전달을 용이하게 하는 증기압을 갖는다. PDMAT는 100ppm 이하와 같은 낮은 할로겐화물 함량을 갖고 제조될 수도 있고, 30ppm 또는 심지어 5ppm 이하의 할로겐화물 함량을 갖고 제조될 수도 있다.
TaN 층을 증착하기 위해, 아르곤과 같은 불활성/세정 가스는 챔버 몸체(102) 내의 압력 및 온도를 안정화하기 위해 우선 밸브(140A, 140B) 및 노즐(530)을 통해 확장 도관(134) 내로 유동된다. 아르곤 가스는 증착 프로세스 동안 밸브(140A, 140B)를 통해 연속적으로 유동하여 단지 아르곤이 PDMAT 및 암모니아 사이로 유동한다. 유사하게, 아르곤은 증착 프로세스 동안 노즐(530)을 통해 연속적으로 유동한다.
챔버 압력 및 온도가 약 1토르 내지 약 5토르 및 약 200℃ 내지 약 300℃ 범위에서 안정화된 후에, PDMAT의 제 1 펄스가 약 100sccm 내지 약 400sccm 범위의 유동 속도에서 제 1 밸브(140A)를 통해 확장 도관(134)에 제공되고, 펄스 시간은 약 0.6초 이하이다. 암모니아 펄스는 그 후 약 200sccm 내지 약 600sccm 범위의 유동 속도로 제 2 밸브(140B)를 통해 확장 도관(134)에 제공되고 펄스 시간은 약 0.6초 이하이다.
PDMAT와 암모니아의 펄스 사이의 휴지기는 약 1.0초 이하, 약 0.5초 이하, 또는 약 0.1초 이하이다. 암모니아 펄스 후의 휴지기는 약 1.0초 이하, 약 0.5초 이하, 또는 약 0.1초 이하이다. 아르곤 가스는 각각의 밸브(140A, 140B)를 통해 약 100sccm 내지 약 400sccm 범위와 같이, 약 100sccm 내지 약 1000sccm 범위로 유동한다. 일 측면에서, PDMAT 펄스의 적어도 일부분은 암모니아 펄스의 적어도 일부분이 유입될 때 반응 영역 내에 여전히 존재하여 소정의 공동 반응 또는 기상 공동 반응이 발생한다. 또다른 측면에서, 세정 가스 및/또는 펌프 배기 기간은 PDMAT 및 암모니아 펄스가 반응 영역에서 서로 혼합되는 것을 방지하도록 설정된다.
기판 온도는 약 1.0 Torr 및 약 5.0 Torr 사이의 챔버 압력에서 약 100℃와 약 300℃ 사이로 유지된다. PDMAT의 펄스, 휴지기, 암모니아의 펄스, 및 휴지기로 이루어지는 각각의 사이클은 사이클당 약 0.3Å과 약 1.0Å 사이의 두께를 가지는 탄탈 질화물 층을 제공한다. 약 10Å과 같이 약 20Å 보다 작은 소정의 두께가 달성될 때까지 교번적인 과정이 반복된다. 따라서, 증착 방법은 10 내지 70 사이클 사이를 요구하며 더욱 통상적으로는 20 내지 30 사이클 사이를 요구한다.
접착 층을 증착하기 위해 CVD 모드를 참조하면, 세정 가스는 밸브(1450A, 140B)를 관통하여 유동하는 반면, 증착 가스는 노즐(530)을 통하여 확장 도관(134)으로 관통하여 유동한다. 접착 층은 본 기술분야에 공지되거나 아직 발견되지 않은 어떠한 타입의 재료를 포함할 수 있다. 그러나, 설명의 명료성과 용이성을 위해, 본 발명은 알루미늄을 함유하는 접착 층을 증착하는 것을 참조하여 설명된다.
유용한 알루미늄 함유 전구체는 예를 들면 디메틸 알루미늄 하이드라이드(DMAH) 및 트리메틸알루미늄(TMA)을 포함한다. 접착 층은 다양한 조건 하에서 증착될 수 있지만, 통상적인 프로세스는 약 1 Torr 내지 약 80 Torr의 압력에서 약 150℃와 약 300℃ 사이의 웨이퍼 온도를 포함한다. 증착 속도는 통상적으로 약 20Å/sec 내지 약 150Å/sec 이다.
하나의 양태에서, DMAH는 약 100 sccm과 약 2,000 sccm 사이의 속도로 제 1 가스 유입구(525)를 통하여 혼합 채널(520)로 유입되는 반면, 예를 들면 수소와 같은 환원 가스는 약 100 sccm과 약 2,000 sccm 사이의 속도로 제 2 가스 유입구(526)를 관통하여 혼합 채널(520)로 유동한다. 두 개의 증착 가스가 노즐(530)을 통하여 확장 도관(134)으로 균등하게 유동하기 전에 두 개의 증착 가스가 혼합 채널(520)내에서 혼합된다.
CVD 모드 동안, 예를 들면 아르곤과 같은 세정 가스가 밸브(140A, 140B)를 통하여 확장 도관(134)으로 유동하여 혼합을 증진시키고 기판 표면(110)을 가로질러 DMAH와 수소 가스로 더 향상된 분배를 제공한다. 세정 가스는 또한 밸브(140A, 140B)로의 증착 가스(DMAH 및 수소)의 역류를 방지한다. 하나의 양태에서, 밸브(140A, 140B)는 파형 효과(wave-like effect)를 발생시키기 위해 확장 도관(134)으로 아르곤 가스를 진동시킬 수 있다. 파형 효과는 증착 가스를 진동시켜 더 향상된 혼합을 제공한다. 또 다른 실시예에서, 밸브(140A, 140B)는 확장 도관(134)으로 아르곤의 연속 유동을 전달하기 위해 "온(on)"이 될 수 있다.
도 8a 내지 도 8d는 상이한 제조 단계에서 전형적인 상호 접점 구조물(800)을 개략적으로 나타내며 여기서 설명되는 실시예에 따른 전형적인 제조 프로세스를 설명하기 위해 제시된다. 도 8a는 하부 금속 층(810)을 보여주며 이 하부 금속 층에는 유전체 층(812)이 형성된다. 하부 금속 층(810)은 예를 들면 알루미늄, 구리, 텅스텐 또는 이들의 조합물과 같은 어떠한 전도성 금속을 포함할 수 있으며 플러그, 비어(via), 콘택, 라인, 와이어와 같은 상호 접점 미세구조물의 부분을 형성할 수 있으며, 또한 금속 게이트 전극의 부분일 수 있다. 도 8b는 하부 금속 층(810)에 적어도 부분적으로 증착되는 배리어 층(830)을 보여준다. 도 8c는 배리어 층(830)에 적어도 부분적으로 증착되는 접착 층(840)을 보여주며 도 8d는 접착 층(840)에 적어도 부분적으로 증착되는 벌크 금속 층(850)을 보여준다.
도 8a를 참조하면, 유전체 층(812)은 현재 공지되어 있거나 아직 발견되지 않은, 낮은 k 유전체 재료(k≤4.0)를 포함하는 유전체 재료일 수 있다. 유전체 층(812)은 예를 들면 실리콘 산화물 또는 탄소가 도핑된 실리콘 산화물일 수 있다. 유전체 층(812)은 종래의 매우 공지된 기술을 이용하여 유전체 층에미세구조물(814)을 형성하기 위해 에칭된다. 미세구조물(814)은 플러그, 비어, 콘택트, 라인, 와이어, 또는 어떠한 다른 상호 접점 소자일 수 있다. 통상적으로, 미세구조물(814)은 수직 측벽(816) 및 바닥(818)을 가지며 이는 약 6 : 1과 같이 약 4 : 1 또는 그 이상의 종횡비를 갖는다. 바닥(818)은 하부 레벨 금속 상호 접점부(810)의 적어도 일 부분을 노출한다.
도 8b를 참조하면, 배리어 층(830)은 CLD 기술을 이용하여 미세구조물(814)의 측벽(816) 뿐만 아니라 바닥(818)에 동일한 형상으로 증착된다. 바람직하게는, 배리어 층(830)은 탄탈 질화물을 포함하며 프로세싱 시스템(100)내에 전술한 바와 같이 증착된다.
배리어 층(830)을 증착하기 전에, 패턴화 또는 에칭된 기판 유전체 층(812)은 표면으로부터 자연산화물 또는 다른 오염물을 제거하도록 세정될 수 있다. 예를 들면, 반응 가스가 캘리포니아, 산타 클라라에 소재한 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 반응 예비세정 챔버와 같은 원격 플라즈마 공급원 챔버내에서 플라즈마로 여기된다. 예비 세정은 또한 원격 플라즈마 공급원을 연결함으로써 금속 CVD 또는 PVD내에서 수행될 수 있다. 이와 달리, 가스 분배 시스템을 가지는 금속 증착 챔버는 기판 위에 위치된 가스 분배 샤워헤드와 같은 현존하는 가스 유입구를 통하여 예비 세정 가스 플라즈마를 전달하도록 변형될 수 있다.
하나의 양태에서, 반응 예비 세정 프로세스는 아르곤, 헬륨, 수소, 질소, 불소를 포함하는 혼합물과 같은 하나 이상의 가스 플라즈마로부터 라디칼을 형성한다. 예를 들면, 가스는 테트라플루오르카본(CF4) 및 산소(O2)의 혼합물 또는 헬륨(He) 및 트리플루오르화 질소(NF3)의 혼합물을 포함할 수 있다. 더욱 바람직하게는, 가스는 헬륨과 트리플루오르화 질소의 혼합물이다.
아르곤 플라즈마에 이어, 챔버 압력은 약 140 mTorr로 증가되고 필수적으로 수소와 헬륨으로 이루어지는 프로세싱 가스는 프로세싱 영역으로 도입된다. 바람직하게는, 프로세싱 가스는 약 5 % 수소 및 약 95 % 헬륨을 포함한다. 수소 플라즈마는 약 50 watts와 약 500 watts 전력 사이로 인가됨으로써 발생된다. 수소 플라즈마는 약 10 초 내지 약 30 초 동안 유지된다.
접착 층(840)은 전술된 실시예에 따라 증착된 알루미늄을 포함할 수 있다. 접착 층(840)은 전술된 실시예에 따라 증착된 알루미늄을 포함할 수도 있다. 접착 층(840)이 증착된 후, 기판(800)이 도 8d에 도시된 금속 층(850)을 증착함으로써 금속화를 완료하도록 개별적인 프로세싱 챔버로 전달된다.
이와 달리, 금속 층(850)이 CLD 또는 CVD 기술을 이용하여 증착되어지는 경우 금속 층(850)은 기판(800)을 전달하기 위한 요구 없이 프로세싱 시스템(100)내에 증착될 수 있다. 매우 종종, 금속 층(850)은 구리이며 PVD, 도금, 무전해 기술을 이용하여 증착된다. 바람직하게는, 구리 층(850)은 캘리포니아, 산타 클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수 가능한 일렉트라 구리 이씨피 시스템(Electra Cu ECP system)과 같은 전기도금 셀내에서 형성된다. 일렉트라 구리 이씨피 시스템은 또한 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 엔듀라 플랫포옴(Endura platform)으로 집적된다.
구리 전해질 용액 및 구리 전기 도금 기술은 본 명세서에서 참조문헌으로서 참조되고 제목이 "전기 증착 화학(Electrodeposition Chemistry)"이며 일반적으로 양도된 미국 특허 제 6,113,771호에서 설명된다. 통상적으로, 전기 도금욕은 약 0.7 M 이상의 구리 농도, 약 0.85의 황산동 농도 및 약 1.75의 pH를 가진다. 전기 도금욕은 또한 본 기술분야에서 널리 알려진 다양한 첨가물을 포함할 수 있다. 도금욕의 온도는 약 15℃와 약 25℃ 사이이다. 바이어스는 약 -15 volts 내지 약 15 volts 사이이다. 하나의 양태에서, 약 0.1 volts로부터 약 10 volts 까지의 양의 바이어스 범위를 가지며 음의 바이어스는 약 0.1 내지 약 -10 volts의 범위를 가진다.
선택적으로, 어닐링 처리는 금속층(850) 증착을 다음과 같이 수행할 수 있으며 기판은 약 10 분 내지 약 1 시간 동안, 바람직하게는 약 30 분 동안 약 100 ℃와 약 400 ℃ 사이의 온도로 처리된다. 헬륨, 수소, 질소 또는 이들의 혼합물과 같은 캐리어/세정 가스가 약 100 sccm 내지 약 1,000 sccm의 속도로 유입된다. 챔버 압력은 약 2 Torr 및 약 10 Torr 사이로 유지된다. RF 파워는 약 13.56 MHz의 주파수에서 약 200 W 내지 약 1,000 W이며, 바람직한 기판 간격은 약 300 mils 와 약 800 mils이다.
금속배선화에 이어, 결론적인 구조물의 상부가 평탄화될 수 있다. 화학 기계 폴리싱(CMP) 장치는 예를 들면 캘리포니아 산타 클라라의 어플라이드 머티어리얼스 인코포레이티드로부터 입수가능한 미라TM시스템(MirraTMsystem)과 같은 것이다. 선택적으로, 구조물의 중간면은 전술된 후속 층의 증착 사이가 평탄화될 수 있다.
도 9는 전형적인 다중 챔버 프로세싱 시스템(900)의 개략적인 평면도이며 이는 전술된 제조 순서를 수행하도록 적용될 수 있다. 이 같은 프로세싱 시스템(900)은 캘리포니아 산타 클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 엔듀라 시스템일 수 있다. 유사한 다중 챔버 프로세싱 시스템이 본 명세서에서 참조문헌으로 첨부되고 1993년 2월 16일에 허여되며 명칭이 "기판 진공 웨이퍼 프로세싱 시스템 및 방법"인 미국 특허 제 5,186,718호에 설명되어 있다.
시스템(900)은 전체적으로 두 개 이상의 로드 락 챔버(902, 904), 두 개 이상의 전달 챔버(922, 924), 두 개 이상의 전달 로봇(910, 930) 및 다수의 프로세싱 챔버(912, 914, 916, 918, 932, 934, 936, 938)를 포함한다. 로드 락 챔버(902, 904)는 기판을 시스템(900) 내외로 전달한다. 통상적으로, 시스템(900)이 진공하에 있으므로, 로드 락 챔버(902, 904)가 시스템(900)으로 유입된 기판을 "펌프 다운(pump down)"한다.
제 1 로봇(910)은 로드 락 챔버(902, 904) 및 하나 이상의 기판 프로세싱 챔버(912, 914, 916, 918)(4개가 도시됨) 사이로 기판을 전달한다. 각각의 프로세싱 챔버(912, 914, 916, 918)는 전술된 바와 같은 CLD 및 CVD와 같은, 이중 증착 프로세스를 수행할 수 있는 성능을 가진다. 이와 달리, 각각의 프로세싱 챔버(912, 914, 916, 918)는 주기적인 층 증착, 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 어닐링, 예비 세정, 탈가스, 배향 및 다른 기판 프로세스와 같은 다수의 단일 프로세싱 작업을 수행하도록 공급될 수 있다.
제 1 로봇(910)은 또한 하나 이상의 전달 챔버(922, 924)로/로부터 기판을 전달한다. 전달 챔버(922, 924)는 최고 진공 상태를 유지하기 위해 이용되고 기판이 시스템(900)내로 전달되는 것을 허용한다. 제 2 로봇(930)은 기판을 전달 챔버(922, 924) 및 하나 이상의 프로세싱 챔버(932, 934, 936, 938)의 제 2 세트 사이로 전달할 수 있다.
프로세싱 챔버(912, 914, 916, 918)와 유사하게, 프로세싱 챔버(932, 934, 936, 938)는 전술된 바와 같은 CLD와 CVD와 같은 이중 증착 프로세스를 수행하는 성능을 가진다. 이와 달리, 프로세싱 챔버(932, 934, 936, 938)가 예를 들면, 주기적인 층 증착, 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 어닐링, 예비 세정, 탈가스, 및 배향과 같은 다양한 단일 프로세싱 작동을 수행하기 위해 제공될 수 있다. 시스템(900)에 의해 수행되어지는 특별한 프로세스를 위해 필요하지 않은 경우 어떠한 기판 프로세싱 챔버(912, 914, 916, 919, 932, 936, 938)가 시스템(900)으로부터 제거될 수 있다.
특별한 배치에서, 프로세싱 챔버(932, 934, 936 및 938)는 CVD 모드에 있는 접착 층을 증착함으로써 후속되는 CLD 모드에 있는 배리어 층을 증착하도록 적용된, 전술된 바와 같은 이중 CLD 및 CVD 프로세싱 시스템이다. 프로세싱 챔버(912및 914)는 물리 기상 증착 챔버, 화학 기상 증착 챔버, 또는 유전체 층을 증착하도록 적용된 주기적인 증착 챔버일 수 있다. 프로세싱 챔버(916 및 918)는 상호 접점 미세구조물을 위한 어퍼쳐 또는 개구로 외장된 챔버를 에칭할 수 있다. 시스템(900)의 이러한 하나의 특별한 배치가 예시적인 목적으로만 제공되고 발명의 범위를 제한하는데는 이용되지 않는다.
전술된 것이 본 발명의 바람직한 실시예에 관한 것이지만 본 발명의 다른 추가적인 실시예가 본 발명의 기본적인 범주로부터 벗어나지 않고 발명될 수 있으며 본 발명의 범위는 다음의 청구범위에 의해 결정된다.
그러므로, 본원 발명에 의해 다중 증착기술을 사용하여 다층 재료를 인-시츄 방식으로 증착시키기 위한 신규한 방법 및 장치를 제공할 수 있다. 따라서, 본 발명에 의해 다수의 처리 챔버들 사이로 기판을 이송할 필요성을 제거하며 공동의 형성 가능성을 감소시킨다.

Claims (20)

  1. 다수의 증착 공정을 수행할 수 있는 장치로서:
    챔버 몸체; 및
    가스 분배 조립체를 포함하며;
    상기 가스 분배 조립체는:
    상기 챔버 몸체와 유체 연통되는 가스 도관;
    상기 가스 도관과 유체 연동되며 둘 이상의 가스를 상기 가스 도관내로 교번적으로 펄스시키는 하나 이상의 고속 작동 밸브를 구비하는 둘 이상의 분리된 가스 유입구; 및
    상기 가스 도관과 유체 연통되며 하나 이상의 화합물의 연속적인 유동을 상기 가스 도관내로 공급하는 혼합 채널을 포함하는 다수의 증착 공정 수행 장치.
  2. 제 1 항에 있어서, 상기 가스 도관의 내경이 점차적으로 증대되는 다수의 증착 공정 수행 장치.
  3. 제 1 항에 있어서, 상기 가스 도관은 절두원추 형상을 가지는 다수의 증착 공정 수행 장치.
  4. 제 1 항에 있어서, 상기 혼합 채널은 그 혼합 채널내에 형성된 하나 이상의 통로를 통해 상기 가스 도관과 유체 연통되는 다수의 증착 공정 수행 장치.
  5. 제 1 항에 있어서, 상기 가스 분배 조립체는 상기 챔버 몸체상에 배치된 리드 플레이트를 더 포함하는 다수의 증착 공정 수행 장치.
  6. 제 1 항에 있어서, 상기 가스 분배 조립체는 상기 리드 플레이트상에 배치된 절연 플레이트를 더 포함하는 다수의 증착 공정 수행 장치.
  7. 다수의 증착 공정을 수행할 수 있는 장치로서:
    챔버 몸체; 및
    가스 분배 조립체를 포함하며;
    상기 가스 분배 조립체는:
    상기 챔버 몸체와 유체 연통되는 가스 도관;
    하나 이상의 고속 작동 밸브를 각각 구비하며, 상기 가스 도관의 제 1 단부와 유체 연통되는 둘 이상의 분리된 유동 경로; 및
    내부에 형성된 하나 이상의 통로를 통해 상기 가스 도관과 유체 연통되며, 상가 가스 도관의 제 2 단부 주위에 배치된 하나 이상의 환형 혼합 채널을 포함하며;
    상기 유동 경로들은 상기 가스 분배 조립체내에 생성된 압력차에 의해 상기혼합 채널과 분리되는 다수의 증착 공정 수행 장치.
  8. 제 7 항에 있어서, 상기 가스 분배 조립체는 상기 챔버 몸체상에 배치된 리드 플레이트를 더 포함하고, 상기 리드 플레이트는 상기 챔버 몸체내에서 가스를 균일하게 분포시키는 것을 돕기 위한 원뿔형의 오목한 하부 표면을 가지는 다수의 증착 공정 수행 장치.
  9. 제 7 항에 있어서, 상기 가스 분배 조립체는 상기 리드 플레이트상에 배치된 열적 플레이트를 포함하는 다수의 증착 공정 수행 장치.
  10. 제 7 항에 있어서, 상기 하나 이상의 통로는 상기 혼합 채널의 내측 벽내에 형성된 다수의 노즐을 포함하는 다수의 증착 공정 수행 장치.
  11. 제 10 항에 있어서, 상기 노즐들은 상기 가스 도관에 대해 실질적으로 수직으로 배치된 다수의 증착 공정 수행 장치.
  12. 제 10 항에 있어서, 상기 노즐들은 상기 가스 도관에 대해 각도를 이루어 배치되는 다수의 증착 공정 수행 장치.
  13. 제 7 항에 있어서, 상기 하나 이상의 통로는 상기 혼합 채널의 내측벽내에배치된 갭을 포함하는 다수의 증착 공정 수행 장치.
  14. 제 13 항에 있어서, 상기 갭은 그 갭을 통과하는 유체 유동를 제어하기 위해 가변적인 높이를 가지는 다수의 증착 공정 수행 장치.
  15. 제 7 항에 있어서, 상기 가스 도관의 내경은 유입구로부터 배출구까지 점차적으로 증대되는 다수의 증착 공정 수행 장치.
  16. 단일 처리 챔버내에서 다수의 증착 공정을 수행함으로써 기판 표면에 다수의 층을 증착하는 방법으로서:
    처리될 기판 표면을 챔버 몸체내에 위치시키는 단계;
    둘 이상의 화합물을 교번적인 펄스로 상기 챔버 몸체내로 공급하여 제 1 재료를 포함하는 필름을 상기 기판 표면상에 증착하는 단계; 및
    그 후에, 둘 이상의 상이한 화합물을 동일한 챔버 몸체내로 공급하여 제 2 재료를 포함하는 필름을 상기 제 1 재료상에 증착하는 단계를 포함하는 다수 층 증착 방법.
  17. 제 16 항에 있어서, 불활성 세정 가스가 펄스된 화합물과 함께 연속적으로 공급되는 다수 층 증착 방법.
  18. 제 16 항에 있어서, 상기 둘 이상의 화합물의 펄스들은 휴지기에 의해 분리되는 다수 층 증착 방법.
  19. 제 16 항에 있어서, 상기 둘 이상의 화합물의 펄스는 챔버내로의 공급중에 중첩되는 다수 층 증착 방법.
  20. 제 16 항에 있어서, 상기 제 2 재료는 상기 제 1 물질과 추가로 반응하여 제 3 재료를 형성하는 다수 층 증착 방법.
KR1020030080486A 2002-11-14 2003-11-14 혼합 화학 프로세스를 위한 장치 및 방법 KR101151192B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US42613402P 2002-11-14 2002-11-14
US60/426,134 2002-11-14

Publications (2)

Publication Number Publication Date
KR20040042892A true KR20040042892A (ko) 2004-05-20
KR101151192B1 KR101151192B1 (ko) 2012-06-08

Family

ID=32176759

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030080486A KR101151192B1 (ko) 2002-11-14 2003-11-14 혼합 화학 프로세스를 위한 장치 및 방법

Country Status (4)

Country Link
US (4) US7204886B2 (ko)
EP (1) EP1420080A3 (ko)
JP (1) JP4925558B2 (ko)
KR (1) KR101151192B1 (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009117612A2 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Shielded lid heater assembly
WO2011112617A3 (en) * 2010-03-12 2011-11-24 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US8920599B2 (en) 2010-10-19 2014-12-30 Applied Materials, Inc. High efficiency gas dissociation in inductively coupled plasma reactor with improved uniformity
KR20160048396A (ko) * 2014-10-24 2016-05-04 주식회사 원익아이피에스 박막의 형성 장치 및 방법
US10595365B2 (en) 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
KR20200103861A (ko) * 2018-01-24 2020-09-02 어플라이드 머티어리얼스, 인코포레이티드 개선된 라디칼 농도들을 위한 측면 주입부 설계들

Families Citing this family (478)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
JP4553471B2 (ja) * 2000-09-19 2010-09-29 東京エレクトロン株式会社 処理装置及び処理システム
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050150452A1 (en) * 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060093746A1 (en) * 2004-11-04 2006-05-04 Tokyo Electron Limited Method and apparatus for atomic layer deposition
US20060137608A1 (en) * 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
JP4584722B2 (ja) * 2005-01-13 2010-11-24 シャープ株式会社 プラズマ処理装置および同装置により製造された半導体素子
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
JP4931173B2 (ja) * 2005-03-03 2012-05-16 株式会社アルバック タンタル窒化物膜の形成方法
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US8815014B2 (en) * 2005-11-18 2014-08-26 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
WO2007084493A2 (en) * 2006-01-19 2007-07-26 Asm America, Inc. High temperature ald inlet manifold
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
KR100794661B1 (ko) * 2006-08-18 2008-01-14 삼성전자주식회사 기판 처리 장치 및 그 장치의 세정 방법
JP5125031B2 (ja) * 2006-08-29 2013-01-23 東京エレクトロン株式会社 真空処理装置及び真空処理方法
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US8082741B2 (en) * 2007-05-15 2011-12-27 Brooks Automation, Inc. Integral facet cryopump, water vapor pump, or high vacuum pump
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
CN101802254B (zh) * 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
KR101004822B1 (ko) * 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5457021B2 (ja) * 2008-12-22 2014-04-02 東京エレクトロン株式会社 混合ガスの供給方法及び混合ガスの供給装置
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101245769B1 (ko) * 2009-07-28 2013-03-20 엘아이지에이디피 주식회사 화학기상증착장치, 화학기상증착장치용 가이드부재 및 화학기상증착장치를 이용한 박막제조방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102763198B (zh) * 2009-09-25 2015-05-06 应用材料公司 感应耦合等离子体反应器中的高效气体离解的方法和设备
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US20120141667A1 (en) * 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
WO2012118897A2 (en) * 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
KR101819721B1 (ko) * 2011-04-07 2018-02-28 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5785813B2 (ja) * 2011-08-10 2015-09-30 株式会社フジキン 流体制御装置
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
KR20130095421A (ko) * 2012-02-20 2013-08-28 삼성전자주식회사 전구물질 기화 장치 및 이를 이용한 막 형성 방법
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US10233541B2 (en) 2012-06-29 2019-03-19 Applied Materials, Inc. Deposition of films containing alkaline earth metals
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
TW201437423A (zh) * 2013-02-21 2014-10-01 Applied Materials Inc 用於注射器至基板的空隙控制之裝置及方法
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8748322B1 (en) * 2013-04-16 2014-06-10 Applied Materials, Inc. Silicon oxide recess etch
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478411B2 (en) * 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) * 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9920844B2 (en) 2014-11-26 2018-03-20 Lam Research Corporation Valve manifold deadleg elimination via reentrant flow path
US9631276B2 (en) * 2014-11-26 2017-04-25 Lam Research Corporation Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP5916909B1 (ja) * 2015-02-06 2016-05-11 株式会社日立国際電気 基板処理装置、ガス整流部、半導体装置の製造方法およびプログラム
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6487747B2 (ja) * 2015-03-26 2019-03-20 株式会社Screenホールディングス 基板処理装置と処理ガス供給ノズル
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
KR20160147482A (ko) * 2015-06-15 2016-12-23 삼성전자주식회사 가스 혼합부를 갖는 반도체 소자 제조 설비
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
CN104962880B (zh) * 2015-07-31 2017-12-01 合肥京东方光电科技有限公司 一种气相沉积设备
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102381344B1 (ko) 2015-09-18 2022-03-31 삼성전자주식회사 캠형 가스 혼합부 및 이것을 포함하는 반도체 소자 제조 장치들
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
TWI811284B (zh) * 2018-01-24 2023-08-11 美商應用材料股份有限公司 腔室入口組件、入口構件及包括此腔室入口組件的基板處理系統
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US11661654B2 (en) 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11118262B2 (en) * 2018-10-11 2021-09-14 Asm Ip Holding B.V. Substrate processing apparatus having a gas-mixing manifold
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
WO2020086175A1 (en) 2018-10-25 2020-04-30 Applied Materials, Inc. Methods for depositing metallic iridium and iridium silicide
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR102610827B1 (ko) * 2018-12-20 2023-12-07 어플라이드 머티어리얼스, 인코포레이티드 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
WO2020146047A1 (en) * 2019-01-08 2020-07-16 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11152455B2 (en) * 2019-09-23 2021-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method to reduce breakdown failure in a MIM capacitor
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11939666B2 (en) * 2020-06-01 2024-03-26 Applied Materials, Inc. Methods and apparatus for precleaning and treating wafer surfaces
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP7071445B2 (ja) * 2020-07-13 2022-05-19 アプライド マテリアルズ インコーポレイテッド 半導体処理チャンバマルチステージミキシング装置
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
CN114164412B (zh) * 2020-09-10 2024-03-08 鑫天虹(厦门)科技有限公司 半导体原子层沉积装置的喷洒头结构
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11430729B2 (en) 2020-09-16 2022-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. MIM capacitor with a symmetrical capacitor insulator structure
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
JPS63227011A (ja) 1987-03-17 1988-09-21 Fujitsu Ltd 化学気相成長装置
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
DE3743938C2 (de) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
DE3801147A1 (de) 1988-01-16 1989-07-27 Philips Patentverwaltung Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
FR2628985B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
JPH07101685B2 (ja) * 1989-01-26 1995-11-01 富士通株式会社 マイクロ波プラズマ処理装置
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
JPH0487323A (ja) * 1990-07-31 1992-03-19 Mitsubishi Electric Corp Cvd装置
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
US5173327A (en) * 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
DE4124018C1 (ko) 1991-07-19 1992-11-19 Leybold Ag, 6450 Hanau, De
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JP3081860B2 (ja) * 1992-10-12 2000-08-28 菱電セミコンダクタシステムエンジニアリング株式会社 化学気相成長装置及び半導体装置の製造方法
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH07115064A (ja) 1993-08-25 1995-05-02 Tokyo Electron Ltd 成膜装置及び成膜方法並びに成膜装置の洗浄方法
JP3181171B2 (ja) 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US5906683A (en) 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
AUPO129096A0 (en) * 1996-07-26 1996-08-22 Boc Gases Australia Limited Oxygen dissolver for pipelines or pipe outlets
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US5887117A (en) * 1997-01-02 1999-03-23 Sharp Kabushiki Kaisha Flash evaporator
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JP3341619B2 (ja) * 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
JPH10306377A (ja) 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
FI972874A0 (fi) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning foer framstaellning av tunnfilmer
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
JP4097747B2 (ja) * 1997-08-07 2008-06-11 株式会社アルバック バリア膜形成方法
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6296711B1 (en) 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
KR100282853B1 (ko) 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
KR100267885B1 (ko) 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
NL1009327C2 (nl) 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6520218B1 (en) * 1998-09-03 2003-02-18 Advanced Technology Materials, Inc. Container chemical guard
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
DE29923250U1 (de) * 1998-12-24 2000-08-31 Ispo Gmbh Form- oder Beschichtungsmaterial
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP2000248361A (ja) * 1999-03-01 2000-09-12 Anelva Corp Cu−CVDプロセス用原料
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
JP4220075B2 (ja) * 1999-08-20 2009-02-04 東京エレクトロン株式会社 成膜方法および成膜装置
JP3909792B2 (ja) 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
FR2799485B1 (fr) * 1999-10-11 2001-11-23 Piscines Desjoyaux Sa Systeme de canalisation pour dispositif de filtration et de pompage de l'eau d'un bassin de piscine
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6548112B1 (en) 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
EP1233983A2 (en) * 1999-11-22 2002-08-28 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6452338B1 (en) 1999-12-13 2002-09-17 Semequip, Inc. Electron beam ion source with integral low-temperature vaporizer
KR100705926B1 (ko) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
FI118474B (fi) 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
FI118805B (fi) 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
KR100427423B1 (ko) * 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Cvd용 인너튜브
EP1292970B1 (en) * 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6716302B2 (en) * 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
JP2002252219A (ja) * 2001-02-26 2002-09-06 Tokyo Electron Ltd 成膜装置及び成膜方法
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6561498B2 (en) 2001-04-09 2003-05-13 Lorex Industries, Inc. Bubbler for use in vapor generation systems
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
JP4680429B2 (ja) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
TW539822B (en) * 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US7105444B2 (en) * 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
AU2002333601A1 (en) * 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6797108B2 (en) 2001-10-05 2004-09-28 Applied Materials, Inc. Apparatus and method for evenly flowing processing gas onto a semiconductor wafer
TW512504B (en) * 2001-10-12 2002-12-01 Advanced Semiconductor Eng Package substrate having protruded and recessed side edge
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
WO2003044242A2 (en) * 2001-11-16 2003-05-30 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6778762B1 (en) 2002-04-17 2004-08-17 Novellus Systems, Inc. Sloped chamber top for substrate processing
US20030203616A1 (en) * 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
KR100476926B1 (ko) 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6818094B2 (en) 2003-01-29 2004-11-16 Applied Materials, Inc. Reciprocating gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6868859B2 (en) 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US6941963B2 (en) * 2003-06-26 2005-09-13 Planar Systems, Inc. High-speed diaphragm valve for atomic layer deposition
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) * 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7317229B2 (en) * 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009117612A2 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Shielded lid heater assembly
WO2009117612A3 (en) * 2008-03-21 2009-12-10 Applied Materials, Inc. Shielded lid heater assembly
US8419893B2 (en) 2008-03-21 2013-04-16 Applied Materials, Inc. Shielded lid heater assembly
US10083816B2 (en) 2008-03-21 2018-09-25 Applied Materials, Inc. Shielded lid heater assembly
WO2011112617A3 (en) * 2010-03-12 2011-11-24 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US9175394B2 (en) 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US8920599B2 (en) 2010-10-19 2014-12-30 Applied Materials, Inc. High efficiency gas dissociation in inductively coupled plasma reactor with improved uniformity
US10595365B2 (en) 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
KR20160048396A (ko) * 2014-10-24 2016-05-04 주식회사 원익아이피에스 박막의 형성 장치 및 방법
KR20200103861A (ko) * 2018-01-24 2020-09-02 어플라이드 머티어리얼스, 인코포레이티드 개선된 라디칼 농도들을 위한 측면 주입부 설계들

Also Published As

Publication number Publication date
EP1420080A2 (en) 2004-05-19
US7591907B2 (en) 2009-09-22
JP4925558B2 (ja) 2012-04-25
EP1420080A3 (en) 2005-11-09
US20070151514A1 (en) 2007-07-05
KR101151192B1 (ko) 2012-06-08
US7204886B2 (en) 2007-04-17
US20090308318A1 (en) 2009-12-17
JP2004214622A (ja) 2004-07-29
US20080274299A1 (en) 2008-11-06
US20040144311A1 (en) 2004-07-29
US8070879B2 (en) 2011-12-06
US7402210B2 (en) 2008-07-22

Similar Documents

Publication Publication Date Title
KR101151192B1 (ko) 혼합 화학 프로세스를 위한 장치 및 방법
US7850779B2 (en) Apparatus and process for plasma-enhanced atomic layer deposition
US7699023B2 (en) Gas delivery apparatus for atomic layer deposition
EP1444380B1 (en) Gas delivery apparatus for atomic layer deposition
US6998014B2 (en) Apparatus and method for plasma assisted deposition
US7211508B2 (en) Atomic layer deposition of tantalum based barrier materials
US7244683B2 (en) Integration of ALD/CVD barriers with porous low k materials
JP5889806B2 (ja) 複式噴射を伴う原子層堆積チャンバ
US20100120245A1 (en) Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
JP2015028218A (ja) 原子層堆積装置
KR101448447B1 (ko) 원자 층 증착을 위한 보텍스 챔버 리드

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180510

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190430

Year of fee payment: 8