KR102550236B1 - 유기 막들의 퇴적 - Google Patents

유기 막들의 퇴적 Download PDF

Info

Publication number
KR102550236B1
KR102550236B1 KR1020220094764A KR20220094764A KR102550236B1 KR 102550236 B1 KR102550236 B1 KR 102550236B1 KR 1020220094764 A KR1020220094764 A KR 1020220094764A KR 20220094764 A KR20220094764 A KR 20220094764A KR 102550236 B1 KR102550236 B1 KR 102550236B1
Authority
KR
South Korea
Prior art keywords
substrate
organic film
film
metal
deposition
Prior art date
Application number
KR1020220094764A
Other languages
English (en)
Other versions
KR20220113889A (ko
Inventor
에바 이. 토이스
히데미 스에모리
빌리아미 제이. 포레
수비 피. 하우카
바룬 샤마
얀 빌럼 마스
델피너 롱리
크시슈토프 카헬
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/170,769 external-priority patent/US10373820B2/en
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20220113889A publication Critical patent/KR20220113889A/ko
Priority to KR1020230082985A priority Critical patent/KR20230107155A/ko
Application granted granted Critical
Publication of KR102550236B1 publication Critical patent/KR102550236B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본원에서는 유기 막들의 퇴적을 위한 공정들이 제공된다. 유기 막들이 퇴적될 수 있고, 기판의 제1 표면 상에 상기 기판의 제2 표면에 대하여 선택적인 퇴적을 포함한다. 예를 들어, 고분자 막들이 제1 금속 표면 상에 제2 유전체 표면에 대하여 선택적으로 퇴적될 수 있다. 상이한 층들 상의 상대적인 두께로 측정되는 선택도는 약 50% 또는 심지어 약 90% 보다 높은 값이 달성된다. 상기 선택적으로 퇴적된 유기 막은 상기 공정을 완전하게 선택적이게 하기 위하여 식각 공정을 거칠 수 있다. 선택도와 무관하게 특정한 유기 막 재료들에 대한 공정들이 또한 제공된다. 선택적인 유기 막들을 이용하는 마스크 응용들이 제공된다. 금속 침투 및/또는 탄소 제거와 같은 상기 유기 막들의 퇴적 후 변경이 또한 개시된다.

Description

유기 막들의 퇴적 {Deposition of organic films}
본 출원은 "DEPOSITION OF ORGANIC FILMS"라는 명칭으로 2016년 6월 1일 출원된 미국 출원 제15/170,769호의 일부 계속 출원(Continuation-In-Part)이며, 이의 개시의 전문이 여기 참조문헌으로서 병합된다. 본 출원은 또한 "VAPOR PHASE DEPOSITION OF ORGANIC FILMS"라는 명칭으로 2016년 3월 15일 출원된 미국 출원 제15/070,594호, 및 "VAPOR PHASE DEPOSITION OF ORGANIC FILMS"라는 명칭으로 2015년 10월 9일 출원된 미국 출원 제14/879,962호와 관련되며, 이들의 개시 전문은 여기 참조문헌으로서 병합된다.
본 개시는 유기 박막들의 퇴적에 관한 것으로서, 기판의 제1 표면 상에 제2 표면에 대하여 선택적인 퇴적을 포함한다. 선택성(selectivity)에 무관하게 특정 유기 박막 재료들을 위한 공정들이 제공된다.
유기 박막들은 귀중한 광학적, 열적, 전기적 및 기계적 성질들을 가지며, 전자, 의공학, 방위, 제약, 및 마이크로 및 나노기술 산업들에 널리 사용된다. 마이크로일렉트로닉스 및 광학 산업들에서 고분자들은, 다른 예들 중에서, 리소그래피 패터닝용 광자 또는 전자 경화성/분해성 고분자들; 및 패키징용 폴리이미드(polyimide)들, 층간 절연체들 및 플렉서블 회로 기판들을 포함한다. (Norman et al., Annu. Rep. prog. Chem., Sect. C, 2005, 101, 174-201)
고분자 박막들은, 예를 들어 반도체 응용분야들에서 비정질 탄소 막들 또는 층들을 위한 출발점으로 사용될 수 있다. 폴리이미드 막들은 그들의 열적 안정성과 기계적 응력 및 화학물질들에 대한 저항성으로 인해 가치가 있다. 예를 들어, 폴리이미드 막들은 또한 리소그래피 단계들에서 패턴 선명도(definition)를 향상시키고 오정렬(misalignment)을 감소시키기 위한 반사 방지 층들, 다중 패터닝(예를 들어 SDDP, SDQP) 층들, 층간 유전체용 절연 물질, 전-유기(all-organic) 박막 트랜지스터들에서 게이트 유전체, 패키징 응용에서 패시베이션(passivation) 막들, 식각 공정들에서 마스크 층들 등으로 사용될 수 있다. 비슷하게, 폴리아미드 및 다른 유기 막들은 다양한 응용들을 위한 그들의 전기적 성질 및 물성으로 인해 가치가 있다. 폴리아미드 막들은 예를 들어 집적 회로 제조에서 층간 유전체 재료들의 절연 재료들로 사용될 수 있으며, 폴리아미드의 광감응성은 자외선(UV) 경화를 통해 별도의 포토레지스트 없이 패터닝을 가능하게 한다.
고분자 박막들은 전통적으로 스핀 코팅 기술들을 통하여 제조되어왔다. 스핀 코팅 방법은 회전 디스크에 액체 물질을 코팅하고 상기 액체를 소결시킴으로써 고기능성 고분자 막들을 형성한다. 그러나, 스핀-적용된 막들의 재단(tailoring)은 몇가지 이유들로 인해 제한적이다. 예를 들어, 부분적으로 시작 액체의 점성 때문에 기판 상에 균일한 박막의 형성을 제어하기 어렵고, 매우 작은 구조들의 갭들(예를 들어 트렌치들 또는 금속 배선들 사이의 갭들)을 경화 이후 보이드 생성 없이 채우는 것이 어려울 수 있다. 또한, 원하는 층의 두께에 비하여 높은 토포그래피(topography) 상의 스핀 코팅은 불연속적이고 컨포멀(conformal)하지 않은 퇴적을 야기할 수 있다. 반도체 칩 사이즈가 지속적으로 축소됨에 따라, 더 조절가능한 형태(morphology)를 갖는 더 얇고 더 높은 강도의 막들이 요구된다.
최근에, 화학 기상 퇴적(chemical vapor deposition, CVD), 기상 퇴적 중합(vapor deposition polymerization, VDP), 분자층 퇴적(molecular layer deposition, MLD) 및 원자층 퇴적(atomic layer deposition, ALD)과 사이클릭 화학 기상 퇴적(cyclical chemical vapor deposition)과 같은 순차적인 퇴적 공정들과 같은 기상 퇴적 공정들이 고분자 박막들의 제조에 적용되어왔다. CVD에서, 반응물들이 기판 표면 상에서 반응할 때 막이 퇴적된다. 하나 이상의 반응물들의 기체들은 반응 챔버 내의 하나 이상의 기판들로 전달된다. 열적 CVD에서, 반응 기체들은 뜨거운 기판 상에서 서로 반응하여 박막들을 형성하고, 성장 속도는 일반적으로 공급된 반응물의 온도 및 양에 영향을 받는다. 플라즈마 강화 CVD(plasma enhanced chemical vapor deposition)에서, 하나 이상의 반응물들은 원격 플라즈마 발생기 또는 인시츄(in situ)에서 활성화될 수 있다. CVD는 또한 중간의 정지들 또는 막 처리들과 함께 주기적으로 수행될 수 있다. ALD에서, 퇴적은 또한 반응물들에 기판들의 주기적인 노출로써 수행될 수 있고, 여기서 사이클들에서 수행되는 기판 표면 및 기상 반응물들 사이의 자기-포화(self-saturating) 반응들을 통하여 막들이 형성된다. 상기 기판 또는 웨이퍼는 기상 반응물들에 교대로 및 반복적으로 노출되어 상기 기판 상에 물질의 박막을 형성한다. 전형적인 공정에서, 하나의 반응물은 기판 상에 자기 제한(self-limiting) 공정으로 흡착된다. 후속적으로 펄스된 다른 반응물은 첫번째 반응물의 흡착된 종들과 반응하여 원하는 물질의 단일 분자 층을 형성한다. 더 두꺼운 막들은 목적 두께가 얻어질 때까지 반복되는 성장 사이클들을 통하여 생산된다. 플라즈마 강화된 ALD 변형들, 및 ALD/CVD 하이브리드 공정들(예를 들어, 반응물 공급들에 대한 기판 노출의 일부 중첩)또한 알려져 있다.
많은 응용 분야들에서, 예를 들어 식각 마스크들의 형성에서, 고분자 막들이 기판 상에 형성되고 이어서 패터닝된다. 일반적으로, 상기 패터닝은 포토리소그래피 기술들을 이용하여 이루어진다. 그러나, 패터닝된 고분자 막과 하부의 기판 구조물들을 정확하게 정렬시키기 위해서는 리소그래피 패턴의 정밀한 배치가 요구된다. 종종 이러한 패터닝은 오정렬된 패터닝된 고분자 막들을 야기한다. 더욱이, 리소그래피 패턴 배치 정밀성에 대한 요구는 이러한 기술들이 사용되는 공정들에 복잡성들을 도입할 수 있다. 고분자 막들을 퇴적하고 하부 기판의 구조물들과 정렬된 고분자 막들을 퇴적하기 위한 좀 더 효율적이고 신뢰성 있는 기술들에 대한 필요가 존재한다. 하부 기판의 구조물들과 정렬된 금속 또는 금속 화합물들을 포함하는 막들에 대한 유사한 요구가 존재한다.
본 발명의 목적은 전술한 문제들을 극복하기 위한 것이다.
일부 양상들에서, 제1 표면 및 제2 표면을 포함하는 기판 상에 유기 막을 선택적으로 퇴적하기 위한 공정들이 제공된다. 일부 실시예들에서 상기 공정은 상기 기판을 제1 기상 전구체에 접촉시키는 단계, 및 상기 기판을 제2 기상 전구체에 접촉시키는 단계;를 포함하는 하나 이상의 퇴적 사이클들을 포함할 수 있으며, 상기 기판을 상기 제1 및 제2 기상 전구체들에 접촉시키는 단계들은 상기 제1 표면 상에 상기 제2 표면에 대하여 선택적으로 유기 박막을 형성한다. 일부 실시예들에서 공정들은 원하는 두께의 유기 박막이 형성될 때까지 상기 접촉시키는 단계들을 반복하는 단계를 더 포함할 수 있다. 일부 실시예들에서 상기 제1 표면은 금속성 표면일 수 있다. 일부 실시예들에서 상기 제2 표면은 유전체 표면일 수 있다. 일부 실시예들에서 상기 유기 막은 폴리이미드(polyimide) 막을 포함할 수 있다. 일부 실시예들에서 상기 기판이 상기 제1 기상 전구체에 접촉되기 전에 상기 기판이 상기 제2 기상 전구체에 접촉될 수 있다. 일부 실시예들에서 상기 제1 기상 전구체는 디아민(diamine)을 포함할 수 있다. 일부 실시예들에서 상기 기판이 다른 전구체에 접촉되기 전에 상기 기판은 디아민을 포함하는 상기 제1 기상 전구체에 접촉될 수 있다.
일부 실시예들에서 상기 제1 기상 전구체는 1,6-디아미노헥산(diaminohexane, DAH)을 포함한다. 일부 실시예들에서 상기 제2 기상 전구체는 이무수물(dianhydride)을 포함한다. 일부 실시예들에서 상기 제2 기상 전구체는 피로멜리트산 이무수물(pyromellitic dianhydride, PMDA)를 포함한다. 일부 실시예들에서 상기 기판은 상기 하나 이상의 퇴적 사이클들 동안 약 170℃보다 높은 온도로 유지된다. 일부 실시예들에서 상기 유기 막은 폴리아미드(polyamide) 막을 포함한다. 일부 실시예들에서 상기 제1 기상 전구체는 할로겐을 포함한다. 일부 실시예들에서 상기 제1 기상 전구체는 아디포일 클로라이드(adipoyl chloride, AC)를 포함한다. 일부 실시예들에서 상기 제2 기상 전구체는 디아민(diamine)을 포함한다. 일부 실시예들에서 상기 제2 기상 전구체는 에틸렌 디아민(ethylene diamine)을 포함한다. 일부 실시예들에서 상기 기판은 상기 하나 이상의 퇴적 사이클들 동안 약 80℃보다 높은 온도로 유지된다. 일부 실시예들에서 상기 유기 막은 상기 제1 표면 상에 상기 제2 표면에 대하여 약 10%보다 높은 선택도로 퇴적된다. 일부 실시예들에서 상기 유기 막은 상기 제1 표면 상에 상기 제2 표면에 대하여 약 50%보다 높은 선택도로 퇴적된다. 일부 실시예들에서 상기 유기 막은 상기 제1 표면 상에 상기 제2 표면에 대하여 약 80%보다 높은 선택도로 퇴적된다. 일부 실시예들에서 상기 제1 표면은 금속 산화물, 원소 금속, 또는 금속성 표면을 포함한다. 일부 실시예들에서 상기 제1 표면은 텅스텐을 포함한다. 일부 실시예들에서 상기 제2 표면은 실리콘을 포함한다. 일부 실시예들에서 상기 제2 표면은 산화실리콘(SiO2)을 포함한다. 일부 실시예들에서 상기 공정은 원자층 퇴적(ALD) 유형의 공정이다.
일부 실시예들에서 상기 공정은 상기 기판을 식각 공정을 거치도록 하는 단계를 더 포함할 수 있으며, 상기 식각 공정은 상기 기판의 상기 제2 표면으로부터 실질적으로 모든 퇴적된 유기 막을 제거하고 상기 기판의 상기 제1 표면으로부터 실질적으로 모든 퇴적된 상기 유기 막을 제거하지는 않는다. 일부 실시예들에서 상기 식각 공정은 상기 기판을 수소 원자들, 수소 라디칼들, 수소 플라즈마, 또는 이들의 조합들에 노출시키는 단계를 포함한다. 일부 실시예들에서 상기 식각 공정은 상기 기판을 산소 원자들, 산소 라디칼들, 산소 플라즈마, 또는 이들의 조합들에 노출시키는 단계를 포함한다. 일부 실시예들에서 상기 식각 공정은 상기 기판을 추가적인 반응 종들과 함께 또는 없이, 예를 들어 Ar 또는 He 종들과 같은 비활성 기체 종들을 포함하는 플라즈마에 노출시키는 단계를 포함할 수 있다.
일부 양상들에서 제1 표면 및 제2 표면을 포함하는 기판 상에 유기 막을 선택적으로 퇴적하기 위한 공정들이 제공된다. 일부 실시예들에서 상기 공정은 교대로 및 순차적으로 상기 기판을 제1 기상 전구체 및 제2 기상 전구체에 접촉시키는 단계들을 포함하는 퇴적 사이클들을 포함할 수 있고, 상기 유기 막은 상기 기판의 상기 제1 표면 상에 상기 기판의 상기 제2 표면에 대하여 선택적으로 형성된다. 일부 실시예들에서 상기 제1 표면은 금속성 표면이다. 일부 실시예들에서 상기 제2 표면은 유전체 표면이다. 일부 실시예들에서 상기 유기 막은 상기 제1 표면 상에 상기 제2 표면에 대하여 약 50%보다 높은 선택도로 퇴적된다.
일부 양상들에서 기판 상에 폴리아미드 막을 퇴적하기 위한 공정들이 제공된다. 일부 실시예들에서 상기 공정들은 상기 기판을 5개 이하의 탄소 원자들을 포함하는 제1 기상 전구체에 접촉시키는 단계, 상기 기판을 3개 이하의 탄소들을 포함하는 제2 기상 전구체에 접촉시키는 단계를 포함하는 하나 이상의 퇴적 사이클들을 포함할 수 있고, 상기 기판을 상기 제1 및 제2 기상 전구체들에 접촉시키는 단계들은 원하는 두께의 폴리아미드 막을 형성한다. 일부 실시예들에서 상기 공정은 기상 퇴적(vapor deposition) 공정이다. 일부 실시예들에서 상기 공정은 분자층 퇴적(molecular layer deposition, MLD) 공정이다. 일부 실시예들에서 상기 기판은 제1 표면 및 별개의 제2 표면을 포함한다. 일부 실시예들에서 상기 폴리아미드 막은 상기 기판의 상기 제1 표면 상에 상기 기판의 상기 제2 표면에 대하여 선택적으로 형성된다. 일부 실시예들에서 상기 제1 기상 전구체는 염소 또는 디카르복시산(dicarboxylic acid)을 포함한다. 일부 실시예들에서 상기 제1 기상 전구체는 아디포일 클로라이드(AC)를 포함한다. 일부 실시예들에서 상기 제2 기상 전구체는 아민(amine)을 포함한다. 일부 실시예들에서 상기 제2 기상 전구체는 에틸렌 디아민(EDA)을 포함한다.
일부 양상들에서 제1 표면 및 제2 표면을 포함하는 기판 상에 유기 박막을 선택적으로 형성하기 위한 공정들이 제공된다. 일부 실시예들에서 상기 공정들은 상기 기판의 상기 제1 표면 및 상기 기판의 상기 제2 표면 상에 유기 박막을 퇴적하는 단계, 및 상기 퇴적된 유기 박막을 에천트에 노출시키는 단계를 포함할 수 있고, 상기 퇴적된 유기 박막을 에천트에 노출시키는 단계는 실질적으로 모든 상기 퇴적된 유기 박막을 상기 기판의 상기 제2 표면으로부터 제거하고 실질적으로 모든 상기 퇴적된 유기 박막을 상기 기판의 상기 제1 표면으로부터 제거하지 않는다. 일부 실시예들에서 상기 기판의 제1 표면 및 상기 기판의 상기 제2 표면 상에 유기 막을 퇴적하는 단계는 상기 유기 박막을 상기 기판의 상기 제1 표면 상에 상기 기판의 상기 제2 표면에 대하여 선택적으로 퇴적하는 단계를 포함한다.
일부 양상들에 따르면 제1 표면 및 제2 표면을 포함하는 기판의 제1 표면 상에 식각 마스크를 형성하기 위한 방법들이 제공된다. 일부 실시예들에서 상기 공정은 상기 기판을 제1 기상 전구체에 접촉시키는 단계 및 상기 기판을 제2 기상 전구체에 접촉시키는 단계를 포함할 수 있고, 상기 기판을 상기 제1 및 제2 기상 전구체들에 접촉시키는 단계들은 상기 제1 표면 상에 상기 제2 표면에 대하여 선택적으로 유기 막을 형성한다. 상기 식각 마스크는 상기 기판의 상기 제1 표면 상에 형성된 상기 유기 막을 포함한다.
일부 실시예들에서 상기 접촉시키는 단계들은 퇴적 사이클을 포함할 수 있고 상기 공정은 하나 이상의 퇴적 사이클들을 포함할 수 있다. 일부 실시예들에서 상기 공정은 원하는 두께의 식각 마스크가 형성될 때까지 상기 접촉시키는 단계들을 반복하는 단계를 포함할 수 있다. 일부 실시예들에서 상기 제1 표면은 금속성 표면이다. 일부 실시예들에서 상기 제2 표면은 유전체 표면이다. 일부 실시예들에서 상기 유기 막은 폴리이미드 막을 포함한다. 일부 실시예들에서 상기 제1 기상 전구체는 디아민을 포함한다. 일부 실시예들에서 상기 제1 기상 전구체는 1,6-디아미노헥산(diaminohexane, DAH)을 포함한다. 일부 실시예들에서 상기 제2 기상 전구체는 이무수물(dianhydride)을 포함한다. 일부 실시예들에서 상기 제2 기상 전구체는 피로멜리트산 이무수물(pyromellitic dianhydride, PMDA)를 포함한다. 일부 실시예들에서 상기 공정은 상기 기판을 식각 공정을 거치도록 하는 단계를 더 포함할 수 있으며, 상기 식각 공정은 실질적으로 모든 퇴적된 유기 막을 상기 기판의 상기 제2 표면으로부터 제거하고 실질적으로 모든 퇴적된 상기 유기 막을 상기 기판의 상기 제1 표면으로부터 제거하지 않는다. 일부 실시예들에서 상기 식각 마스크는 톤 리버설(tone reversal) 공정에 사용될 수 있다. 일부 실시예들에서 상기 식각 마스크는 블록 마스크(block mask) 공정에서 사용되는 블록 마스크를 포함한다.
일부 양상들에 따르면 제1 표면 및 제2 표면을 포함하는 기판의 제1 표면 상에 침투된(infiltrated) 막을 형성하기 위한 공정들이 제공된다. 일부 실시예들에서 공정은 상기 기판을 제1 기상 전구체에 접촉시키는 단계 및 상기 기판을 제2 기상 전구체에 접촉시키는 단계를 포함하는 선택적 퇴적 공정을 수행하는 단계를 포함하고, 상기 기판을 상기 제1 및 제2 기상 전구체들에 접촉시키는 단계들은 상기 제1 표면 상에 상기 제2 표면에 대하여 선택적으로 유기 박막을 형성한다. 상기 선택적으로 형성된 유기 막은 침투(infiltration) 공정을 거치도록 하여 상기 선택적으로 형성된 유기 막 내에 금속을 혼입함으로써 침투된 막을 형성한다.
일부 실시예들에서 상기 선택적인 퇴적 공정의 상기 접촉시키는 단계들은 퇴적 사이클을 포함하고 상기 선택적인 퇴적 공정은 하나 이상의 퇴적 사이클들을 포함한다. 일부 실시예들에서 상기 금속성 물질은 금속, 금속 합금, 금속 산화물, 금속 질화물, 금속 탄화물 및/또는 이들의 조합들을 포함한다. 일부 실시예들에서 상기 침투 공정은 교대로 및 순차적으로 상기 선택적으로 형성된 유기 막을 상기 금속을 포함하는 제1 반응물 및 제2 반응물에 노출시키는 단계를 포함한다. 일부 실시예들에서 산화 알루미늄(Al2O3)이 상기 선택적으로 형성된 유기 막 내에 혼합되며, 상기 제1 반응물은 트리메틸알루미늄(trimethylaluminum, TMA)를 포함하고, 상기 제2 반응물은 물(H2O)을 포함한다. 일부 실시예들에서 산화 티타늄(TiO2)이 상기 선택적으로 형성된 유기 막 내에 혼입된다. 일부 실시예들에서 상기 공정은 상기 선택적으로 형성된 유기 막을 상기 선택적으로 형성된 유기 막으로부터 탄소를 제거하는 애싱(ashing)공정을 거치도록 하는 단계를 더 포함할 수 있다. 일부 실시예들에서 상기 애싱 공정은 상기 선택적으로 형성된 유기 막을 산소 원자들, 산소 라디칼들, 산소 플라즈마, 또는 이들의 조합들에 노출시키는 단계를 포함할 수 있다. 일부 실시예들에서 상기 침투된 막은 침투 공정을 거치지 않은 동일한 막보다 불산(HF) 식각에 대한 증가된 저항성을 갖는다.
도1은 선택적으로 유기 막을 퇴적하기 위한 공정들을 개괄적으로 도시한 흐름도이다.
도2는 선택적으로 유기 막을 퇴적하기 위한 원자층 퇴적(ALD) 공정들을 개괄적으로 도시한 흐름도이다.
도3은 기판의 제1 금속성 표면 상에 제2 유전체 표면에 대하여 선택적으로 유기 막을 퇴적하기 위한 공정들을 개괄적으로 도시한 흐름도이다.
도4는 무기 물질을 유기 막에 침투시키기 위한 공정들을 개괄적으로 도시한 흐름도이다.
도5는 막으로부터 탄소를 감소시키거나 제거하기 위한 애싱 공정을 포함하는 무기 물질을 유기 막에 침투시키기 위한 공정들을 개괄적으로 도시한 흐름도이다.
도6은 기판의 제1 표면 상에 제2 유전체 표면에 대하여 선택적으로 퇴적된 유기 막을 이용하여 기판 상에 구조물을 형성하기 위한 예시적인 톤 리버설 공정을 개괄적으로 도시한 일련의 개략적인 단면도들이다.
도7a는 기판의 제1 표면 상에 제2 유전체 표면에 대하여 선택적으로 유기 막을 퇴적함으로써 형성된 블록 마스크를 포함하는 반도체 소자 제조 공정을 개괄적으로 도시한 일련의 개략적인 단면도들이다.
도7b는 기판의 제1 표면 상에 제2 유전체 표면에 대하여 선택적으로 유기 막을 퇴적함으로써 형성된 블록 마스크를 포함하는 도7a의 상기 반도체 제조 공정의 단계들을 개괄적으로 도시하는 일련의 평면도들이다.
도8은 선택적으로 퇴적된 폴리이미드 막들의 두께들을 보여주는 일련의 단면 주사 투과 전자 현미경 사진들이다.
도9a는 1.5nm 자연 산화물 표면을 갖는 결정질 실리콘 기판 상에 퇴적된 폴리아미드 막의 일련의 단면 주사 전자 현미경 사진이다.
도9b는 기판의 텅스텐 표면 상에 동일한 기판의 산화물 표면에 대하여 선택적으로 퇴적된 폴리아미드 막의 단면 주사 전자 현미경 사진이다.
도10a는 기판의 텅스텐 표면 상에 동일한 기판의 산화 실리콘 표면에 대하여 선택적으로 퇴적된 폴리아미드 박막의 단면 주사 투과 전자 현미경 사진이다.
도10b는 기판의 텅스텐 표면 상에 동일한 기판의 산화 실리콘 표면에 대하여 선택적으로 퇴적된 폴리아미드 박막의 식각 공정을 거친 후의 단면 주사 투과 전자 현미경 사진이다.
도10c는 도10b의 텅스텐 표면 및 인접한 산화 실리콘 표면 상의 폴리아미드 박막의 확대도이다.
도11a는 일부 실시예들에 따라 퇴적된 폴리아미드 샘플 막들의 에틸렌 디아민(EDA) 전구체 접촉 시간(초)의 함수로서 성장 속도(Å/사이클)를 보여주는 그래프이다.
도11b는 일부 실시예들에 따라 퇴적된 폴리아미드 샘플 막들의 아디포일 클로라이드(AC)의 함수로서 성장 속도(Å/사이클)를 보여주는 그래프이다.
도11c는 일부 실시예들에 따라 퇴적된 폴리아미드 샘플 막들의 전구체 제거 시간(초)의 함수로서 성장 속도(Å/사이클)를 보여주는 그래프이다.
도11d는 일부 실시예들에 따라 퇴적된 폴리아미드 샘플 막들의 퇴적 온도의 함수로서 성장 속도(Å/사이클)를 보여주는 그래프이다.
도12a는 일부 실시예들에 따라 71℃에서 퇴적된 폴리아미드 막의 타원계측기(ellipsometer) 두께 맵을 보여준다.
도12b는 일부 실시예들에 따라 50℃에서 퇴적된 폴리아미드 막의 타원계측기(ellipsometer) 두께 맵을 보여준다.
본 개시의 일부 양상들에 따르면, 선택적인 퇴적은 제2 표면에 대하여 제1 표면 상에 유기 물질을 퇴적시키는데 사용될 수 있다. 상기 두 표면들은 서로 다른 물성들을 가질 수 있다. 일부 실시예들에서 유기 물질이 기판의 제1 도전성(예를 들어 금속 또는 금속성) 표면 상에 상기 기판의 제2 유전체 표면에 대하여 선택적으로 퇴적된다. 일부 실시예들에서 상기 제2 표면은 산화 실리콘 기반의 표면과 같이 -OH 그룹들을 포함한다. 일부 실시예들에서 상기 제2 표면은 추가적으로 불산(HF) 디핑(dipping)된 실리콘(Si) 또는 불산 디핑된 저마늄(Ge) 표면과 같이 -H 말단들을 포함할 수 있다. 이러한 실시예들에서, 상기 관심의 대상이 되는 표면은 -H 말단들과 상기 -H 말단들 아래의 물질을 모두 포함하는 것으로 여겨질 것이다. 일부 실시예들에서 폴리아미드(polyamide) 또는 폴리이미드(polyimide)와 같은 유기 물질이 기판의 제1 유전체 표면 상에 다른 제2 유전체 표면에 대하여 선택적으로 퇴적된다. 상기의 일부 실시예들에서, 상기 유전체들은 상이한 조성들(예를 들어, 실리콘, 질화 실리콘, 탄소, 산화 실리콘, 산질화 실리콘, 산화 저마늄)을 갖는다. 상기의 다른 실시예들에서, 상기 유전체들은 동일한 기본 조성(예를 들어, 산화 실리콘 기반 층들)을 가질 수 있으나 형성 방법(예를 들어 열 산화물들, 자연 산화물들, 퇴적된 산화물들)으로 인하여 상이한 물성들을 가질 수 있다. 일부 실시예들에서 기상 퇴적(vapor deposition) 방법들이 사용된다. 일부 실시예들에서 사이클릭 기상 퇴적이 사용된다. 예를 들어, 사이클릭 CVD 또는 원자층 퇴적(atomic layer deposition, ALD) 공정들이 사용된다. 상기 유기 물질의 선택적인 퇴적이 완료된 후, 원하는 구조들을 형성하기 위하여 추가 공정이 수행될 수 있다. 유리하게는, 더 적은 상기 유기 층을 퇴적하기 위하여 상기 표면 상에 패시베이션(passivation)/블로킹(blocking) 물질 없이; 및/또는 더 많은 상기 유기 층을 퇴적하기 위하여 상기 표면 상에 촉매 물질들 없이 선택성이 달성될 수 있다.
한 표면이 금속을 포함하고 다른 표면은 그렇지 않은 실시예들에서, 달리 명시되지 않는 한, 여기서 어떤 표면이 금속 표면이라 지칭된다면, 이는 금속 표면 또는 금속성 표면일 수 있다. 일부 실시예들에서 상기 금속 또는 금속성 표면은 금속, 금속 산화물들, 및/또는 이들의 혼합물들을 포함할 수 있다. 일부 실시예들에서 상기 금속 또는 금속성 표면은 표면 산화를 포함할 수 있다. 일부 실시예들에서 상기 금속 또는 금속성 표면의 상기 금속 또는 금속성 물질은 표면 산화가 있거나 없는 상태에서 전기적으로 전도성이 있다. 일부 실시예들에서 금속 또는 금속성 표면은 하나 이상의 전이 금속들을 포함한다. 일부 실시예들에서 금속 또는 금속성 표면은 알루미늄을 포함한다. 일부 실시예들에서 상기 금속 또는 금속성 표면은 알루미늄(Al), 구리(Cu), 코발트(Co), 니켈(Ni), 텅스텐(W), 니오븀(Nb), 철(Fe) 중 하나 이상을 포함한다. 일부 실시예들에서 금속성 표면은 질화 티타늄을 포함한다. 일부 실시예들에서 상기 금속 또는 금속성 표면은 루테늄(Ru)과 같은 하나 이상의 귀금속을 포함한다. 일부 실시예들에서 상기 금속 또는 금속성 표면은 전도성 금속 산화물, 질화물, 탄화물, 붕소화물, 또는 이들의 조합을 포함한다. 예를 들어, 상기 금속 또는 금속성 표면은 하나 이상의 산화 루테늄(RuOx), 탄화 니오븀(NbCx), 붕소화 니오븀(NbBx), 산화 니켈(NiOx), 산화 코발트(CoOx), 산화 니오븀(NbOx), 탄질화 텅스텐(WNCx), 질화 탄탈륨(TaN), 또는 질화 티타늄(TiN)중 하나 이상을 포함할 수 있다.
일부 실시예들에서 상기 금속 또는 금속성 표면은 아연(Zn), 철(Fe), 망간(Mn), 또는 몰리브덴(Mo)을 포함할 수 있다. 일부 실시예들에서 상기 금속 또는 금속성 표면은 본원에 기술된 바와 같은 선택적인 퇴적 공정에 이용되는 제1 또는 제2 전구체를 수용하거나 배위(coordination)할 수 있는 임의의 표면일 수 있다.
일부 실시예들에서 유기 물질은 금속 산화물 표면 상에 다른 표면들에 대하여 선택적으로 퇴적된다. 금속 산화물 표면은 예를 들어 산화 텅스텐(WOx), 산화 하프늄(HfOx), 산화 티타늄(TiOx), 산화 알루미늄(AlOx), 또는 산화 지르코늄(ZrOx) 표면일 수 있다. 일부 실시예들에서 금속 산화물 표면은 금속성 물질의 산화된 표면이다. 일부 실시예들에서 금속 산화물 표면은 오존(O3), 물(H2O), 과산화수소(H2O2), 산소(O2), 산소 원자들, 플라즈마 또는 라디칼들 또는 이들의 혼합물들을 포함하는 화합물들과 같은 산소 화합물을 이용하여 금속성 물질의 표면을 산화시킴으로써 생성된다. 일부 실시예들에서 금속 산화물 표면은 금속성 물질 상에 형성된 자연 산화물이다.
일부 실시예들에서 상기 제1 표면은 예를 들어 패시베이션된 구리(Cu)와 같은 패시베이션된 금속 표면을 포함할 수 있다. 즉, 일부 실시예들에서 상기 제1 표면은 예를 들어 벤조트리아졸(benzotriazole, BTA) 층과 같은 유기 패시베이션 층과 같은 패시베이션 층을 포함하는 금속 표면을 포함할 수 있다.
일부 실시예들에서 유기 물질은 제1 유전체 표면 상에 제2 산화 실리콘(SiO2) 표면에 대하여 선택적으로 퇴적된다. 일부 실시예들에서 유기 물질은 제1 유전체 표면 상에 예를 들어 HF 디핑된 실리콘(Si) 또는 HF 디핑된 저마늄(Ge) 표면과 같은 제2 실리콘 또는 저마늄 표면에 대하여 선택적으로 퇴적된다.
일부 실시예들에서 유기 물질은 기판의 제1 금속 또는 금속성 표면 상에 상기 기판의 제2 유전체 표면에 대하여 선택적으로 퇴적된다. 일부 실시예들에서 상기 선택적으로 퇴적된 유기 물질은 폴리아미드, 폴리이미드, 또는 다른 고분자 물질이다. 유전체라는 용어는 본원 명세서에서 다른 표면, 즉 금속 또는 금속성 표면과 구별의 단순성을 위하여 사용된다. 모든 비전도성 표면들이 유전체 표면들인 것은 아니라는 것이 당업자에 의해 이해될 것이다. 예를 들어, 상기 금속 또는 금속성 표면은 전기적으로 비전도성이거나 매우 높은 비저항을 갖는 산화된 금속의 표면을 포함할 수 있다. 여기 교시된 선택적 퇴적 공정들은 인접한 유전체 표면들 상에 최소한의 퇴적만 이루어지도록 하면서 상기 비전도성 금속성 표면들 상에 퇴적할 수 있다.
일부 실시예들에서 유기 물질은 기판의 금속 산화물 표면 상에 제2 산화 실리콘(SiO2) 표면에 대하여 선택적으로 퇴적된다. 일부 실시예들에서 제1 금속 산화물 표면은 예를 들어 산화 텅스텐(WOx), 산화 하프늄(HfOx), 산화 티타늄(TiOx), 산화 알루미늄(AlOx) 또는 산화 지르코늄(ZrOx) 표면일 수 있다. 일부 실시예들에서 상기 유기 물질은 제1 유전체 표면 상에 제2 산화 실리콘(SiO2) 표면에 대하여 선택적으로 퇴적될 수 있다. 일부 실시예들에서 상기 제2 산화 실리콘 표면은 예를 들어 자연 산화물, 열 산화물 또는 화학적 산화물일 수 있다. 일부 실시예들에서 유기 물질은 제1 금속 산화물 표면 상에 예를 들어 불산 디핑된 실리콘 또는 불산 디핑된 저마늄 표면과 같은 제2 실리콘 또는 저마늄 표면에 대하여 선택적으로 퇴적된다.
일부 실시예들에서 제1 금속 또는 금속성 표면 및 제2 유전체 표면을 포함하는 기판이 제공된다. 일부 실시예들에서 제1 금속 산화물 표면을 포함하는 기판이 제공된다. 일부 실시예들에서 상기 제2 표면은 -OH 그룹들을 포함할 수 있다. 일부 실시예들에서 상기 제2 표면은 산화 실리콘(SiO2) 기반의 표면일 수 있다. 일부 실시예들에서 상기 제2 표면은 실리콘-산소(Si-O) 결합들을 포함할 수 있다. 일부 실시예들에서 상기 제2 표면은 산화 실리콘 기반의 저 유전(low-k) 물질을 포함할 수 있다. 일부 실시예들에서 상기 제2 표면은 약 30% 초과, 또는 약 50%를 초과하는 산화 실리콘을 포함할 수 있다. 일부 실시예들에서 상기 제2 표면은 산화 저마늄(GeO2)을 포함할 수 있다. 일부 실시예들에서 상기 제2 표면은 저마늄-산소(Ge-O) 결합들을 포함할 수 있다. 일부 실시예들에서 유기 물질은 제1 금속 또는 금속성 표면 상에 예를 들어 불산 디핑된 실리콘 또는 불산 디핑된 저마늄 표면과 같은 제2 실리콘 또는 저마늄 표면에 대하여 선택적으로 퇴적된다.
특정 실시예들에서 상기 제1 표면은 실리콘 이산화물(silicon dioxide) 표면을 포함할 수 있고 상기 제2 유전체 표면은 제2의 상이한 산화 실리콘 표면을 포함할 수 있다. 예를 들어, 일부 실시예들에서 상기 제1 표면은 자연적으로 또는 화학적으로 성장된 실리콘 이산화물 표면을 포함할 수 있다. 일부 실시예들에서 상기 제2 표면은 열적으로 성장된 실리콘 이산화물 표면을 포함할 수 있다. 다른 실시예들에서, 상기 제1 또는 상기 제2 표면은 퇴적된 산화 실리콘 층으로 대체될 수 있다. 그러므로, 일부 실시예들에서 유기 물질은 기판의 제1 실리콘 이산화물 표면 상에 상이한 방법으로 형성되어 상이한 물성들을 갖는 제2 실리콘 이산화물 표면에 대하여 선택적으로 퇴적될 수 있다.
일부 실시예들에서 상기 기판은 상기 선택적인 퇴적 공정 전에 또는시작 때 전처리되거나 클리닝될 수 있다. 일부 실시예들에서 상기 기판은 상기 선택적인 퇴적 공정 전에 또는 시작 때 플라즈마 세정 처리가 가해질 수 있다. 일부 실시예들에서 상기 기판은 플라즈마 클리닝 공정은 이온 충격(bombardment)를 포함하지 않거나, 상대적으로 작은 양의 이온 충격을 포함할 수 있다. 예를 들어, 일부 실시예들에서 상기 기판 표면은 상기 선택적 퇴적 공정 전에 또는 시작 때 플라즈마, 라디칼들, 활성화된 종들, 및/또는 원자 종들에 노출될 수 있다. 일부 실시예들에서 상기 기판 표면은 상기 선택적 퇴적 공정 전에 또는 시작 때 수소 플라즈마, 라디칼들, 활성화된 종들, 및/또는 원자 종들에 노출될 수 있다. 일부 실시예들에서 전처리 또는 클리닝 공정은 선택적인 퇴적 공정과 동일한 반응 챔버에서 수행될 수 있으나, 일부 실시예들에서 전처리 또는 클리닝 공정은 별개의 반응 챔버에서 수행될 수 있다.
본원에 사용된"약"이라는 용어는 표준적인 측정 정확도 내임을 의미한다.
본원에 개시된 방법들을 이용하는 선택적인 퇴적은 상기 제2 유전체 표면상의 퇴적을 막기 위하여 상기 제2 유전체 표면의 처리 없이 및/또는 퇴적을 촉매하기 위한 상기 제1 표면(금속성 이거나 다른 유전체 표면이다.)의 처리 없이 유리하게 달성될 수 있다. 그 결과, 일부 실시예들에서 상기 제2 유전체 표면은 여기 기술된 퇴적 공정들의 화학물질들에 상기 제2 유전체 표면의 실제 상면이 노출되는 것을 방지하는, 자기 조립 단분자막(self-assembled monolayer, SAM)과 같은 패시베이션 또는 블로킹 층을 포함하지 않는다. 따라서, 일부 실시예들에서 블로킹 또는 촉매 물질들이 존재하지 않음에도 불구하고 선택성이 달성되며, 제1 및 제2 표면들 모두 퇴적 반응물들에 직접적으로 노출된다.
기상 퇴적 기술들이 폴리이미드(polyimide) 막들, 폴리아미드(polyamide) 막들, 폴리요소(polyurea) 막들, 폴리우레탄(polyurethane) 막들, 폴리시오펜(polythiophene) 막들, 및 그 외와 같은 유기 막들 및 고분자들에 적용될 수 있다. 고분자 막들의 CVD는 액체 전구체의 적용과 비교하여 더 뛰어난 두께 조절, 기계적 유연성, 컨포멀 커버리지(conformal coverage), 및 생적합성을 가능하게 할 수 있다. 고분자들의 순차적인 퇴적 공정은 연구 스케일의 소형의 반응기들 내에서 높은 성장 속도를 가능하게 할 수 있다. CVD와 유사하게, 순차적 퇴적 공정은 더 뛰어난 두께 조절, 기계적 유연성, 및 컨포멀리티(conformality)를 가능하게 할 수 있다. "순차적 퇴적" 및 "순환적 퇴적"이라는 용어는 본원에서, 반응 메커니즘이 ALD, CVD, MLD 또는 이들의 하이브리드와 유사한지와 무관하게, 기판이 교대로 및 순차적으로 상이한 전구체들에 노출되는 공정들에 적용되기 위하여 사용된다.
일부 실시예들에서 본원에 기술된 공정들은 배치(batch) 공정들일 수 있다. 즉, 상기 공정들은 둘 이상의 기판들 상에서 동시에 수행될 수 있다. 일부 실시예들에서 본원에 기술된 공정들은 2개 이상, 5개 이상, 10개 이상, 25개 이상, 50개 이상, 또는 100개 이상의 기판들에서 동시에 수행될 수 있다. 일부 실시예들에서 상기 기판은, 예를 들어 반도체 또는 실리콘 웨이퍼들과 같은, 웨이퍼들을 포함할 수 있다. 일부 실시예들에서 상기 기판들은 100mm 이상, 200mm 이상, 또는 300mm 이상의 지름을 가질 수 있다. 일부 예들에서 450mm 이상의 지름을 갖는 기판들이 바람직할 수 있다.
선택도
선택도는 [(제1 표면 상의 퇴적)-(제2 표면 상의 퇴적)]/(제1 표면 상의 퇴적)에 의해서 계산되는 백분율로 주어질 수 있다. 퇴적은 다양한 방법들 중 어느 것으로든 측정될 수 있다. 일부 실시예들에서 퇴적은 퇴적된 물질의 측정된 두께로 주어질 수 있다. 일부 실시예들에서 퇴적은 퇴적된 물질의 측정된 양으로 주어질 수 있다.
일부 실시예들에서 선택도는 약 10% 초과, 약 50% 초과, 약 75% 초과, 약 85% 초과, 약 90% 초과, 약 93% 초과, 약 95% 초과, 약 98% 초과, 약 99% 초과 또는 심지어 약 99.5% 초과 일 수 있다. 여기 기술된 일부 실시예들에서, 상기 선택도는 퇴적의 시간 또는 두께에 따라 변할 수 있다. 놀랍게도, 선택도는 여기 기술된 상기 기상 고분자 막 퇴적들의 퇴적 시간과 함께 증가하는 것이 발견되었다. 반면, 상이한 표면들 상의 상이한 핵생성(nucleation)을 기초로 하는 통상적인 선택적 퇴적은 퇴적의 시간이나 두께가 증가함에 따라 선택도가 감소하는 경향이 있다.
일부 실시예들에서 퇴적은 제1 표면 상에서만 일어나며 제2 표면 상에서는 일어나지 않는다. 일부 실시예들에서 퇴적은 상기 기판의 상기 제1 표면 상에 상기 기판의 상기 제2 표면에 대하여 적어도 약 80%의 선택도를 갖고, 이는 일부 특정 응용들에 사용되기에 충분히 선택적일 수 있다. 일부 실시예들에서 상기 기판의 상기 제1 표면 상에 상기 기판의 상기 제2 표면에 대하여 적어도 약 50%의 선택도를 갖고, 이는 일부 특정 응용들에 사용되기에 충분히 선택적일 수 있다. 일부 실시예들에서 상기 기판의 상기 제1 표면 상에 상기 기판의 상기 제2 표면에 대하여 적어도 약 10%의 선택도를 갖고, 이는 일부 특정 응용들에 사용되기에 충분히 선택적일 수 있다.
일부 실시예들에서 상기 기판의 상기 제1 표면 상이 퇴적된 상기 유기 막은 약 50nm 미만, 약 20nm 미만, 약 10nm 미만, 약 5nm 미만, 약 3nm 미만, 약 2nm 미만, 또는 약 1nm미만의 두께를 가질 수 있으며, 상기 기판의 상기 제2 표면에 대한 상기 기판의 상기 제1 표면 상에 퇴적된 물질의 비율은 약 2:1 이상, 약 20:1 이상, 약 15:1 이상, 약 10:1 이상, 약 5:1 이상, 약 3:1 이상, 또는 약 2:1 이상일 수 있다.
일부 실시예들에서 본원에서 기술되는 상기 선택적인 퇴적 공정들의 상기 선택도는 상기 기판의 상기 제1 및/또는 제2 표면을 포함하는 물질들에 의존할 수 있다. 예를 들어, 상기 제1 표면은 BTA 패시베이션 된 구리 표면을 포함하고 상기 제2 표면은 자연 또는 화학적 실리콘 이산화물 표면을 포함하는 일부 실시예들에서, 상기 선택도는 약 8:1 초과 또는 약 15:1 초과일 수 있다. 상기 제1 표면이 금속 또는 금속 산화물을 포함하고 상기 제2 표면이 자연 또는 화학적 실리콘 이산화물 표면을 포함하는 일부 실시예들에서, 상기 선택도는 약 5:1 초과 또는 약 10:1 초과일 수 있다. 상기 제1 표면이 자연 또는 화학적 실리콘 이산화물 표면을 포함하고 상기 제2 표면이 열적 실리콘 이산화물 표면을 포함하는 일부 실시예들에서, 상기 선택도는 약 5:1 초과 또는 약 10:1 초과일 수 있다. 상기 제1 표면이 자연 또는 화학적 실리콘 이산화물 표면을 포함하고 상기 제2 표면이 불산 디핑된 실리콘과 같이 Si-H 말단들을 포함하는 일부 실시예들에서, 상기 선택도는 약 5:1 초과 또는 약 10:1 초과일 수 있다. 상기 제1 표면이 표면이 불산 디핑된 실리콘과 같이 Si-H 말단들을 포함하고 상기 제2 표면이 열적 실리콘 이산화물을 포함하는 일부 실시예들에서, 상기 선택도는 약 5:1 초과 또는 약 10:1 초과일 수 있다.
선택적 퇴적
본원에 교시된 퇴적 공정들은 높은 성장 속도 및 처리량을 달성할 수 있으며, 고품질의 유기 박막들을 생산할 수 있다.
일부 실시예들에서, 제1 표면 및 제2 표면을 갖는 기판이 제공된다. 상기 제1 표면 및 제2 표면들은 서로 다른 물성들을 가질 수 있다. 일부 실시예들에서 상기 제1 표면은 금속성 표면일 수 있고 상기 제2 표면은 유전체 표면일 수 있다. 일부 실시예들에서 제1 유기 반응물이 증기화되어 제1 반응 증기를 형성한다. 상기 증기화되는 반응물은 표준 온도 및 압력 조건들(실온 및 대기압)에서 액체 또는 고체일 수 있다. 일부 실시예들에서, 상기 증기화되는 반응물은 예를 들어 1,6-디아미노헥산(1,6-diaminohexane, DAH)과 같은 디아민(diamine)과 같은 아민(amine)과 같은 유기 전구체, 또는 예를 들어 피로멜리트산 이무수물(pyromellitic dianhydride, PMDA)과 같은 이무수물(anhydride)과 같은 다른 유기 전구체를 포함한다. 상기 기판은 이후 제1 반응 기체에 노출되며 유기 막이 퇴적된다. 상기 방법은 추가적인 단계들을 포함할 수 있으며, 반복될 수 있으나, 설명된 순서에 따라 수행될 필요는 없으며, 반복되는 경우 각 반복에서 동일한 순서에 따라 수행될 필요는 없고, 보다 복잡한 증기 퇴적 기술들로 용이하게 확장될 수 있다.
일부 실시예들에서, 상기 유기 막은 고분자를 포함한다. 일부 실시예들에서, 상기 퇴적된 고분자는 폴리이미드이다. 일부 실시예들에서, 상기 퇴적된 고분자는 폴리아미드이다. 퇴적된 고분자들의 다른 예들은 이합체(dimer)들, 삼합체(trimer)들, 폴리우레탄(polyurethane)들, 폴리티오요소(polythiourea)들, 폴리에스터(polyester)들, 폴리이민(polyimine)들, 다른 고분자 형태들 또는 이들의 혼합물들을 포함한다.
본원에 교시된 기술들은 다양한 반응기 구성들에서 CVD, VPD, ALD 및 MLD를 포함하는 증기 퇴적 기술들에 적용될 수 있다.
도1을 참조하면, 일부 실시예들에서, 블록 11에서 제1 표면 및 제2 표면을 포함하는 기판이 제공된다. 상기 제1 및 제2 표면들은 상이한 물성들을 가질 수 있다. 일부 실시예들에서 상기 제1 표면은 예를 들어 금속 또는 금속성 표면과 같은 전도성 표면일 수 있고, 상기 제2 표면은 유전체 표면일 수 있다. 일부 실시예들에서 상기 제1 표면은 유전체 표면일 수 있고 상기 제2 표면은 상이한 제2 유전체 표면일 수 있다. 일부 실시예들에서 상기 제1 및 제2 표면들은 동일한 기본 조성을 가질 수 있지만, 형성 방법들의 차이(예를 들어, 열적 산화물, 퇴적된 산화물, 자연 산화물)로 인하여 상이한 물성들을 가질 수 있다.
일부 실시예들에서 제1 전구체는 제1 온도에서 증발되어 제1 기상 전구체를 형성할 수 있다. 일부 실시예들에서 상기 제1 전구체 증기는 제2 온도에서 가스 라인을 통하여 상기 기판으로 운반된다. 일부 실시예들에서 상기 제2 운반 온도는 제1 증발 온도보다 높다. 일부 실시예들에서, 블록 12에서 제1 노출 시간 동안 상기 기판은 제1 기상 전구체, 또는 반응물에 접촉된다. 일부 실시예들에서 상기 기판은 상기 제1 온도보다 높은 제3 온도에서 상기 제1 기상 전구체와 접촉될 수 있다.
일부 실시예들에서 상기 제1 전구체 노출 시간은 약 0.01초 내지 약 60초, 약 0.05 초 내지 약 30초, 약 0.1초 내지 약 10초 또는 약 0.2초 내지 약 5초 이다. 최적의 상기 노출 시간은 당업자에 의하여 특정한 상황들에 기초하여 용이하게 결정될 수 있다. 배치 반응기들이 사용된 일부 실시예들에서 60초를 초과하는 노출 시간이 적용될 수 있다.
일부 실시예들에서 상기 기판은 블록 13에서 제2 노출 시간 동안 제2 기상 전구체, 또는 반응물에 접촉된다. 일부 실시예들에서 상기 제2 전구체는 제4 온도에서 증발되어 상기 제2 기상 전구체를 형성할 수 있다. 일부 실시예들에서 상기 제2 반응 증기는 제5 온도에서 가스 라인을 통해 상기 기판으로 운반된다. 일부 실시예들에서 상기 제5 운반 온도는 상기 제4 증발 온도보다 높다. 일부 실시예들에서 상기 기판은 상기 제4 온도 보다 높은 제6 온도에서 상기 제2 기상 전구체에 접촉될 수 있다. 일부 실시예들에서 상기 제6 온도는 상기 제1 기상 전구체가 상기 기판과 접촉하는 상기 제3 온도와 실질적으로 동일할 수 있다.
일부 실시예들에서 상기 제2 전구체 노출 시간은 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.1초 내지 약 10초 또는 약 0.2초 내지 약 5초이다. 최적의 상기 노출 시간은 당업자에 의하여 특정한 상황들에 기초하여 용이하게 결정될 수 있다. 배치 반응기들이 사용된 일부 실시예들에서 60초를 초과하는 노출 시간이 적용될 수 있다.
블록 14에서 유기 막이 제1 표면 상에 제2 표면에 대하여 선택적으로 퇴적된다. 당업자는 유기 막의 선택적 퇴적이 별개의 행위라기 보다는 상기 접촉 행위들(블록들 12,13)의 결과라는 것을 인식할 것이다. 일부 실시예들에서, 상기 접촉 행위들(블록들 12,13)은 퇴적 사이클로 여겨질 수 있다. 일부 실시예들에서 원하는 두께의 유기 막이 선택적으로 퇴적될 때까지 퇴적 사이클이 반복될 수 있다. 이러한 선택적 퇴적 사이클은 상기 기판 상에 충분한 두께의 막이 남을 때까지 반복 될 수 있고(블록 15), 퇴적은 종료된다(블록 16). 상기 선택적 퇴적 사이클은 추가적인 행위들을 포함할 수 있으나, 동일한 순서일 필요는 없으며, 또한 각 반복에서 동일하게 수행될 필요는 없고, 보다 복잡한 기상 퇴적 기술들로 용이하게 확장될 수 있다. 예를 들어, 선택적 퇴적 사이클은 각 사이클 또는 선택된 사이클들에서 추가적인 반응물들의 공급 및 제거(기판에 대하여)와 같은 추가적인 반응물 공급 공정들을 포함할 수 있다. 도시되지 않았지만, 상기 공정은 고분자를 형성하기 위하여 퇴적된 막을 처리하는 단계(예를 들어, UV 처리, 어닐링, 등등)를 추가적으로 포함할 수 있다.
도2를 참조하면, 일부 실시예들에서, 블록 21에서 제1 표면 및 제2 표면을 포함하는 기판이 제공된다. 상기 제1 및 제2 표면들은 상이한 물성들을 가질 수 있다. 일부 실시예들에서 상기 제1 표면은 예를 들어 금속 또는 금속성 표면과 같은 전도성 표면일 수 있고, 상기 제2 표면은 유전체 표면일 수 있다. 일부 실시예들에서 상기 제1 표면은 유전체 표면일 수 있고 상기 제2 표면은 상이한 제2 유전체 표면일 수 있다. 일부 실시예들에서 상기 제1 및 제2 표면들은 동일한 기본 조성을 가질 수 있지만, 형성 방법들의 차이(예를 들어, 열적 산화물, 퇴적된 산화물, 자연 산화물)로 인하여 상이한 물성들을 가질 수 있다.
일부 실시예들에서 유기 막의 선택적 기상 퇴적을 위한 순차적인 퇴적 방법은 블록 22에서 제1 온도에서 제1 유기 전구체를 증발시켜 제1 전구체 증기를 형성하는 단계를 포함한다. 일부 실시예들에서 상기 제1 전구체 증기는 제2 온도에서 가스 라인을 통하여 상기 기판으로 운반된다. 일부 실시예들에서 상기 제2 운반 온도는 상기 제1 증발 온도보다 높다. 일부 실시예들에서, 블록 23에서 상기 기판은 제1 노출 시간 동안 상기 기상의 제1 전구체에 접촉된다. 일부 실시예들에서, 상기 제1 전구체, 또는 이들의 종들은, 자기-포화(self-saturation) 또는 자기-제한적(self-limiting) 방식으로 상기 기판 상에 화학적으로 흡착한다. 상기 가스 라인은 소스로부터 상기 기판으로 제1 전구체 증기를 운반하는 임의의 도관일 수 있다. 일부 실시예들에서, 상기 기판은 상기 제1 온도보다 높은 제3 온도에서 상기 제1 전구체 증기에 노출될 수 있다.
일부 실시예들에서 상기 제1 전구체 노출 시간은 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.1초 내지 약 10초 또는 약 0.2초 내지 약 5초이다. 최적의 상기 노출 시간은 당업자에 의하여 특정한 상황들에 기초하여 용이하게 결정될 수 있다. 배치 반응기들이 사용된 일부 실시예들에서 60초를 초과하는 노출 시간이 적용될 수 있다.
블록 24에서 과잉의 제1 전구체 증기(및 임의의 휘발성 반응 부산물들)는 이후 상기 기판과의 접촉으로부터 제거될 수 있다. 이러한 제거는 예를 들어 퍼징(purging), 펌핑 다운(pump down), 상기 기판을 상기 제1 반응물에 노출되는 챔버 또는 영역으로부터 상기 기판을 이동시킴으로써, 또는 이들의 조합들로써 이루어질 수 있다. 일부 실시예들에서 예를 들어 퍼지 시간과 같은 제1 전구체 제거 시간은 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.1초 내지 약 10초 또는 약 0.2초 내지 약 5초이다. 최적의 상기 제거 시간은 당업자에 의하여 특정한 상황들에 기초하여 용이하게 결정될 수 있다. 배치 반응기들이 사용된 일부 실시예들에서 60초를 초과하는 제거 시간이 적용될 수 있다.
일부 실시예들에서, 블록 25에서 제2 전구체는 제4 온도에서 증발되어 제2 기상 전구체를 형성할 수 있다. 일부 실시예들에서 상기 제2 반응 증기는 제5 온도에서 가스 라인을 통하여 상기 기판으로 운반된다. 일부 실시예들에서 상기 제5 운반 온도는 상기 제4 증발 온도보다 높다. 일부 실시예들에서 상기 기판은 상기 제4 온도 보다 높은 제6 온도에서 상기 제2 기상 전구체에 접촉될 수 있다. 일부 실시예들에서 상기 제6 온도는 상기 제1 기상 전구체가 상기 기판과 접촉하는 상기 제3 온도와 실질적으로 동일할 수 있다. 일부 실시예들에서, 블록 26에서 상기 기판은 제2 노출 시간동안 제2 전구체 증기에 노출될 수 있다. 일부 실시예들에서, 상기 제2 반응물은 상기 기판 상의 상기 제1 반응물의 흡착된 종들과 반응할 수 있다.
일부 실시예들에서 상기 제1 전구체 노출 시간은 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.1초 내지 약 10초 또는 약 0.2초 내지 약 5초이다. 최적의 상기 노출 시간은 당업자에 의하여 특정한 상황들에 기초하여 용이하게 결정될 수 있다. 배치 반응기들이 사용된 일부 실시예들에서 60초를 초과하는 노출 시간이 적용될 수 있다.
일부 실시예들에서 상기 제1 반응 증기 및 상기 제2 반응 증기가 섞이지 않도록, 블록 27에서 과잉의 상기 제2 전구체 증기(및 임의의 휘발성 반응 부산물)는 상기 기판과의 접촉으로부터 제거된다. 일부 실시예들에서 상기 유기 막의 상기 기상 퇴적 공정은 플라즈마 및/또는 라디칼들을 사용하지 않으며, 열적 기상 퇴적 공정으로 생각될 수 있다. 일부 실시예들에서 예를 들어 퍼지 시간과 같은 제2 전구체 제거 시간은 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.1초 내지 약 10초 또는 약 0.2초 내지 약 5초이다. 최적의 상기 제거 시간은 당업자에 의하여 특정한 상황들에 기초하여 용이하게 결정될 수 있다. 배치 반응기들이 사용된 일부 실시예들에서 60초를 초과하는 제거 시간이 적용될 수 있다.
블록 28에서 유기 막이 제2 표면 상에 제2 표면에 대하여 선택적으로 퇴적된다. 당업자는 유기 막의 선택적 퇴적이 별개의 행위라기 보다는 상기 접촉 행위들의 결과라는 것을 인식할 것이다. 일부 실시예들에서, 상기 접촉 및 제거(및/또는 공급의 중단) 행위들(블록들 23-27)은 퇴적 사이클로 여겨질 수 있다. 일부 실시예들에서 퇴적 사이클은 원하는 두께의 유기 막이 선택적으로 퇴적될 때까지 반복될 수 있다. 이러한 선택적 퇴적 사이클은 상기 기판 상에 충분한 두께의 막이 남겨질 때까지 반복될 수 있으며(블록 29) 상기 퇴적은 종료된다(블록 30). 상기 선택적 퇴적 사이클은 추가적인 행위들을 포함할 수 있으나, 동일한 순서일 필요는 없으며, 또한 각 반복에서 동일하게 수행될 필요는 없고, 보다 복잡한 기상 퇴적 기술들로 용이하게 확장될 수 있다. 예를 들어, 선택적 퇴적 사이클은 각 사이클 또는 선택된 사이클들에서 추가적인 반응물들의 공급 및 제거와 같은 추가적인 반응물 공급 공정들을 포함할 수 있다. 도시되지 않았지만, 상기 공정은 고분자를 형성하기 위하여 퇴적된 막을 처리하는 단계(예를 들어, UV 처리, 어닐링, 등등)를 추가적으로 포함할 수 있다.
도3을 참조하면, 일부 실시예들에서, 블록 31에서 제1 금속 또는 금속성 표면 및 제2 유전체 표면을 포함하는 기판이 제공된다. 일부 실시예들에서 상기 금속 또는 금속성 표면은 금속, 금속 산화물, 및/또는 이들의 혼합물들을 포함할 수 있다. 일부 실시예들에서 상기 금속 또는 금속성 표면은 표면 산화를 포함할 수 있다. 일부 실시예들에서 상기 금속 또는 금속성 표면의 상기 금속 또는 금속성 물질은 표면 산화가 있거나 없는 상태에서 전기적으로 전도성이 있다. 일부 실시예들에서 상기 제1 표면은 패시베이션된 Cu와 같이 패시베이션된 금속 표면을 포함할 수 있다.
일부 실시예들에서 상기 제2 유전체 표면은 산화 실리콘을 포함할 수 있다. 본원에서 유전체라는 용어는 다른 표면, 즉 금속 또는 금속성 표면과의 구별의 간결성을 위하여 사용된다. 특정 실시예들과 관련하여 달리 명시되지 않는한, 본 명세서의 문맥에서 상기 유전체라는 용어는 전기적으로 비전도성이거나 매우 높은 비저항을 갖는 모든 표면들을 포함하는 것으로 이해될 수 있다. 일부 실시예들에서 상기 제2 표면은 -OH 그룹들을 포함할 수 있다. 일부 실시예들에서 상기 제2 표면은 SiO2 기반의 표면일 수 있다. 일부 실시예들에서 상기 제2 표면은 Si-O 결합들을 포함할 수 있다. 일부 실시예들에서 상기 제2 표면은 SiO2 기반의 저 유전(low-k) 물질을 포함할 수 있다. 일부 실시예들에서 상기 제2 표면은 약 30% 초과, 또는 약 50%를 초과하는 산화 실리콘을 포함할 수 있다. 일부 실시예들에서 상기 제2 표면은 GeO2을 포함할 수 있다. 일부 실시예들에서 상기 제2 표면은 Ge-O 또는 Ge-OH 결합들을 포함할 수 있다.
일부 실시예들에서 상기 제1 전구체는 제1 온도에서 증발되어 제1 기상 전구체를 형성할 수 있다. 일부 실시예들에서 상기 제1 전구체 증기는 제2 온도에서 가스 라인을 통하여 상기 기판으로 운반된다. 일부 실시예들에서 상기 제2 운반 온도는 상기 제1 증발 온도보다 높다. 일부 실시예들에서 블록 32에서 상기 기판은 제1 노출 시간 동안 상기 제1 기상 전구체, 또는 반응물에 접촉된다. 일부 실시예들에서, 상기 기판은 상기 제1 온도보다 높은 제3 온도에서 상기 제1 기상 전구체에 접촉될 수 있다.
일부 실시예들에서 상기 제1 전구체 노출 시간은 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.1초 내지 약 10초 또는 약 0.2초 내지 약 5초이다. 최적의 상기 노출 시간은 당업자에 의하여 특정한 상황들에 기초하여 용이하게 결정될 수 있다. 배치 반응기들이 사용된 일부 실시예들에서 60초를 초과하는 노출 시간이 적용될 수 있다.
일부 실시예들에서, 블록 33에서 상기 기판은 제2 노출 시간 동안 제2 기상 전구체, 또는 반응물에 접촉된다. 일부 실시예들에서 상기 제2 전구체는 제4 온도에서 증발되어 상기 제2 기상 전구체를 형성한다. 일부 실시예들에서 상기 제2 반응 증기는 제5 온도에서 가스 라인을 통하여 상기 기판으로 운반된다. 일부 실시예들에서 상기 제5 운반 온도는 상기 제4 증발 온도보다 높다. 일부 실시예들에서, 상기 기판은 상기 제4 온도보다 높은 제6 온도에서 상기 제2 기상 전구체에 접촉될 수 있다. 일부 실시예들에서 상기 제6 온도는 상기 제1 기상 전구체가 상기 기판과 접촉하는 상기 제3 온도와 실질적으로 동일할 수 있다.
일부 실시예들에서 상기 제2 전구체 노출 시간은 약 0.01초 내지 약 60초, 약 0.05초 내지 약 30초, 약 0.1초 내지 약 10초 또는 약 0.2초 내지 약 5초이다. 최적의 상기 노출 시간은 당업자에 의하여 특정한 상황들에 기초하여 용이하게 결정될 수 있다. 배치 반응기들이 사용된 일부 실시예들에서 60초를 초과하는 노출 시간이 적용될 수 있다.
블록 34에서 유기 막이 제1 금속성 표면 상에 제2 유전체 표면에 대하여 선택적으로 퇴적된다. 당업자는 유기 막의 선택적 퇴적이 별개의 행위라기 보다는 상기 접촉 행위들의 결과라는 것을 인식할 것이다. 일부 실시예들에서, 상기 접촉 행위들(블록들 32,33)은 퇴적 사이클로 여겨질 수 있다. 일부 실시예들에서 원하는 두께의 유기 막이 상기 기판의 상기 제1 금속성 표면 상에 상기 제2 유전체 표면에 대하여 선택적으로 퇴적될 때까지 퇴적 사이클이 반복될 수 있다. 이러한 선택적 퇴적 사이클은 상기 기판의 상기 제1 금속성 표면 상에 충분한 두께의 막이 남을 때까지 반복 될 수 있고(블록 35), 퇴적은 종료된다(블록 36). 상기 선택적 퇴적 사이클은 추가적인 행위들을 포함할 수 있으나, 동일한 순서일 필요는 없으며, 또한 각 반복에서 동일하게 수행될 필요는 없고, 보다 복잡한 기상 퇴적 기술들로 용이하게 확장될 수 있다. 예를 들어, 선택적 퇴적 사이클은 각 사이클 또는 선택된 사이클들에서 추가적인 반응물들의 공급 및 제거와 같은 추가적인 반응물 공급 공정들을 포함할 수 있다. 도시되지 않았지만, 상기 공정은 고분자를 형성하기 위하여 퇴적된 막을 처리하는 단계(예를 들어, UV 처리, 어닐링, 등등)를 추가적으로 포함할 수 있다.
위에 기술된 공정들에 다양한 반응물들이 이용될 수 있다. 예를 들어, 일부 실시예들에서, 상기 제1 전구체 또는 반응물은 1,6-디아미노헥산(DAH)과 같은 디아민, 또는 두 개의 반응 그룹들을 갖는 임의의 다른 단량체(monomer)와 같은 유기 반응물이다.
* 일부 실시예들에서, 상기 제2 반응물 또는 전구체는 또한 상기 퇴적 조건들 하에서 상기 제1 반응물의 흡착된 종들과 반응을 할 수 있는 유기 물질이다. 예를 들어, 상기 제2 반응물은 푸란-2,5-디온(furan-2,5-dione)(말레산 무수물(maleic acid anhydride))과 같은 무수물, 또는 특히 피로멜리트산 이무수물(PMDA)과 같은 이무수물, 또는 제1 반응물과 반응할 수 있는 두 개의 반응 그룹들을 갖는 다른 임의의 단량체일 수 있다.
일부 실시예들에서 상기 기판은 상기 제2 전구체에 접촉되기 전에 상기 제1 전구체에 접촉될 수 있다. 따라서, 일부 실시예들에서 상기 기판은 다른 전구체에 접촉되기 전에 예를 들어 1,6-디아미노헥산(DAH)와 같은 디아민과 같은 아민에 접촉될 수 있다. 그러나, 일부 실시예들에서 상기 기판은 상기 제1 전구체에 접촉되기 전에 상기 제2 전구체에 접촉될 수 있다. 따라서, 일부 실시예들에서 상기 기판은 다른 전구체에 접촉되기 전에 푸란-2,5-디온(furan-2,5-dione)(말레산 무수물(maleic acid anhydride))과 같은 무수물, 또는 특히 피로멜리트산 이무수물(PMDA)과 같은 이무수물에 접촉될 수 있다.
위에 기술된 공정들은 상기 기판을 상기 제1 기상 전구체에 접촉시킴으로써 시작되나, 다른 실시예들에서 상기 기판을 상기 제2 기상 전구체에 접촉시킴으로써 공정을 시작할 수 있다. 여기 기술된 공정들에서 상기 기판을 제1 전구체 및 제2 전구체에 접촉시키는 것은 상호교환 가능하다는 것이 당업자에게 이해될 것이다.
일부 실시예들에서, 막의 성질들을 조율하기 위하여 다른 반응물들이 사용될 수 있다. 예를 들어, 폴리이미드 막은 증가된 건식 식각 저항성 및 더 큰 방향족성(aromaticity)과 함께 더 단단한 구조를 얻기 위하여 1,6-디아미노헥산 대신 4,4'-옥시디아닐린(4,4'-oxydianiline) 또는 1,4-디아미노벤젠(1,4-diaminobenzene)을 이용하여 퇴적될 수 있다.
일부 실시예들에서 상기 반응물들은 금속 원자들을 포함하지 않는다. 일부 실시예들에서 상기 반응물들은 반금속(semimetal) 원자들을 포함하지 않는다. 일부 실시예들에서 상기 반응물들 중 하나는 금속 또는 반금속 원자들을 포함한다. 일부 실시예들에서 상기 반응물들은 탄소 및 수소 및 다음 원소들: 질소(N), 산소(O), 황(S), 인(P), 또는 염소(Cl) 또는 불소(F)와 같은 할라이드 중 하나 이상을 포함한다. 일부 실시예들에서 상기 제1 반응물은 예를 들어 아디포일 클로라이드(AC)를 포함할 수 있다.
퇴적 조건들은 선택된 반응물들에 따라 다를 수 있으며 선택에 따라 최적화될 수 있다. 일부 실시예들에서 상기 반응 온도는 약 80℃ 내지 약 250℃ 범위에서 선택될 수 있다. 예를 들어, 상기 선택적으로 퇴적된 유기 막이 폴리이미드를 포함하는 일부 실시예들에서, 상기 반응 온도는 약 170℃ 내지 약 210℃ 범위에서 선택될 수 있다. 예를 들어, 상기 선택적으로 퇴적된 유기 막이 폴리아미드를 포함하는 일부 실시예들에서, 상기 반응 온도는 약 80℃ 내지 약 150℃ 범위에서 선택될 수 있다. 상기 선택적으로 퇴적된 유기 막이 폴리이미드를 포함하는 일부 실시예들에서, 상기 반응 온도는 약 160℃, 180℃, 190℃, 200℃, 또는 210℃ 보다 높을 수 있다. 상기 선택적으로 퇴적된 유기 막이 폴리아미드를 포함하는 일부 실시예들에서, 상기 반응 온도는 약 80℃, 90℃, 100℃, 110℃, 120℃, 130℃, 140℃ 또는 150℃ 보다 높을 수 있다.
일부 실시예들에서 상기 반응 챔버 압력은 약 1mTorr 내지 약 1000Torr일 수 있다.
예를 들어, 단일 웨이퍼 퇴적 장치에서 PMDA 및 DAH를 이용한 폴리이미드의 순차적 퇴적에서, 기판 온도는 약 150℃ 내지 약 250℃, 또는 약 170℃ 내지 약 210℃의 범위에서 선택될 수 있으며, 압력은 약 1mTorr 내지 약 760Torr, 특히 약 100mTorr 내지 약 100Torr 범위에서 선택될 수 있다.
일부 실시예들에서 상기 선택적으로 퇴적된 또는 형성된 유기 막은 금속 원자들을 포함하지 않는다. 일부 실시예들에서 상기 선택적으로 퇴적된 또는 형성된 유기 막은 반금속 원자들을 포함하지 않는다. 일부 실시예들에서 상기 선택적으로 퇴적된 또는 형성된 유기 막은 금속 또는 반금속 원자들을 포함한다. 일부 실시예들에서 상기 선택적으로 퇴적된 또는 형성된 유기 막은 탄소 및 수소 및 다음 원소들: N, O, S, 또는 P 중 하나 이상을 포함한다.
본원에 기술된 상기 선택적 퇴적 공정들에 사용될 수 있는 적합한 반응기들의 예들은 애리조나 피닉스의 ASM America사 및 네덜란드 알미어의 ASM Europe사로부터 입수 할 수 있는, F-120® 반응기, Pulsar3000®, Pulsar2000®과 같은 Pulsar® 반응기, 및 Advance®400 시리즈 반응기와 같은 상업적으로 이용 가능한 ALD 장비를 포함한다. 이러한 ALD 반응기들에 더하여, 유기 박막들의 성장이 가능한 여러 다른 종류들의 반응기들이 이용될 수 있으며, 이는 CVD 반응기들, VDP 반응기들, 및 MLD 반응기들을 포함한다.
일부 실시예들에서 적합한 반응기는 배치 반응기일 수 있으며 둘 이상의 기판들을 담을 수 있다. 일부 실시예들에서 상기 기판은 예를 들어 웨이퍼들을 담을 수 있다. 일부 실시예들에서 적합한 반응기는 둘 이상, 다섯 이상, 10 이상, 25 이상, 50 이상, 또는 100이상의 기판들을 담을 수 있다. 일부 실시예들에서 상기 기판은 반도체 또는 실리콘 웨이퍼들과 같은 웨이퍼들을 포함할 수 있다. 일부 실시예들에서 상기 기판들은 100mm 이상, 200mm 이상, 또는 300mm 이상의 지름들을 가질 수 있다. 일부 예들에서, 450mm 이상의 지름들을 갖는 기판들이 바람직할 수 있다.
일부 실시예들에서 그 상에 유기 막이 선택적으로 형성되는 기판의상기 제1 표면(예를 들어 금속성 표면)은 반도체 기판 상의 구조 또는 집적 회로 대상체를 포함할 수 있다. 일부 실시예들에서 상기 기판의 상기 제1 표면은 하나 이상의 금속 선들 또는 점들을 포함할 수 있다. 예를 들어, 상기 기판의 상기 제1 표면은 텅스텐(W), 코발트(Co), 또는 구리(Cu) 선을 포함할 수 있으며 상기 제2 표면은 산화 실리콘 기반의 재료일 수 있다. 즉, 일부 실시예들에서 상기 기판은 적어도 상기 제1 금속성 표면의 제1 부분 및 상기 금속성 표면의 제2 부분을 포함할 수 있고, 상기 제1 금속성 표면의 상기 제1 및 제2 부분들은 제2 유전체 표면에 의하여 상기 기판 상에서 분리될 수 있다.
일부 실시예들에서, 본원에 기술된 상기 선택적 퇴적 공정들의 선택도는 유기 막이 선택적으로 퇴적된 상기 제1 표면의 상기 부분들의 치수들 또는 피치(pitch)에 따라 변할 수 있다. 일부 실시예들에서 상기 제1 표면을 포함하는 구조들의 피치가 증가함에 따라 본원에 기술된 상기 선택적 퇴적 공정들의 선택도는 증가할 수 있다. 여기 사용된 증가된 피치는 반도체 산업에서 통상적인 것으로서 주어진 치수 내에서 더 많은 구조물들의 수, 또는 더 높은 밀도 및 구조물들 사이의 더 가까운 간격을 의미한다.
일부 실시예들에서 선택적 퇴적 공정은 상기 제1 표면을 포함하는 구조물들의 주기가 약 1μm 미만, 약 500nm 미만, 약 250nm 미만, 또는 약 100nm 미만인 기판 상에 원하는 선택도를 얻을 수 있다. 일부 실시예들에서 상기 제1 표면을 포함하는 상기 구조물들의 주기는 40nm 미만, 또는 심지어는 20nm 미만이다. 여기 사용된 바와 같이, 주기란 기판 상의 두 개의 가장 인접한 반복되는 구조들, 물질들, 또는 표면들 사이의 거리를 말한다. 일부 실시예들에서 선택적 퇴적 공정의 상기 선택도는 전술한 기판 상의 반복되는 패턴들의 주기와 같이, 제1 표면을 포함하는 상기 기판의 제1 부분과 제1 표면을 포함하는 상기 기판의 제2 부분 사이의 거리에 의존할 수 있다. 일부 실시예들에서 제1 물질 부분들 사이의 거리가 감소함에 따라 여기 기술된 상기 선택적 퇴적 공정들의 상기 선택도는 증가할 수 있다.
일부 실시예들에서 상기 제1 표면의 제2 부분으로부터 떨어져 있는 상기 제1 표면의 제1 부분을 포함하는 기판 상에서 선택적 퇴적 공정은 원하는 선택도를 달성할 수 있다. 일부 실시예들에서 상기 제1 표면의 상기 제1 및 제2 부분들 사이의 거리가 약 1μmμm 미만, 약 500nm 미만, 약 250nm 미만, 또는 약 100nm 미만인 때에 상기 원하는 선택도를 얻을 수 있다.
일부 실시예들에서 선택적 퇴적 공정의 상기 선택도는 상기 선택적 퇴적 공정에서 수행된 성장, 또는 퇴적 사이클들의 수와 관련될 수 있다. 일부 실시예들에서 선택적 퇴적 공정의 상기 선택도는 퇴적 사이클들의 수와 함께 증가할 수 있다. 예를 들어, 각각의 공정에서 퇴적 사이클들의 조건들이 실질적으로 동일한 경우, 250회의 퇴적 사이클들을 포함하는 선택적 퇴적 공정의 선택도는 1000회의 퇴적 사이클들을 포함하는 선택적 퇴적 공정의 선택도보다 작을 수 있다. 전형적인 선택적 기상 퇴적 공정들은 두께 또는 퇴적 시간이 증가함에 따라 선택성을 잃는 경향이 있다는 점에 비추어볼 때, 이는 놀랍다.
일부 실시예들에서 선택적 퇴적 공정의 퇴적 사이클들의 수의 증가는 상기 공정의 선택도의 상응하는 증가를 야기할 수 있다. 예를 들어, 도8의 실시예에서 이해될 수 있는 바와 같이, 일부 실시예들에서 퇴적 사이클들의 수를 두 배로 증가시키는 것은 두 배의 선택도를 갖는 선택적 퇴적 공정을 야기할 수 있다.
[101] 여기 기술된 상기 선택적 퇴적 공정들의 퇴적 또는 반응 온도는 일반적으로 상기 제1 및 제2 반응물들의 증발 온도들보다 높거나 같지만, 일부 다른 실시예들에서 상기 반응 온도는 상기 반응물 증발 온도들 중 하나 또는 둘보다 낮을 수 있다.
전구체들
여기 기술된 공정들에 따라 폴리아미드 또는 폴리이미드 막들을 퇴적하기 위하여 다양한 반응물들이 이용될 수 있다. 예를 들어, 일부 실시예들에서 상기 제1 전구체 또는 반응물은 예를 들어 디아민과 같은 아민이다. 일부 실시예들에서 상기 제1 반응물은 예를 들어 1,6-디아미노헥산(DAH)일 수 있다. 일부 실시예들에서 상기 기판은 상기 제2 전구체에 접촉되기 전에 상기 제1 전구체에 접촉된다. 따라서, 일부 실시예들에서 상기 기판은 제2 전구체에 접촉되기 전에 디아민과 같은 아민에 접촉될 수 있다.
일부 실시예들에서, 상기 제2 반응물 또는 전구체는 또한 퇴적 조건들 하에서 상기 제1 반응물의 흡착된 종들과 반응을 할 수 있는 유기 반응물이다. 예를 들어, 일부 실시예들에서, 상기 제2 전구체 또는 반응물은 푸란-2,5-디온(말렌산 무수물)과 같은 무수물과 같은 유기 반응물이다. 상기 무수물은 피로멜리트산 이무수물(PMDA)과 같은 이무수물일 수 있다. 일부 실시예들에서 상기 제2 반응물은 상기 제1 반응물과 반응하는 두 반응 그룹들을 포함하는 임의의 다른 단량체일 수 있다.
일부 실시예들에서 상기 반응물들은 금속 원자들을 포함하지 않는다. 일부 실시예들에서 상기 반응물들은 반금속 원자들을 포함하지 않는다. 일부 실시예들에서 상기 반응물들 중 하나는 금속 또는 반금속 원자들을 포함한다. 일부 실시예들에서 상기 반응물들은 탄소 및 수소 및 다음 원소들: 질소(N), 산소(O), 황(S), 인(P), 또는 염소(Cl) 또는 불소(F)와 같은 할라이드 중 하나 이상을 포함한다. 일부 실시예들에서 상기 제1 반응물은 예를 들어 아디포일 클로라이드(AC)를 포함할 수 있다.
일부 실시예들에서 여기 기술된 선택적 퇴적 공정들에 이용되는 반응물들은 다음과 같은 화학식을 가질 수 있다:
R1(NH2)2
여기서 R1은 1 내지 5개의 탄소 원자들, 2 내지 5개의 탄소 원자들,2 내지 4개의 탄소 원자들, 5개 이하의 탄소 원자들, 4개 이하의 탄소 원자들, 3개 이하의 탄소 원자들, 또는 2개의 탄소 원자들을 포함하는 지방족 탄소 사슬일 수 있다. 일부 실시예들에서 상기 반응물 또는 전구체의 탄소 원자들 사이의 결합들은 단일 결합들, 이중 결합들, 삼중 결합들, 또는 일들의 일부 조합일 수 있다. 따라서, 일부 실시예들에서 반응물은 두개의 아미노 그룹들을 포함한다. 일부 실시예들에서 반응물의 상기 아미노 그룹들은 지방족 탄소 사슬 상에 하나 또는 둘의 말단 위치들을 차지할 수 있다. 그러나, 일부 실시예들에서 상기 반응물의 아미노 그룹들은 지방족 탄소 사슬의 양 말단 위치 어느 것도 차지하지 않을 수 있다. 일부 실시예들에서 반응물은 디아민을 포함할 수 있다. 일부 실시예들에서 반응물은 1,2-디아미노에테인(1,2-diaminoethane)(l), 1,3-디아미노프로페인(1,3-diaminopropane)(l), 1,4-디아미노 뷰테인(1,4-diaminobutane)(l), 1,5-디아미노펜테인(1,5-diaminpentane)(l), 1,2-디아미노프로페인(1,2-diaminopropane)(l), 2,3-뷰테인디아민(2,3-butanediamine)(l), 2-2-디메틸-1,3-프로페인디아민(2-2-dimethyl-1,3-propanediamine)(l)으로 이루어진 군에서 선택된 유기 전구체를 포함할 수 있다.
일부 실시예들에서 여기 기술된 상기 선택적 퇴적 공정들에 이용되는 반응물들은 다음과 같은 화학식을 가질 수 있다:
R2(COCl)2
여기서 R2는 1 내지 3개의 탄소 원자들, 2 내지 3개의 탄소 원자들, 또는 3개 이하의 탄소 원자들을 포함하는 지방족 탄소 사슬일 수 있다. 일부 실시예들에서 상기 반응물 또는 전구체 내에서 탄소 원자들 사이 결합들은 단일 결합들, 이중 결합들, 삼중 결합들, 또는 이들의 몇몇 조합일 수 있다. 일부 실시예들에서 반응물은 클로라이드를 포함할 수 있다. 일부 실시예들에서 디아실 클로라이드(diacyl chloride)를 포함할 수 있다. 일부 실시예들에서 반응물은 옥살릴 클로라이드(I)(oxalyl chloride), 말로닐 클로라이드(malonyl chloride), 및 푸마릴 클로라이드(fumaryl chloride)로 구성된 군에서 선택된 유기 전구체를 포함할 수 있다.
일부 실시예들에서, 반응물은 1,4-디이소시아나토뷰테인(1,4-diisocyanatobutane) 또는 1,4-디이소시아나토벤젠(1,4-diisocyanatobenzene)으로 구성된 군에서 선택된 유기 전구체를 포함한다. 일부 실시예들에서 반응물은 테레프탈로일 디클로라이드(terephthaloyl dichloride), 헥세인디오일 디클로라이드(hexanedioyl dichloride), 옥테인디오일 디클로라이드(octanedioyl dichloride), 노네인디오일 디클로라이드(nonanedioyldichloride), 데케인디오일 디클로라이드(decanedioyl dicholore)과 같은 알킬디오일 디클로라이드(alkyldioyl dichloride)들, 또는 테레프탈로일 디클로라이드(terephthaloyl dichloride)로 구성된 군에서 선택된 유기 전구체를 포함한다. 일부 실시예들에서, 반응물은 1,4-디이소티오시아나토벤젠(1,4-diisothiocyanatobenzene) 또는 테레프탈알데히드(terephthalaldehyde)로 구성된 군에서 선택된 유기 전구체를 포함한다. 일부 실시예들에서, 증발되는 반응물은 또한 1,4-디아미노벤젠(1,4-diaminobenzene), 데케인-1,10-디아민(decane-1,10-diamine), 4-니트로벤젠-1,3-디아민(4-nitrobenzene-1,3-diamine), 4,4'-옥시디아닐린(4,4'-oxydianiline), 또는 에틸렌 디아민(ethylene diamine)과 같은 디아민일 수 있다. 일부 실시예들에서, 반응물은 테레프탈산 비스(2-하이드록시에틸) 에스터(terephthalic acid bis(2-hydroxyethyl) ester)일 수 있다. 일부 실시예들에서 반응물은 예를 들어 에탄이산(ethanedioic acid), 프로판이산(propanedioic acid), 부탄이산(butanedioic acid), 펜탄이산(pentanedioic acid) 또는 프로페인-1,2,3-트리카르복실 산(propane-1,2,3-tricarboxylic acid)과 같은 알킬-(alkyl), 알케닐-(alkenyl-), 알카디에닐-(alkadienyl-) 디카르복실 (dicarboxylic) 또는 트리카를복실(tricarboxylic) 산과 같은 카르복실 산(carboxylic acid)일 수 있다. 일부 실시예들에서, 반응물은 벤조산(benzoic acid), 벤젠-1,2-디카르복실 산(benzene-1,2-dicarboxylic acid), 벤젠-1,4-디카르복실 산(benzene-1,4-dicarboxylic acid) 또는 벤젠-1,3-디카르복실 산(benzene-1,3-dicaroxylic acid)과 같은 방향족 카르복실 또는 디카르복실 산일 수 있다. 일부 실시예들에서, 반응물은 탄화수소에 결합된 하나 이상의 OH-그룹들을 포함할 수 있다. 일부 실시예들에서, 반응물은 디올(diol)들, 트리올(triol)들, 4-아미노페놀(4-aminophenol), 벤젠-1,4-디올(benzene-1,4-diol) 또는 벤젠-1,3,5-트리올(benzene-1,3,5-triol)과 같은 아미노페놀(aminophenol)들로 구성된 군에서 선택될 수 있다. 일부 실시예들에서 반응물은 8-퀴놀리놀(8-quinolinol)일 수 있다. 일부 실시예들에서, 상기 반응물은 7-옥테닐트리클로로실레인(7-octenyltrichlorosilane)과 같은 알케닐트리클로로실레인(alkenyltrichlorosilane)들 유사의 알케닐클로로실레인들(alkenylchlorosilanes)을 포함할 수 있다.
일부 실시예들에서 반응물은 약 20℃ 또는 상온의 온도에서 약 0.5Torr, 0.1Torr, 0.2Torr, 0.5Torr, 또는 1Torr 이상의 증기압을 가질 수 있다. 일부 실시예들에서 반응물은 약 400℃ 미만, 약 300℃ 미만, 약 250℃ 미만, 약 200℃ 미만, 약 175℃ 미만, 약 150℃ 미만, 또는 약 100℃ 미만의 끓는점을 가질 수 있다.
폴리아미드 퇴적
일부 실시예들에서 여기 교시된 퇴적 공정들은 폴리아미드 박막의 퇴적을 포함할 수 있다. 일부 실시예들에서 이러한 퇴적 공정은 기상 퇴적 공정을 포함할 수 있다. 일부 실시예들에서 이러한 퇴적 공정은 분자층 퇴적(MLD) 공정을 포함할 수 있다. 일부 실시예들에서 이러한 퇴적 공정들은 선택적인 퇴적 공정일 수 있다. 그러나, 일부 실시예들에서 상기 퇴적 공정들은 비선택적인 퇴적 공정일 수 있다. 일부 실시예들에서 제1 유기 반응물은 증발되어 제1 전구체 증기를 형성한다. 상기 증발되는 반응물은 표준 온도 및 압력 조건들(상온 및 대기압) 하에서 액체 또는 기체일 수 있다. 일부 실시예들에서, 상기 증발된 제1 반응물은 예를 들어, 아디포일 클로라이드(AC)와 같은 유기 염화물과 같은 유기 전구체를 포함한다. 일부 실시예들에서 반응물은 옥살릴 클로라이드(I)(oxalyl chloride), 말로닐 클로라이드(malonyl chloride), 및 푸마릴 클로라이드(fumaryl chloride)로 구성된 그룹에서 선택된 유기 전구체를 포함할 수 있다.
일부 실시예들에서 상기 제1 전구체는 제1 온도에서 증발되어 제1 기상 전구체를 형성할 수 있다. 일부 실시예들에서 상기 제1 전구체 증기는 제2 온도에서 가스 라인을 통해 상기 기판으로 운반된다. 일부 실시예들에서 상기 제2 운반 온도는 상기 제1 증발 온도보다 높다. 일부 실시예들에서 상기 기판은 제1 노출 시간동안 제1 기상 전구체, 또는 반응물에 접촉된다. 일부 실시예들에서 상기 기판은 상기 제1 온도보다 높은 제3 온도에서 상기 제1 기상 전구체에 접촉될 수 있다.
일부 실시예들에서 상기 제1 전구체 노출 시간은 약 0.05초 내지 약 5초, 약 0.1 초 내지 약 3초, 또는 약 0.2초 내지 약 1.0초이다. 최적의 상기 노출 시간은 당업자에 의하여 특정한 상황들에 기초하여 용이하게 결정될 수 있다.
일부 실시예들에서 제2 유기 반응물이 증발되어 제2 전구체 증기를 형성한다. 상기 증발되는 반응물은 표준 온도 및 압력 조건들(상온 및 대기압)에서 액체 또는 고체일 수 있다. 일부 실시예들에서, 상기 증발되는 반응물은 예를 들어 에틸렌 디아민(EDA)와 같은 유기 아민과 같은 유기 전구체를 포함한다. 일부 실시예들에서 반응물은 1,2-디아미노에테인(l)(1,2-diaminoethane), 1,3-디아미노프로페인(l)(1,3-diaminopropane), 1,4-디아미노뷰테인(l)(1,4-diaminobutane), 1,5-디아미노펜테인(l)(1,5-diaminopentane), 1,2-디아미노프로페인(l)(1,2-diaminopropane), 2,3-뷰테인디아민(l)(2,3-butanediamine), 2,2-디메틸-1,3-프로페인디아민(l)(2,2-dimethyl-1,3-propanediamine)으로 구성된 군에서 선택된 유기 전구체를 포함할 수 있다.
일부 실시예들에서 상기 기판은 제2 노출 시간 동안 제2 기상 전구체, 또는 반응물에 접촉된다. 일부 실시예들에서 상기 제2 전구체는 제4 온도에서 증발되어 제2 기상 전구체를 형성할 수 있다. 일부 실시예들에서 상기 제2 반응 증기는 제5 온도에서 가스 라인을 통하여 상기 기판으로 운성된다. 일부 실시예들에서 상기 제5 운반 온도는 상기 제4 증발 온도보다 높다. 일부 실시예들에서 상기 기판은 상기 제4 온도보다 높은 제6 온도에서 상기 제2 기상 전구체와 접촉될 수 있다. 일부 실시예들에서 상기 제6 온도는 상기 제1 기상 전구체가 상기 기판과 접촉하는 상기 제3 온도와 실질적으로 동일할 수 있다.
일부 실시예들에서 상기 제1 전구체 노출 시간은 약 0.05초 내지 약 5초, 약 0.1 초 내지 약 3초, 또는 약 0.2초 내지 약 1.0초이다. 최적의 상기 노출 시간은 당업자에 의하여 특정한 상황들에 기초하여 용이하게 결정될 수 있다.
일부 실시예들에서 원하는 두께의 폴리아미드 막이 기판 상에 퇴적된다. 당업자는 폴리아미드 막의 퇴적이 별개의 행위라기 보다는 상기 접촉 행위들의 결과라는 것을 인식할 것이다. 일부 실시예들에서, 상기 접촉 행위들은 퇴적 사이클로 여겨질 수 있다. 일부 실시예들에서 원하는 두께의 유기 막이 선택적으로 퇴적될 때까지 퇴적 사이클이 반복될 수 있다. 이러한 선택적 퇴적 사이클은 상기 기판 상에 충분한 두께의 막이 남을 때까지 반복 될 수 있고, 퇴적은 종료된다. 상기 선택적 퇴적 사이클은 추가적인 행위들을 포함할 수 있으나, 동일한 순서일 필요는 없으며, 또한 각 반복에서 동일하게 수행될 필요는 없고, 보다 복잡한 기상 퇴적 기술들로 용이하게 확장될 수 있다. 예를 들어, 선택적 퇴적 사이클은 각 사이클 또는 선택된 사이클들에서 추가적인 반응물들의 공급 및 제거(기판에 대하여)와 같은 추가적인 반응물 공급 공정들을 포함할 수 있다. 도시되지 않았지만, 상기 공정은 고분자를 형성하기 위하여 퇴적된 막을 처리하는 단계(예를 들어, UV 처리, 어닐링, 등등)를 추가적으로 포함할 수 있다.
후속 공정
일부 실시예들에서 여기 기술된 선택적 퇴적 공정과 같은 유기 막 퇴적 공정에 이어서 후속 공정이 수행 될 수 있다. 예를 들어 일부 실시예들에서 상기 기판은 상기 퇴적된 유기 막의 적어도 일 부분을 제거하기 위하여 식각 공정을 거칠 수 있다. 일부 실시예들에서 상기 유기 막의 선택적 퇴적에 이은 식각 공정은 상기 기판의 상기 제1 표면 및 상기 제2 표면 둘 다로부터 퇴적된 유기 물질을 제거할 수 있다. 일부 실시예들에서 상기 식각 공정은 등방성일 수 있다.
일부 실시예들에서 상기 식각 공정은 상기 제1 및 제2 표면들로부터동일한 양, 또는 두께의 물질을 제거할 수 있다. 즉, 일부 실시예들에서 상기 제1 표면 상에 퇴적된 상기 유리 물질의 식각률은 상기 제2 표면 상에 퇴적된 상기 유기 물질의 식각률과 실질적으로 유사할 수 있다. 본원에 기술된 퇴적 공정들의 선택적인 성질로 인하여, 상기 기판의 상기 제2 표면 상에 퇴적된 유기 물질의 양은 상기 기판의 상기 제1 표면 상에 퇴적된 물질의 양보다 실질적으로 작을 수 있다. 그러므로, 식각 공정은 상기 기판의 상기 제2 표면으로부터 퇴적된 유기 물질을 완전히 제거될 수 있는 반면, 상기 기판의 상기 제1 표면 상에 퇴적된 유기 물질이 남아있을 수 있다.
일부 실시예들에서 상기 식각 공정은 예를 들어 플라즈마 식각 공정과 같은 건식 식각 공정과 같이 당 업계에서 공지된 식각 공정을 포함할 수 있다. 일부 실시예들에서 상기 식각 공정은 상기 기판을 수소 원자들, 수소 라디칼들, 수소 플라즈마, 또는 이들의 조합들에 노출시키는 단계를 포함할 수 있다. 예를 들어, 일부 실시예들에서 상기 식각 공정은 상기 기판을 약 10W 내지 약 5000W, 약 25W 내지 약 2500W, 약 50W 내지 약 500W, 또는 약 100W 내지 약 400W의 전력을 사용하여 수소(H2)로부터 생성된 플라즈마에 노출시키는 단계를 포함할 수 있다. 일부 실시예들에서 상기 식각 공정은 상기 기판을 약 1W 내지 약 1000W, 약 10W 내지 약 500W, 약 20W 내지 약 250W, 또는 약 25W 내지 약 100W의 전력을 사용하여 생성된 플라즈마에 노출시키는 단계를 포함할 수 있다.
일부 실시예들에서 상기 식각 공정은 상기 기판을 플라즈마에 노출시키는 단계를 포함할 수 있다. 일부 실시예들에서 상기 플라즈마는 산소 원자들, 산소 라디칼들, 산소 플라즈마, 또는 이들의 조합들과 같은 반응 종들을 포함할 수 있다. 일부 실시예들에서 상기 플라즈마는 수소 원자들, 수소 라디칼들, 수소 플라즈마, 또는 이들의 조합들과 같은 반응 종들을 포함할 수 있다. 일부 실시예들에서 상기 플라즈마는 반응 종들에 더하여 예를 들어 아르곤(Ar) 또는 헬륨(He) 종들과 같은 비활성 기체 종들을 또한 포함할 수 있다. 일부 실시예들에서 상기 플라즈마는 활성 종들 없이 비활성 기체 종들을 포함할 수 있다. 일부 예들에서, 상기 플라즈마는 예를 들어 질소 원자들, 질소 라디칼들, 질소 플라즈마, 또는 이들의 조합들과 같이 다른 종들을 포함할 수 있다. 일부 실시예들에서 상기 식각 공정은 상기 기판을 예를 들어 오존(O3)과 같이 산소를 포함하는 에천트에 노출시키는 단계를 포함할 수 있다. 일부 실시예들에서 상기 기판은 약 30℃ 내지 약 500℃, 또는 약 100℃ 내지 약 400℃의 온도에서 에천트에 노출 될 수 있다. 일부 실시예들에서 상기 에천트는 연속적인 하나의 펄스로 공급될 수 있거나 복수의 더 짧은 펄스들로 공급될 수 있다.
당업자는 상기 기판으로부터 원하는 양의 퇴적된 유기 물질을 제거하기 위한 최적의 상기 노출 시간, 온도 및 전력을 용이하게 결정할 수 있다.
일부 실시예들에서 예를 들어 본원에 기술된 선택적으로 퇴적된 유기 막과 같은 유기 막의 후속 공정은 상기 유기 막이 침투 공정을 거치도록 하는 단계를 포함할 수 있다. 일부 실시예들에서 침투 공정은 상기 유기 막 내로 예를 들어 금속과 같은 무기 물질을 삽입, 혼입, 또는 주입할 수 있다. 상기 침투 공정은 금속 원소, 복수의 금속들, 금속 합금, 금속 산화물, 금속 질화물, 금속 탄화물, 또는 이들의 조합들을 상기 유기 막에 혼합할 수 있다. 일부 실시예들에서 선택적 퇴적 공정을 사용하여 기판의 제1 표면 상에 제2 유전체 표면에 대하여 선택적으로 퇴적한 후에 순차적 침투 합성(sequential infiltration synthesis)으로도 불리는 침투 공정이 수행될 수 있다. 본 명세서에서 침투 공정을 거친 유기 막을 침투된 유기 막이라 지칭한다.
도4를 참조하면, 일부 실시예들에서, 블록 41에서 제1 표면 및 제2 표면을 포함하는 기판이 제공된다. 상기 제1 및 제2 표면들은 상이한 물성들을 가질 수 있다. 일부 실시예들에서 상기 제1 표면은 예를 들어 금속 또는 금속성 표면과 같은 전도성 표면일 수 있고, 상기 제2 표면은 유전체 표면일 수 있다. 일부 실시예들에서 상기 제1 표면은 유전체 표면일 수 있고 상기 제2 표면은 상이한 제2 유전체 표면일 수 있다. 일부 실시예들에서 상기 제1 및 제2 표면들은 동일한 기본 조성을 가질 수 있지만, 형성 방법들의 차이(예를 들어, 열적 산화물, 퇴적된 산화물, 자연 산화물)로 인하여 상이한 물성들을 가질 수 있다.
일부 실시예들에서, 블록 42에서 유기 막이 상기 기판의 상기 제1 표면 상에 상기 기판의 상기 제2 표면에 대하여 선택적으로 형성 또는 퇴적 된다. 일부 실시예들에서 상기 유기 막은 예를 들어 본원에 기술된 바와 같이 하나 이상의 퇴적 사이클들을 포함하는 선택적 퇴적 공정과 같이 본원에 기술된 상기 선택적 퇴적 공정들에 따라 선택적으로 형성될 수 있다. 일부 실시예들에서 상기 선택적으로 형성된 유기 막은 예를 들어 폴리이미드 막을 포함할 수 있다.
일부 실시예들에서, 블록 43에서 상기 선택적으로 형성된 유기 막 내에 무기 물질을 혼입하도록 상기 선택적으로 형성된 유기 막에 침투 공정이 가해진다. 일부 실시예들에서 상기 침투 공정은 교대로 및 순차적으로 상기 선택적으로 형성된 유기 막을 금속을 포함하는 제1 반응물 및 제2 반응물에 접촉하는 단계를 포함하는 하나 이상의 침투 사이클들을 포함할 수 있다.
일부 실시예들에서 침투 공정에 의해 상기 유기 막으로 혼입되는 상기 물질은 금속을 포함할 수 있다. 예를 들어, 상기 혼입되는 물질은 금속, 복수의 금속들, 금속 합금, 금속 산화물, 금속 질화물, 금속 탄화물 물질 및 이들의 조합들을 포함할 수 있다. 일부 실시예들에서 상기 금속은 전이 금속 또는 후-전이 금속(post-transition metal)을 포함할 수 있다. 일부 실시예들에서 상기 금속은 알루미늄 또는 티타늄을 포함할 수 있다. 일부 실시예들에서 침투 공정에 의해 상기 유기 막 내로 혼입되는 상기 물질은 예를 들어 산화 알루미늄(Al2O3) 또는 산화 티타늄(TiO2)을 포함할 수 있다.
선택적으로 퇴적된 유기 막에 금속 산화물이 혼입되는 일부 실시예들에서 상기 제1 반응물은 상기 금속 산화물의 금속을 포함할 수 있고 상기 제2 반응물은 산소를 포함할 수 있다. 선택적으로 퇴적된 유기 막에 금속 질화물이 혼입되는 일부 실시예들에서 상기 제1 반응물은 상기 금속 질화물의 금속을 포함할 수 있고 상기 제2 반응물은 질소를 포함할 수 있다. 선택적으로 퇴적된 유기 막에 금속 탄화물이 혼입되는 일부 실시예들에서 상기 제1 반응물은 상기 금속 질화물의 금속을 포함할 수 있고 상기 제2 반응물은 탄소를 포함할 수 있다. 선택적으로 퇴적된 유기 막에 금속이 혼입되는 일부 실시예들에서 상기 제1 반응물은 상기 금속을 포함할 수 있다.
[131] 선택적으로 퇴적된 유기 막에 산화 알루미늄(Al2O3)이 혼입되는 일부 실시예들에서 상기 제1 반응물은 알루미늄을 포함할 수 있고 상기 제2 반응물은 산소를 포함할 수 있다. 예를 들어, 선택적으로 퇴적된 유기 막에 산화 알루미늄(Al2O3)이 혼입되는 일부 실시예들에서 상기 제1 반응물은 트리메틸알루미늄(trimethylaluminum, TMA)을 포함할 수 있고 상기 제2 반응물은 물(H2O)을 포함할 수 있다. 선택적으로 퇴적된 유기 막에 산화 티타늄(TiO2)이 혼입되는 일부 실시예들에서 상기 제1 반응물은 티타늄을 포함할 수 있고 상기 제2 반응물은 산소를 포함할 수 있다.
일부 실시예들에서 선택적으로 퇴적된 유기 막에 금속 함유 물질을 혼입하기 위하여 사용되는 상기 제1 및 제2 반응물은 당업계에 공지상태에 있거나 향후 개발되는 원자층 퇴적 공정에서 금속 함유 물질을 퇴적하기 위하여 사용되는 반응물들과 동일할 수 있다.
블록 44에서 침투된 유기 막이 상기 기판의 상기 제1 표면 상에 형성된다. 당업자는 상기 침투된 유기 막의 형성이 별개의 행위라기보다는 위에 기술된 행위들(41-43)의 결과라는 것을 인식할 것이다.
일부 실시예들에서 침투 공정은 유기 막의 특정 성질 또는 성질들을 개선시키거나 향상시킬 수 있다. 예를 들어, 유기 막이 침투 공정을 거치도록 하는 것은 침투 공정을 거치지 않은 동일한 유기 막에 비하여 특정 에천트들 또는 식각 공정들에 대한 상기 유기 막의 식각 저항성을 증가시킬 수 있다. 일부 실시예들에서 유기 막을 침투 공정을 거치도록 하는 것은 침투 공정을 거치지 않은 동일한 유기 막에 비하여 예를 들어 불산(HF) 식각과 같이, 염소(Cl), 브롬(Br), 또는 불소(F) 기반 공정들과 같은 할로겐 기반의 식각 공정들에 대한 상기 유기 막의 식각 저항성을 증가시킬 수 있다. 상기 식각 저항선은 증기, 액체 또는 반응성 이온 식각(reactive ion etch, RIE) 공정들에 대하여 효과적일 수 있다. 당업자에게 이해될 수 있는 바와 같이, 식각된 막에서 좀더 수직한 측벽들을 얻기 위하여 하드 마스크 층들은 종종 비등방성 RIE 공정들을 거친다. 예를 들어, 일부 실시예들에서 침투 공정을 거친 유기 막은 본원에 기술된 바와 같이 선택적으로 퇴적된 유기 막과 같은 유기 막의 식각 저항성을 증가시킬 수 있다. 일부 실시예들에서 상기 유기 막의 다른 성질들이 침투 공정에 의하여 변할 수 있다. 예를 들어, 침투 공정은 유기 막의 밀도, 전도도, 저항, 및/또는 경도를 증가시킬 수 있다.
도5를 참조하면, 일부 실시예들에 따르면, 블록 51에서 제1 표면 및 제2 표면을 포함하는 기판이 제공된다. 상기 제1 및 제2 표면들은 상기 제1 및 제2 표면들은 상이한 물성들을 가질 수 있다. 일부 실시예들에서 상기 제1 표면은 예를 들어 금속 또는 금속성 표면과 같은 전도성 표면일 수 있고, 상기 제2 표면은 유전체 표면일 수 있다. 일부 실시예들에서 상기 제1 표면은 유전체 표면일 수 있고 상기 제2 표면은 상이한 제2 유전체 표면일 수 있다. 일부 실시예들에서 상기 제1 및 제2 표면들은 동일한 기본 조성을 가질 수 있지만, 형성 방법들의 차이(예를 들어, 열적 산화물, 퇴적된 산화물, 자연 산화물)로 인하여 상이한 물성들을 가질 수 있다.
일부 실시예들에서, 블록 52에서 유기 막이 상기 기판의 상기 제1 표면 상에 상기 기판의 상기 제2 표면에 대하여 선택적으로 형성 또는 퇴적 된다. 일부 실시예들에서 상기 유기 막은 예를 들어 본원에 기술된 바와 같이 하나 이상의 퇴적 사이클들을 포함하는 선택적 퇴적 공정과 같이 본원에 기술된 상기 선택적 퇴적 공정들에 따라 선택적으로 형성될 수 있다. 일부 실시예들에서 상기 선택적으로 형성된 유기 막은 예를 들어 폴리이미드 막을 포함할 수 있다.
일부 실시예들에서, 블록 53에서 상기 선택적으로 형성된 유기 막은 침투 공정을 거쳐 상기 선택적으로 형성된 유기 막 내에 무기 물질을 혼입한다. 일부 실시예들에서 상기 침투 공정은 상기 선택적으로 형성된 유기 막을 금속을 포함하는 제1 반응물 및 제2 반응물에 교대로 및 순차적으로 접촉하는 단계를 포함하는 하나 이상의 침투 사이클들을 포함할 수 있다.
블록 54에서 침투된 유기 막이 상기 기판의 상기 제1 표면 상에 형성된다. 당업자는 상기 침투된 유기 막의 형성이 별개의 행위라기보다는 위에 기술된 행위들(51-53)의 결과라는 것을 인식할 것이다.
일부 실시예들에서, 블록 55에서 상기 침투된 유기 막은 선택적인 후 침투 공정을 거칠 수 있다. 일부 실시예들에서 상기 후 침투 공정은 상기 막 내의 탄소를 제거 또는 실질적으로 그 양을 감소시키기 위한 애싱(ashing) 공정을 포함할 수 있다. 일부 실시예들에서 애싱 공정은 상기 침투된 유기 막을 플라즈마에 노출시키는 단계를 포함할 수 있다. 일부 실시예들에서 상기 플라즈마는 산소 원자들, 산소 라디칼들, 산소 플라즈마, 또는 이들의 조합들을 포함할 수 있다. 일부 실시예들에서 상기 플라즈마는 예를 들어 O2와 같은 산소를 포함하는 기체로부터 생성될 수 있다.
일부 실시예들에서 침투된 선택적으로 퇴적된 유기 막 또는 애싱 공정을 거친 침투된 유기 막과 같은 침투된 막은, 도6 및 도7과 관련하여 아래에 설명될 바와 같이, 상기 유기 막이 퇴적된 상기 기판을 후에 식각하기 위한 하드 마스크, 또는 식각 마스크로 사용될 수 있다. 일부 실시예들에서 침투된 선택적으로 퇴적된 유기 막과 같은 침투된 유기 막은 전자 또는 반도체 소자에서 영구적인 또는 기능적인 층으로 이용될 수 있다. 예를 들어, 일부 실시예들에서 침투된 선택적으로 퇴적된 유기 막과 같은 침투된 유기 막은 고립 층, 컨택 또는 전극 물질, 스페이서 층, 채널 층, 또는 다른 임의의 기능적인 층으로 이용될 수 있다.
집적(integration)
본 개시의 유기 막들은 다양한 마이크로공정, 나노공정, 및/또는 반도체 공정 응용들에 이용될 수 있다. 예를 들어, 선택적으로 퇴적된 고분자 막들은 반도체 소자 제조에 이용하기 위한 식각 마스크들로서 특히 유용할 수 있다. 다른 영역들이 식각되는 동안 식각 마스크 아래의 기판의 영역을 에천트에의 노출로부터 보호하기 위하여 식각 마스크들이 사용될 수 있다. 식각 마스크들은 예를 들어 반도체 소자의 제조 동안 물질의 층들을 패터닝하기 위하여 이용될 수 있다. 일부 실시예들에서 식각 마스크로 기능하도록 선택적으로 유기 막을 퇴적할 수 있는 능력은 많은 마이크로공정, 나노공정, 및/또는 반도체 제조 공정들의 단순화를 가능하게 할 수 있다. 더욱이, 선택적으로 퇴적된 유기 막은 제2 표면에 대하여 상기 기판의 제1 표면 상에 배타적으로 또는 우세하게 퇴적되므로, 상기 선택적으로 퇴적된 유기막은 상기 기판의 상기 제1 표면과 자기-정렬되며, 따라서 통상적으로 리소그래피 패터닝 및 상기 기판 상에 포토레지스트 블랭킷(photoresist blanket) 형성에서 발생하는 오정렬의 문제를 제거 또는 감소시킨다.
도6은 선택적으로 퇴적된 폴리이미드 막을 식각 마스크로 이용하여기판 상에 하나 이상의 구조물들을 형성하기 위한 톤 리버설(tone-reversal) 공정의 예시적인 공정 흐름을 도시한다. 일부 실시예들에서 상기 하나 이상의 구조물들을 형성하기 위한 톤 리버설 공정은 다음과 같이 진행된다:
단계 601에서 적합한 기판이 제공된다;
단계 602에서 예를 들어 질화 티타늄과 같이, 구조물을 형성할 물질의 제1 층이 상기 기판 상에 퇴적 된다. 상기 층은 금속성 물질과 같이, 본원에 개시된 바와 같이 유기 물질이 선택적으로 퇴적될 수 있는 제1 표면으로 역할 할 수 있으며, 일부 실시예에서는 질화 티타늄(TiN)일 수 있다;
단계 603에서 하부의 상기 제1 층에 대하여 선택적으로 식각될 수 있고, 예를 들어 SiO2 또는 다른 유전체와 같이 선택적 퇴적 공정에서 퇴적을 억제하는 제2 표면을 포함할 수 있는 제2 층이 상기 제1 층 상에 퇴적된다;
상기 제2 층은 다음으로 예를 들어 단계 604에서 포토레지스트 층의 퇴적, 단계 605에서 상기 포토레지스트 층의 패터닝, 단계 606에서 예를 들어 식각에 의한, 상기 제2 층으로 상기 패턴의 전사), 및 단계 607에서 잔여 포토레지스트 물질의 제거를 포함하는 포토리소그래피 패터닝 공정에 의해 패턴된다;
단계 608에서 예를 들어 폴리이미드 막과 같은 유기 막은 상기 노출된 제1 층 상에 상기 제2 층에 대하여 선택적으로 퇴적되어 이로써 식각 마스크를 형성한다;
단계 609에서 상기 제2 층 상에 존재하는 유기 물질이 존재한다면, 예를 들어 등방성 식각에 의하여 의사에 따라 제거될 수 있다;
단계 610에서 상기 제2 층은 이후 예를 들어 선택적인 산화물 식각(예를 들어, 불산(HF) 또는 RIE를 포함하는 다른 할라이드 식각)에 의해 제거되어 상기 제1 층(예를 들어, TiN) 및 상기 선택적으로 퇴적된 유기 막(예를 들어, 폴리이미드)가 상기 기판 상에 남는다;
단계 611에서 식각 마스크 아래에 퇴적되지 않은 상기 제1 층의 부분은, 예를들어 HF 또는 다른 할로겐 식각에 의하여 상기 유기 막은 완전히 제거하지 않으면서 상기 제1 층을 선택적으로 식각함으로써 제거되어 원하는 구조물을 형성한다. 일부 실시예들에서 유기 막의 일부 또는 전부는 상기 유기 층이 상기 식각에 의해 완전히 소모되기 전까지 식각 공정에 의해 제거될 수 있다. 일부 실시예들에서, 상기 침투된 유기 막의 식각률은 상기 제1층의 식각률과 동일하거나 더 작다. 따라서, 심지어 화학적으로 선택적이지 않는 물리적 또는 스퍼터 식각도 상기 유기 마스크 구조의 침식 이전에 반전된 패턴(예를 들어 기둥 또는 다른 섬 패턴)을 상기 제1 층으로 전사하는데 이용될 수 있다. 다른 실시예에서, 물리적 및 화학적 식각(예를 들어 RIE)의 조합은 반전된 패턴을 상기 제1 층으로 전사할 수 있으며, 상기 식각에 사용되는 화학 성분은 부분적으로 또는 완전히 선택적일 수 있다. RIE 및 물리적 식각들은 방향성이 있거나 비등방성일 수 있으며, 이 경우 등방성 식각들에 비하여 수직에 더 가까운 측벽들을 생산하며, 따라서 마스크 구조들과 상기 식각에 의해 패터닝된 층들의 구조물들 사이에 높은 정확도(fidelity)를 야기한다. 상기 설명된 실시예에서, 상기 패턴은 또한 그 하부의 기판(또는 개재층)으로 확장될 수 있다. 상기 제1 층은 최종 생성물의 일부를 형성할 수 있으며, 상기 유기 마스크는 이이서 제거될 수 있다. 대신에, 상기 제1 층은 하드 마스크로서 역할할 수 있고, 또한 하부의 기판 또는 개재 층(들)로 상기 패턴을 전사한 이후에 제거될 수 있다.
일부 실시예들에서 상기 형성된 구조물은 상기 제1 층 및/또는 하부의 기판(또는 개재층(들))을 포함하는 물질의 기둥을 포함할 수 있다. 일부 실시예들에서 상기 구조물은 반도체 소자에 이용되는 3차원 구조 또는 구조들을 포함할 수 있다. 일부 실시예들에서, 단계 608에서 상기 선택적 퇴적 공정은 본원에 기술된 임의의 선택적 퇴적 공정들을 포함할 수 있다.
위에서 언급되었듯이, 상기 선택적으로 퇴적된 유기 물질은 단계들 609, 610에서의 선택적 식각들에 대한 저항성을 높이기 위하여, 특히 단계들 609, 610 사이에서의 상기 산화물 제거를 위하여, 금속이 혼입될 수 있다.
도7a 및 도7b는 선택적으로 퇴적된 폴리이미드 막을 블록 마스크로 활용하여 기판 상에 하나 이상의 구조들을 형성하기 위한 블록 마스크 공정의 예시적인 공정 흐름을 도시한다. 일 예시로서, 블록 마스크는 일차적 마스크 패턴의 요구되는 부분 또는 부분들을 하부층(들)로의 전사로부터 블로킹함에 의해 일차적 마스크 패턴을 개조하는 데 유용할 수 있다. 예를 들어, 스페이서 공정은 선들을 형성하며 이들 선들에 의해 형성된 패턴을 도7a 및 도7b에 도시된 것처럼 두 선들을 연결하는 브릿지와 같이 이들 사이에 추가적인 구조들과 함께 상기 기판의 하부 층들로 전사하는 것이 바람직할 수 있다. 일부 실시예들에서 하나 이상의 구조물들을 형성하기 위한 상기 블록 마스크 공정은 다음과 같이 진행된다:
단계 701에서 예를 들어 저유전 물질, 무질소 반사방지 층(nitrogen-free antireflectionlayer, NFARL), 유기 물질이 선택적으로 퇴적될 수 있는 제1 층(예를 들어 TiN), 테트라에틸오쏘실리케이트(tetraethylorthosilicate, TEOS)를 포함하는 PECVD를 통해 형성된 산화 실리콘 층(PETEOS 층), 및 비정질 실리콘 층을 포함하는 적합한 기판이 제공된다;
단계 702에서 상기 비정질 실리콘 층에 맨드렐(mandrel)들이 패턴 또는 형성된다;
단계 703에서 예를 들어 유기 물질과 같은 스페이서 물질이 상기 맨드렐들 상에 컨포멀하게 퇴적되며, 수평적인 관점들에서(예를 들어 평면에서 보면) 상기 맨드렐 구조들 둘레에 루프들을 형성하는 경향이 있다.
단계 704에서 상기 수평 표면들 상에 퇴적되는 스페이저 물질은 예를 들어 방향성 있는 식각 공정에 의해 선택적으로 제거된다;
단계 705에서 상기 맨드렐들은 예를 들어 선택적 식각에 의해 제거되어 스페이서들을 형성한다;
단계 706에서 저유전 물질을 포함할 수 있는 하드마스크가 상기 스페이서들 및 기판 상에 퇴적된다;
단계 707에서 마스크 패턴이 예를 들어 리소그래피 패터닝에 의하여 상기 하드마스크의 표면에 형성되어 블로킹되는 상기 패턴의 영역을 노출시킨다;
단계 708에서 상기 하드마스크의 패턴된 부분 및 하부의 PETEOS층이 예를 들어 리소그래피 패터닝에 의해 제거되어, 상기 제1층(예를 들어 TiN)의 일 부분을 노출시킨다;
단계 709에서 상기 남아있는 하드마스크 물질이 상기 기판으로부터 제거된다;
단계 710에서 예를 들어 폴리이미드 막과 같은 유기 막이 상기 제1 층(예를 들어 TiN)의 노출된 부분 상에 남은 PETEOS 층에 대하여 선택적으로 퇴적되고, 이로써 블록 마스크를 형성한다;
단계 711에서 상기 남아 있는 PETEOS 층, 및 상기 블록 마스크에 의해 수정된 상기 패턴은 상기 스페이서들 또는 상기 유기 블록 마스크 아래 퇴적되지 않은 상기 제1 층(예를 들어 TiN)의 부분을 예를 들어 선택적 식각에 의하여 제거함으로써 상기 제1 층으로 전사된다;
단계 712에서 남은 스페이서 물질 및 유기 막 물질이 제거되며; 이에 따라 상기 제1 층(예를 들어 TiN)은 수정된 패턴으로 남겨진다. 상기 패턴된 제1 층은 최종 생성물에서 기능적 구조들(예를 들어 금속성 선들)을 형성할 수 있거나 상기 패턴을 하부 물질들로 더 전사시키기 위한 하드 마스크로 역할 할 수 있다. 709 내지 711 단계들의 평면도가 도7b에 도시된다.
일부 실시예들에서 상기 선택적으로 퇴적된 유기 블록 마스크는 막 내에 직사각형의 트렌치들을 식각하기 위하여 사용될 수 있으며, 상기 트렌치들은 이후 구리 또는 다른 전도성 물질로 채워질 수 있다. 일부 실시예들에서 상기 구조물은 반도체 소자에 이용되는 3차원 구조 또는 구조들을 포함할 수 있다. 일부 실시예들에서 단계 710에서 수행되는 상기 선택적 퇴적 공정은 본원에 기술된 선택적 퇴적 공정들 중 어느 것이나 포함할 수 있다.
일부 실시예들에서 선택적으로 퇴적된 유기 막은 유전체 물질 선택적인 퇴적 공정과 같은 후속적인 선택적 퇴적 공정에서 보호 층으로 사용될 수 있다. 예를 들어, 일부 실시예들에서 본원에 기술된 바와 같이 유기 막이 기판의 제1 표면 상에 제2 표면에 대하여 선택적으로 퇴적될 수 있으며, 유전체 물질이 상기 기판의 상기 제2 표면 상에 상기 유기 막에 대하여 선택적으로 퇴적될 수 있다.
실시예1
본 명세서에 기술된 선택적인 퇴적 공정에 따라 샘플 폴리이미드 박막들이 다수의 기판 상에 퇴적되었다. 산화 실리콘 표면과 교대하는 텅스텐(W) 구조물들을 갖는 200mm 실리콘 웨이퍼들이 기판들로 사용되었다. 상기 텅스텐 구조물들의 폭은 250nm 였고 피치는 약 600nm 였다. 상기 폴리이미드 퇴적 공정들은 PRI 클러스터 툴에 연결된 Pulsar 3000® 크로스-플로우 ALD 반응기 내에서 수행되었다.
* 제1 기상 반응물로서 DAH 및 제2 기상 반응물로서 PMDA를 사용하는 본원에 기술된 공정들에 따라 샘플 폴리이미드 막들의 제1 배치가 퇴적되었다. 상기 DAH 제1 반응물은 450sccm(분당 표준 세제곱 센티미터, standard cubic centimeters per minute)의 유속을 갖는 N2 운반 가스에 의해 45℃에서 공급되었다. 상기 DAH 펄스 시간은 5초 였고 상기 DAH 퍼지 시간은 4초였다. 상기 PMDA 제2 반응물은 상기 반응 챔버로 450sccm의 유속을 갖는 N2 운반 가스에 의해 180℃에서 공급되었다. 상기 PMDA 펄스 시간은 11초였으며, 상기 PMDA 퍼지 시간은 4초였다. 상기 반응 또는 기판 온도는 160℃였다. 폴리이미드 막들은 25 내지 100 퇴적 사이클들을 이용하여 퇴적되었다.
상기 제1 배치와 상당히 유사하나 190℃의 반응 온도를 갖는 본원에 개시된 공정들에 따라 샘플 폴리이미드 막들의 제2 배치가 퇴적되었다. 폴리이미드 막들은 250 내지 1000 퇴적 사이클들을 이용하여 퇴적되었다.
주사 투과 전자 현미경을 이용하여 상기 폴리이미드 막 샘플들의 두께들이 측정되었다. 상기 제1 배치의 폴리이미드 막들은 약 4-6Å/사이클의 성장 속도로, 25 퇴적 사이클들을 갖는 공정에서의 5nm 및 100 퇴적 사이클들을 갖는 공정에서의 40nm 사이의 두께들을 갖는 것으로 밝혀졌다. 상기 기판의 상기 W 표면들 상에 퇴적된 폴리이미드의 양은 상기 산화 실리콘 표면 상에 퇴적된 폴리이미드의 양과 실질적으로 동일하였다. 따라서, 이 조건에서 160℃의 반응 온도에서 상기 퇴적은 선택적이지 않았다.
폴리이미드 막들의 상기 제2 배치는 상기 W 표면들 상에서 250 사이클들을 포함하는 공정에서 약 7nm부터, 1000사이클들을 포함하는 공정에서 약 28nm까지의 범위의 두께를 갖는 것으로 밝혀졌다. 폴리이미드 막들의 상기 산화 실리콘 표면들 상에서 두께들은 250 사이클들을 포함하는 공정에서 약 4nm부터, 1000사이클들을 포함하는 공정에서 약 6nm까지의 범위였다. 그러므로, 상기 폴리이미드 퇴적은 190℃의 반응 온도에서 선택적이었다. 상기 W 표면들 상에서 성장 속도는 약 0.2-1Å/사이클 이었다. 도8은 250 내지 1000 퇴적 사이클들을 이용하여 W 표면들 상에 SiO2 표면들에 대하여 선택적으로 퇴적된 폴리이미드 막들의 단면 STEM 이미지들을 보여준다.
실시예2
제1 샘플 폴리아미드 막이 산화 실리콘 표면들과 교대되는 패터닝된 텅스텐(W) 구조들을 갖는 200mm 실리콘 웨이퍼 상에 퇴적되었다. 제2 샘플 폴리아미드 막이 1.5nm의 자연 산화물을 갖는 결정성 실리콘 기판 상에 퇴적되었다. 상기 샘플들은 제1 기상 반응물로서 아디포일 클로라이드(AC) 및 제2 기상 반응물로서 에틸렌 디아민(EDA)를 사용하는 본원에 기술된 공정들에 따라 상기 샘플들이 퇴적되었다. 상기 AC 제1 반응물은 50℃의 온도에서 증발되었고 65℃의 온도에서 500sccm의 선 흐름으로 반응 챔버로 공급되었다. 상기 AC 펄스 및 퍼지 시간들은 2.5초였다. 약 180Torr의 펄스 압력이 사용되었고 AC가 공급되는 도관에는 라인 필터가 장착되었다. 상기 EDA 제2 반응물은 상온에서 1/5초의 펄스 및 퍼지 시간들로 공급되었다. 약 100Torr의 펄스 압력이 사용되었고 EDA가 공급된 도관은 라인 필터가 장착되지 않았다. 상기 기판의 온도는 95℃였고 상기 공정은 1000퇴적 사이클들을 포함하였다.
결과적인 막들은 분광 타원계측기(spectroscopic ellipsometry)에 의해 측정되었고 x-선 반사율 측정기로 두께 확인이 이루어졌다. 1.5nm 자연 산화물을 갖는 결정질 실리콘 기판 상에서 상기 폴리아미드 막의 성장 속도는 약 0.5Å/사이클로 밝혀졌으며 3mm 가장자리를 제외한 300mm 맵핑에서 1σ두께 비균일성은 약 3%였다. 도9a에 표시된 것처럼, 상기 막은 약 9nm의 두께를 갖는 것으로 밝혀졌다.
도9b에 보여지는 바와 같이, 선들 상에는 폴리아미드 물질이 분명히 보이는 반면, 상기 W 패터닝된 웨이퍼의 상기 자연 산화물 표면에는 폴리아미드가 거의 퇴적되지 않는 것이 발견되었다. 그러므로, 상기 W 패터닝된 웨이퍼의 폴리아미드 퇴적은 선택적인 것으로 밝혀졌다.
실시예3
제1 기상 반응물로서 DAH 및 제2 기상 반응물로서 PMDA를 사용하는 본원에서 기술된 공정들에 따라 산화 실리콘 표면들과 교대되는 패터닝된 텅스텐(W) 구조물들을 갖는 200mm 실리콘 웨이퍼 상에 샘플 폴리이미드 막이 선택적으로 퇴적되었다. 상기 DAH 제1 반응물은 45℃에서 450sccm의 유속을 갖는 N2 운반 기체에 의해 공급되었다. 상기 DAH 펄스 시간은 5초였고 상기 DAH 퍼지 시간은 4초였다. 상기 PMDA 제2 반응물은 180℃에서 450sccm의 유속을 갖는 N2 운반 기체에 의해 공급되었다. 상기 PMDA 펄스 시간은 11초였고 상기 PMDA 퍼지 시간은 4초였다. 상기 반응 온도는 190℃였다. 상기 폴리이미드 샘플 막은 1000 퇴적 사이클들을 이용하여 퇴적되었다. 상기 폴이이미드는 W 표면 상에 약 30nm의 막 두께로 퇴적되었다. 도10a에 보여지는 바와 같이, 상기 산화 실리콘 표면 상에는 약 4nm의 상당히 더 적은 양의 폴리이미드가 퇴적되었다.
상기 샘플 폴리이미드 막은 이후 300℃의 온도에서 40초 동안 100W를 이용하여 생성된 H2 플라즈마를 이용하여 식각되었다. 상기 H2의 유속은 100sccm이였다. 도10b-C에 보여지는 바와 같이, 상기 W 표면 상에는 약 9nm 두께를 갖는 폴리이미드 막이 남겨진 반면, 상기 산화 실리콘 표면으로부터 폴리이미드가 완전히 제거되었다.
실시예4
본원에 기술된 공정들에 따라 샘플 폴리아미드(2,6) 막들이 퇴적되었다. 아디포일 클로라이드(AC) 및 에틸렌디아민(EDA)이 반응물들로 이용되었다. 상기 AC 반응물은 50℃의 온도로 가열되어 증발되었다. 상기 EDA 반응물은 상온에서 증발되었으며, 반응물 도즈(dose)를 조절하기 위하여 니들 밸브들이 이용되었다. 질소가 반응물들의 운반 가스 및 퍼지 가스로 이용되었다. 캐리어 및 퍼지 가스 흐름은 모두 약 500 sccm이었다. 샘플들은 약 1.5nm 자연 산화물을 포함하는 표면을 갖는 300mm 결정질 실리콘 웨이퍼들 상에 퇴적되었다. 각 샘플들에서 200 퇴적 사이클들이 수행되었다. 타원계측기를 이용하여 퇴적된 막들의 두께가 측정되었다.
71℃의 퇴적 온도에서 EDA 접촉 시간을 0 내지 3초 사이에서 변화시키며 샘플 막들이 퇴적되었다. 도9a에 보여지는 바와 같이, EDA 접촉 시간이 증가함에 따라 상기 폴리아미드 막들의 사이클 당 성장 속도가 증가하였고, 3초의 EDA 접촉 시간에서 약 0.5Å/사이클에 도달하였다.
유사하게, 71℃의 퇴적 온도에서 AC 접촉 시간을 0 내지 5초 사이에서 변화시키며 샘플 막들이 퇴적되었다. 도9b에 보여지는 바와 같이, AC 접촉 시간이 증가함에 따라 상기 폴리아미드 막들의 사이클 당 성장 속도가 증가하였고, 5초의 AC 접촉 시간에서 약 0.5Å/사이클에 도달하였다.
샘플 폴리아미드 막들에서 전구체 및 반응 부산물 제거, 또는 퍼지, 시간도 마찬가지로 변화되었다. 상기 제거 시간들은 2 내지 10초 사이에서 변화되었다. 도11c에 보여지는 바와 같이, 나타난 효과가 상대적으로 작긴 하지만 제거 시간이 증가함에 따라 사이클 당 퇴적 속도가 감소하였다.
성장 속도와 퇴적 온도 사이의 관계 또한 조사되었다. 50℃부터 71℃까지 퇴적 온도를 변화시키며 샘플 막들이 준비되었다. 도11d에 보여지는 바와 같이, 퇴적 온도가 감소함에 따라 사이클 당 성장 속도가 증가하였고, 50℃의 퇴적 온도에서 1.4Å/사이클의 성장 속도에 도달하였다.
도12a는 71℃에서 퇴적된 샘플 폴리아미드 막들의 두께 맵을 보여준다. 도12b는 50℃에서 퇴적된 샘플 폴리아미드 막들의 두께 맵을 보여준다. 71℃에서 퇴적된 샘플 막의 1σ불균일도는 3.0%인 것으로 밝혀졌고, 반면 50℃에서 퇴적된 샘플 막의 1σ불균일도는 2.6%인 것으로 밝혀졌다. 나아가, 상기 불균일성은 주로 웨이퍼의 가장자리들에서 발생하였고, 따라서 퇴적된 샘플 막들은 상당히 균일한 것으로 밝혀졌다.
특정 실시예들 및 실험예들이 논의되었으나, 청구의 범위는 상기 특정하게 개시된 실시예들을 넘어 다른 대체적인 실시예들 및/또는 용도들 및 자명한 변형들 및 이들의 균등물들로 확장된다는 것이 당업자에게 이해될 수 있을 것이다.

Claims (44)

  1. 제1 표면 및 제2 표면을 포함하는 기판의 상기 제1 표면 상에 식각 마스크를 형성하기 위한 공정으로서,
    상기 기판을 제1 기상 전구체에 접촉시키는 단계; 및
    상기 기판을 제2 기상 전구체에 접촉시키는 단계를 포함하고,
    상기 기판을 상기 제1 및 제2 기상 전구체들에 접촉시키는 단계들은 상기 제1 표면 상에 상기 제2 표면에 대하여 선택적으로 유기 막을 형성하고,
    상기 식각 마스크는 상기 기판의 상기 제1 표면 상에 형성되는 상기 유기 막을 포함하는 것을 특징으로 하는 공정.
  2. 제1 항에 있어서,
    상기 접촉시키는 단계들은 퇴적 사이클을 포함하고,
    상기 공정은 하나 또는 그 이상의 퇴적 사이클들을 포함하는 것을 특징으로 하는 공정.
  3. 제2 항에 있어서,
    원하는 두께의 식각 마스크가 형성될 때까지 상기 접촉시키는 단계들을 반복하는 단계를 더 포함하는 것을 특징으로 하는 공정.
  4. 제2 항에 있어서,
    상기 제1 표면은 금속성 표면인 것을 특징으로 하는 공정.
  5. 제2 항에 있어서,
    상기 제2 표면은 유전체 표면인 것을 특징으로 하는 공정.
  6. 제2 항에 있어서,
    상기 유기 막은 폴리이미드(polyimide) 막을 포함하는 것을 특징으로 하는 공정.
  7. 제6 항에 있어서,
    상기 제1 기상 전구체는 1,6-디아미노헥산(diaminohexane, DAH)을 포함하는 것을 특징으로 하는 공정.
  8. 제6 항에 있어서,
    상기 제2 기상 전구체는 피로멜리트산 이무수물(pyromellitic dianhydride, PMDA)를 포함하는 것을 특징으로 하는 공정.
  9. 제2 항에 있어서,
    상기 기판이 식각 공정을 거치도록 하는 단계를 더 포함하고,
    상기 식각 공정은 상기 기판의 상기 제2 표면으로부터 임의의 형성된 유기 막의 실질적으로 모두를 제거하고 상기 기판의 상기 제1 표면으로부터 상기 형성된 유기 막 모두를 제거하지 않는 것을 특징으로 하는 공정.
  10. 제2 항에 있어서,
    상기 식각 마스크는 톤 리버설(tone reversal) 공정에서 사용되는 것을 특징으로 하는 공정.
  11. 제2 항에 있어서,
    상기 식각 마스크는 블록 마스크 공정에서 사용되기 위한 블록 마스크를 포함하는 것을 특징으로 하는 공정.
  12. 제2 항에 있어서,
    상기 식각 마스크는 상기 기판의 상기 제2 표면 상에 상기 식각 마스크에 대하여 선택적으로 물질을 퇴적하는 단계를 포함하는 선택적 퇴적 공정 내의 보호 층을 포함하는 것을 특징으로 하는 공정.
  13. 제1 표면 및 제2 표면을 포함하는 기판의 상기 제1 표면 상에 하드 마스크로서 침투된 막을 형성하기 위한 공정으로서,
    선택적 퇴적 공정을 수행하는 단계로서,
    상기 기판을 제1 기상 전구체에 접촉시키는 단계; 및
    상기 기판을 제2 기상 전구체에 접촉시키는 단계를 포함하고,
    상기 기판을 상기 제1 및 제2 기상 전구체들에 접촉시키는 단계들은 상기 제1 표면 상에 상기 제2 표면에 대하여 선택적으로 유기 박막을 형성하는, 선택적 퇴적 공정을 수행하는 단계; 및
    상기 선택적으로 형성된 유기 막 내로 금속을 혼입시켜 하드 마스크로서 상기 침투된 막을 형성하도록 상기 선택적으로 형성된 유기 막이 침투 공정을 거치도록 하는 단계;를 포함하는 공정.
  14. 제13 항에 있어서,
    상기 선택적 퇴적 공정의 접촉시키는 단계들은 퇴적 사이클을 포함하고,
    상기 선택적 퇴적 공정은 하나 또는 그 이상의 퇴적 사이클들을 포함하는 것을 특징으로 하는 공정.
  15. 제14 항에 있어서,
    상기 금속을 혼입시키는 단계는 원소 금속, 다수의 금속들, 금속 합금, 금속 산화물, 금속 질화물, 금속 탄화물, 및/또는 이들의 조합들을 혼입시키는 단계를 포함하는 것을 특징으로 하는 공정.
  16. 제15 항에 있어서,
    상기 침투 공정은 상기 금속을 포함하는 제1 반응물 및 제2 반응물에 상기 선택적으로 형성된 유기 막을 교대로 및 순차적으로 노출시키는 단계를 포함하는 것을 특징으로 하는 공정.
  17. 제15 항에 있어서,
    산화 알루미늄(Al2O3) 및/또는 산화 티타늄(TiO2)이 상기 선택적으로 형성된 유기 막 내로 혼입되는 것을 특징으로 하는 공정.
  18. 제14 항에 있어서,
    상기 선택적으로 형성된 유기 막이, 상기 선택적으로 형성된 유기 막으로부터 카본을 제거하는 애싱 공정을 거치도록 하는 단계를 더 포함하는 것을 특징으로 하는 공정.
  19. 제18 항에 있어서,
    상기 애싱 공정은 상기 선택적으로 형성된 유기 막을 산소 원자들, 산소 라디컬들, 산소 플라즈마, 또는 이들의 조합들에 노출시키는 단계를 포함하는 것을 특징으로 하는 공정.
  20. 제19 항에 있어서,
    상기 침투된 유기 막은, 침투 공정을 거치지 않은 동일한 유기 막에 대하여 HF 식각에 대한 증가된 저항성을 갖는 것을 특징으로 하는 공정.
  21. 삭제
  22. 삭제
  23. 삭제
  24. 기판을 선택적으로 처리하기 위한 공정으로서,
    상기 기판을 유기 막을 형성하는 적어도 하나의 기상 전구체에 접촉시키는 단계를 포함하는 퇴적 공정을 수행하는 단계로서, 상기 기판을 상기 적어도 하나의 기상 전구체에 접촉시키는 단계는 상기 유기 막을 제1 기상 전구체 및 제2 기상 전구체와 교대로 및 순차적으로 접촉시키는 단계를 포함하고, 상기 기판은 제1 표면 및 제2 표면을 포함하며 상기 퇴적 공정은 상기 제1 표면 상에 상기 제2 표면에 대하여 선택적으로 상기 유기 막을 형성하는, 상기 퇴적 공정을 수행하는 단계;
    상기 유기 막 내로 금속을 혼입하고, 이에 의해 침투된 막을 형성하도록 상기 유기 막이 침투 공정을 거치도록 하는 단계; 및
    상기 침투된 막을 마스크로 사용하여 상기 기판의 하부 표면을 처리하는 단계;
    를 포함하는 공정.
  25. 제24 항에 있어서,
    상기 유기 막을 선택적으로 형성하는 단계는 상기 기판이 식각 공정을 거치도록 하는 단계를 더 포함하고,
    상기 식각 공정은 상기 기판의 상기 제2 표면으로부터 임의의 형성된 유기 막의 실질적으로 모두를 제거하고 상기 기판의 상기 제1 표면으로부터 상기 형성된 유기 막 모두를 제거하지는 않는 것을 특징으로 하는 공정.
  26. 제24 항에 있어서,
    상기 하부 표면을 처리하는 단계는,
    구조물을 형성하기 위하여 상기 침투된 막 아래의 층을 식각하는 단계를 포함하고,
    상기 식각하는 단계는 상기 침투된 막에 대하여 상기 층의 물질에 대하여 선택적인 것을 특징으로 하는 공정.
  27. 제26 항에 있어서,
    상기 식각하는 단계는 할라이드계 식각 공정을 포함하는 것을 특징으로 하는 공정.
  28. 제26 항에 있어서,
    상기 식각 공정은 반응성 이온 식각(reactive ion etching, RIE)을 포함하고,
    상기 구조물은 실질적으로 수직한 측벽을 포함하는 것을 특징으로 하는 공정.
  29. 제26 항에 있어서,
    상기 침투된 막은 상기 선택적으로 형성된 유기 막보다 상기 침투된 막 아래의 상기 층을 식각하는 단계에 더 큰 식각 저항성을 갖는 것을 특징으로 하는 공정.
  30. 제26 항에 있어서,
    상기 층은 하부 층 상에 배치되고,
    상기 공정은 상기 구조물을 형성하기 위하여 상기 하부 층을 더 식각하는 단계를 더 포함하는 것을 특징으로 하는 공정.
  31. 제30 항에 있어서,
    상기 더 식각하는 단계는, 상기 침투된 막에 대하여 상기 하부 층을 선택적으로 식각하는 단계를 포함하는 것을 특징으로 하는 공정.
  32. 제24 항에 있어서,
    상기 침투된 막은 상기 선택적으로 퇴적된 유기 막보다 더 높은 밀도, 전도도, 비저항, 또는 경도를 갖는 것을 특징으로 하는 공정.
  33. 제24 항에 있어서,
    상기 금속을 혼입시키는 단계는 원소 금속, 다수의 금속들, 금속 합금, 금속 산화물, 금속 질화물, 금속 탄화물, 및/또는 이들의 조합들을 혼입시키는 단계를 포함하는 것을 특징으로 하는 공정.
  34. 제24 항에 있어서,
    상기 금속은 전이 금속 또는 후-전이 금속(post-transition metal)을 포함하는 것을 특징으로 하는 공정.
  35. 제24 항에 있어서,
    상기 금속은 알루미늄 또는 티타늄을 포함하는 것을 특징으로 하는 공정.
  36. 제24 항에 있어서,
    상기 침투 공정은 상기 선택적으로 형성된 유기 막 내로 산화 알루미늄(Al2O3) 및/또는 산화 티타늄(TiO2)을 혼입시키는 단계를 포함하는 것을 특징으로 하는 공정.
  37. 제24 항에 있어서,
    상기 제1 표면은 금속성 표면이고, 상기 제2 표면은 유전체 표면이고, 상기 유기 막은 폴리이미드 막을 포함하는 것을 특징으로 하는 공정.
  38. 제24 항에 있어서,
    상기 하부 표면을 처리하는 단계는, 톤 리버설 공정에서 식각 마스크로서 상기 침투된 막을 사용하는 단계를 포함하는 것을 특징으로 하는 공정.
  39. 제24 항에 있어서,
    상기 하부 표면을 처리하는 단계는, 블록 마스크 공정에서 블록 마스크로서 상기 침투된 막을 사용하는 단계를 포함하는 것을 특징으로 하는 공정.
  40. 제24 항에 있어서,
    상기 침투 공정은 상기 형성된 유기 막을 제1 반응물 및 제2 반응물에 교대로 및 순차적으로 노출시키는 단계를 포함하는 것을 특징으로 하는 공정.
  41. 제40 항에 있어서,
    상기 제1 반응물은 상기 금속을 포함하고, 상기 제2 반응물은 산소를 포함하는 것을 특징으로 하는 공정.
  42. 제40 항에 있어서,
    상기 제1 반응물은 트리메틸알루미늄(trimethylaluminum, TMA)을 포함하고, 상기 제2 반응물은 물(H2O)을 포함하는 것을 특징으로 하는 공정.
  43. 제40 항에 있어서,
    상기 제1 반응물은 상기 금속을 포함하고, 상기 제2 반응물은 질소를 포함하는 것을 특징으로 하는 공정.
  44. 제40 항에 있어서,
    상기 제1 반응물은 상기 금속을 포함하고, 상기 제2 반응물은 카본을 포함하는 것을 특징으로 하는 공정.
KR1020220094764A 2016-06-01 2022-07-29 유기 막들의 퇴적 KR102550236B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230082985A KR20230107155A (ko) 2016-06-01 2023-06-27 유기 막들의 퇴적

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US15/170,769 2016-06-01
US15/170,769 US10373820B2 (en) 2016-06-01 2016-06-01 Deposition of organic films
US15/486,124 US10453701B2 (en) 2016-06-01 2017-04-12 Deposition of organic films
US15/486,124 2017-04-12
KR1020170068676A KR102428855B1 (ko) 2016-06-01 2017-06-01 유기 막들의 퇴적

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020170068676A Division KR102428855B1 (ko) 2016-06-01 2017-06-01 유기 막들의 퇴적

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230082985A Division KR20230107155A (ko) 2016-06-01 2023-06-27 유기 막들의 퇴적

Publications (2)

Publication Number Publication Date
KR20220113889A KR20220113889A (ko) 2022-08-17
KR102550236B1 true KR102550236B1 (ko) 2023-06-30

Family

ID=60483513

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020170068676A KR102428855B1 (ko) 2016-06-01 2017-06-01 유기 막들의 퇴적
KR1020220094764A KR102550236B1 (ko) 2016-06-01 2022-07-29 유기 막들의 퇴적
KR1020230082985A KR20230107155A (ko) 2016-06-01 2023-06-27 유기 막들의 퇴적

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020170068676A KR102428855B1 (ko) 2016-06-01 2017-06-01 유기 막들의 퇴적

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230082985A KR20230107155A (ko) 2016-06-01 2023-06-27 유기 막들의 퇴적

Country Status (4)

Country Link
US (4) US10453701B2 (ko)
JP (3) JP7092466B2 (ko)
KR (3) KR102428855B1 (ko)
TW (2) TWI778878B (ko)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) * 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) * 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
TW202325889A (zh) * 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
JP2019145714A (ja) * 2018-02-22 2019-08-29 東芝メモリ株式会社 パターン形成方法
JP7037397B2 (ja) * 2018-03-16 2022-03-16 キオクシア株式会社 基板処理装置、基板処理方法、および半導体装置の製造方法
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP7303447B2 (ja) 2018-07-02 2023-07-05 セントラル硝子株式会社 基板、基板の金属表面領域への選択的な膜堆積方法、有機物の堆積膜及び有機物
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10685845B2 (en) 2018-11-06 2020-06-16 Nanya Technology Corporation Method for preparing a semiconductor structure
CN113272471B (zh) * 2019-01-10 2023-07-18 中央硝子株式会社 基板、选择性膜沉积方法、有机物的沉积膜及有机物
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
JP7326077B2 (ja) * 2019-09-10 2023-08-15 キオクシア株式会社 エッチングマスクの形成方法および半導体装置の製造方法
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
JP7414597B2 (ja) 2020-03-12 2024-01-16 キオクシア株式会社 配線形成方法
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
KR20210158811A (ko) 2020-06-24 2021-12-31 에이에스엠 아이피 홀딩 비.브이. 유기 재료의 영역 선택적 제거
JPWO2022163825A1 (ko) 2021-02-01 2022-08-04
CN113584325B (zh) * 2021-06-21 2022-07-19 洛阳双瑞万基钛业有限公司 一种海绵钛生产过程中反应器渗钛层的修复方法
US11972940B2 (en) 2022-04-18 2024-04-30 Applied Materials, Inc. Area selective carbon-based film deposition
US20240145245A1 (en) * 2022-10-26 2024-05-02 Applied Materials, Inc. Aluminum oxide carbon hybrid hardmasks and methods for making the same
CN116351662B (zh) * 2023-03-31 2024-05-17 浙江大学 一种聚合物薄膜区域选择性沉积的方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100178468A1 (en) 2006-02-13 2010-07-15 Jiang Ying-Bing Ultra-thin microporous/hybrid materials
JP2012064945A (ja) 2010-09-20 2012-03-29 Toshiba Corp 半導体装置および半導体装置の製造方法
US20120241411A1 (en) * 2011-03-24 2012-09-27 Uchicago Argonne Llc Sequential infiltration synthesis for advanced lithography
WO2015047345A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions

Family Cites Families (317)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4613398A (en) 1985-06-06 1986-09-23 International Business Machines Corporation Formation of etch-resistant resists through preferential permeation
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0233153A (ja) * 1988-07-22 1990-02-02 Toshiba Corp 半導体装置の製造方法
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
JP2614676B2 (ja) 1991-05-10 1997-05-28 化学技術振興事業団 薄膜製造方法と薄膜デバイス
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
JP3373320B2 (ja) 1995-02-10 2003-02-04 株式会社アルバック 銅配線製造方法
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
KR20010010172A (ko) 1999-07-16 2001-02-05 윤종용 베리어 메탈막을 캐핑막으로 구비하는 도전패턴 형성방법
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
JP2001308071A (ja) 2000-04-26 2001-11-02 Canon Inc E面分岐を有する導波管を用いたプラズマ処理装置及びプラズマ処理方法
US6652709B1 (en) 1999-11-02 2003-11-25 Canon Kabushiki Kaisha Plasma processing apparatus having circular waveguide, and plasma processing method
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
DE10025550A1 (de) * 2000-05-19 2001-11-29 Infineon Technologies Ag Verfahren zum Ätzen
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
JP4333900B2 (ja) 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. 磁気メモリセル、磁気構造体及び磁気素子の製造方法、並びに磁気構造体用金属層の成長方法
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR20140096288A (ko) 2002-11-15 2014-08-05 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
FR2851181B1 (fr) 2003-02-17 2006-05-26 Commissariat Energie Atomique Procede de revetement d'une surface
JP2004281479A (ja) 2003-03-13 2004-10-07 Rikogaku Shinkokai 薄膜形成方法
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
EP1623454A2 (en) 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
JP5412294B2 (ja) 2007-02-14 2014-02-12 本田技研工業株式会社 原子層堆積法によりサイズ制御され空間的に分散されるナノ構造の製造方法
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
JP5220106B2 (ja) 2007-06-22 2013-06-26 ザ・リージエンツ・オブ・ザ・ユニバーシティ・オブ・コロラド 原子層堆積法及び分子層堆積法を用いて製造された有機電子デバイス用の保護被膜
CN103147062A (zh) 2007-09-14 2013-06-12 西格玛-奥吉奇有限责任公司 采用单环戊二烯基三烷氧基铪和锆前体通过原子层沉积制备薄膜的方法
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
EP2222889A4 (en) 2007-11-06 2010-12-29 Hcf Partners L P ATOM LOCATION SEPARATION PROCESS
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
WO2010009295A2 (en) 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
CN102132422A (zh) 2008-08-27 2011-07-20 应用材料股份有限公司 利用印刷介电阻障的背接触太阳能电池
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
JP2012510706A (ja) 2008-12-01 2012-05-10 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 有機電子デバイス用のアノード
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
JP2010232316A (ja) 2009-03-26 2010-10-14 Fujifilm Corp 酸化亜鉛系半導体薄膜の成膜方法、及び成膜装置
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
JP2010250088A (ja) 2009-04-16 2010-11-04 Konica Minolta Business Technologies Inc 中間転写体、中間転写体の製造方法、及び画像形成装置
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
US20120189868A1 (en) 2009-07-31 2012-07-26 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
JP2011222779A (ja) 2010-04-09 2011-11-04 Dainippon Printing Co Ltd 薄膜素子用基板の製造方法、薄膜素子の製造方法および薄膜トランジスタの製造方法
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
WO2011160004A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
JP5400964B2 (ja) 2010-07-01 2014-01-29 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US8329575B2 (en) 2010-12-22 2012-12-11 Applied Materials, Inc. Fabrication of through-silicon vias on silicon wafers
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8586478B2 (en) 2011-03-28 2013-11-19 Renesas Electronics Corporation Method of making a semiconductor device
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
TWI541938B (zh) 2011-06-03 2016-07-11 諾菲勒斯系統公司 用於互連的含金屬及矽覆蓋層
DE102011051260A1 (de) 2011-06-22 2012-12-27 Aixtron Se Verfahren und Vorrichtung zum Abscheiden von OLEDs
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI627303B (zh) 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
FR2982608B1 (fr) 2011-11-16 2013-11-22 Saint Gobain Couche barriere aux metaux alcalins a base de sioc
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US8962423B2 (en) * 2012-01-18 2015-02-24 International Business Machines Corporation Multilayer MIM capacitor
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
JP5827939B2 (ja) 2012-12-17 2015-12-02 東京エレクトロン株式会社 成膜方法、プログラム、コンピュータ記憶媒体及び成膜装置
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
WO2014119693A1 (ja) 2013-01-31 2014-08-07 大日本印刷株式会社 電子線硬化性樹脂組成物、リフレクター用樹脂フレーム、リフレクター、半導体発光装置、及び成形体の製造方法
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
TWI625424B (zh) 2013-03-13 2018-06-01 應用材料股份有限公司 蝕刻包含過渡金屬的膜之方法
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP5605464B2 (ja) 2013-06-25 2014-10-15 東京エレクトロン株式会社 成膜装置及びそのクリーニング方法
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
CN110323268B (zh) 2013-06-28 2023-01-03 英特尔公司 基于选择性外延生长的iii-v族材料的器件
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
EP3046991B1 (en) 2013-09-20 2019-10-30 Baker Hughes, a GE company, LLC Composites for use in stimulation and sand control operations
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
JP6135475B2 (ja) 2013-11-20 2017-05-31 東京エレクトロン株式会社 ガス供給装置、成膜装置、ガス供給方法及び記憶媒体
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
WO2015094305A1 (en) 2013-12-19 2015-06-25 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9873940B2 (en) * 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
US9487864B2 (en) 2014-01-15 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Metal capping process and processing platform thereof
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150247238A1 (en) 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
EP2918701A1 (en) 2014-03-14 2015-09-16 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Method of manufacturing a stacked organic light emitting diode, stacked OLED device, and apparatus for manufacturing thereof
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
WO2015147843A1 (en) 2014-03-27 2015-10-01 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
WO2015147858A1 (en) 2014-03-28 2015-10-01 Intel Corporation Selective epitaxially grown iii-v materials based devices
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
JP5886381B2 (ja) 2014-07-23 2016-03-16 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US9396958B2 (en) * 2014-10-14 2016-07-19 Tokyo Electron Limited Self-aligned patterning using directed self-assembly of block copolymers
US9281212B1 (en) 2014-10-17 2016-03-08 International Business Machines Corporation Dielectric tone inversion materials
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
TWI627192B (zh) 2015-03-13 2018-06-21 村田製作所股份有限公司 Atomic layer deposition inhibiting material
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
CN113936994A (zh) 2015-05-01 2022-01-14 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
CN108064225A (zh) 2015-06-18 2018-05-22 英特尔公司 用于第二或第三行过渡金属薄膜的沉积的固有地选择性前驱体
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US9673042B2 (en) 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US10316406B2 (en) 2015-10-21 2019-06-11 Ultratech, Inc. Methods of forming an ALD-inhibiting layer using a self-assembled monolayer
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
WO2018063815A1 (en) 2016-10-02 2018-04-05 Applied Materials, Inc. Doped selective metal caps to improve copper electromigration with ruthenium liner
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10504723B2 (en) 2017-01-05 2019-12-10 Applied Materials, Inc. Method and apparatus for selective epitaxy
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
KR102627238B1 (ko) 2017-05-05 2024-01-19 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
KR101822577B1 (ko) 2017-10-31 2018-03-08 나정균 분리배출이 용이한 친환경 아이스팩
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100178468A1 (en) 2006-02-13 2010-07-15 Jiang Ying-Bing Ultra-thin microporous/hybrid materials
JP2012064945A (ja) 2010-09-20 2012-03-29 Toshiba Corp 半導体装置および半導体装置の製造方法
US20120241411A1 (en) * 2011-03-24 2012-09-27 Uchicago Argonne Llc Sequential infiltration synthesis for advanced lithography
WO2015047345A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Chaiya Prasittichai et al., "Area Selective Molecular Layer Deposition of Polyurea Films", ACS Appl. Mater. Interfaces 2013, 5, 13391-13396 (2013.11.14.) 1부.*
Pia Sundberg et al., "Organic and inorganic-organic thin film structures by molecular layer deposition: A review", Beilstein J. Nanotechnol., Vol.5, pp.1104-1136 (2014.07.22.) 1부.*

Also Published As

Publication number Publication date
US20230343601A1 (en) 2023-10-26
US20210175092A1 (en) 2021-06-10
KR102428855B1 (ko) 2022-08-04
TW201809334A (zh) 2018-03-16
JP2022071171A (ja) 2022-05-13
KR20170136457A (ko) 2017-12-11
US10923361B2 (en) 2021-02-16
US20200051829A1 (en) 2020-02-13
US11728175B2 (en) 2023-08-15
KR20220113889A (ko) 2022-08-17
JP2017216448A (ja) 2017-12-07
US10453701B2 (en) 2019-10-22
US20170352550A1 (en) 2017-12-07
JP7350920B2 (ja) 2023-09-26
JP2023166553A (ja) 2023-11-21
JP7092466B2 (ja) 2022-06-28
TWI778878B (zh) 2022-09-21
KR20230107155A (ko) 2023-07-14
TW202246559A (zh) 2022-12-01
TW202212606A (zh) 2022-04-01
TWI751168B (zh) 2022-01-01

Similar Documents

Publication Publication Date Title
KR102550236B1 (ko) 유기 막들의 퇴적
US11387107B2 (en) Deposition of organic films
JP7169072B2 (ja) 選択的パッシベーションおよび選択的堆積
US11145506B2 (en) Selective passivation and selective deposition
KR20210065848A (ko) 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
TWI835253B (zh) 用於選擇性地形成有機薄膜的製程
CN114262878A (zh) 氧化硅沉积方法
TW202403076A (zh) 有機材料之選擇性沉積

Legal Events

Date Code Title Description
A107 Divisional application of patent
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant