KR100696033B1 - 반도체 기판 프로세싱 방법 - Google Patents

반도체 기판 프로세싱 방법 Download PDF

Info

Publication number
KR100696033B1
KR100696033B1 KR1020000030343A KR20000030343A KR100696033B1 KR 100696033 B1 KR100696033 B1 KR 100696033B1 KR 1020000030343 A KR1020000030343 A KR 1020000030343A KR 20000030343 A KR20000030343 A KR 20000030343A KR 100696033 B1 KR100696033 B1 KR 100696033B1
Authority
KR
South Korea
Prior art keywords
silicon carbide
carbide layer
layer
plasma
delete delete
Prior art date
Application number
KR1020000030343A
Other languages
English (en)
Other versions
KR20010039634A (ko
Inventor
주디에이치. 후앙
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010039634A publication Critical patent/KR20010039634A/ko
Application granted granted Critical
Publication of KR100696033B1 publication Critical patent/KR100696033B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 추가의 증착층을 필요하지 않은 탄소 함유층의 부착성 및 내산화성을 개선하는 것이다. 한 특징에서, 본 발명은 탄화실리콘과 같은 탄소 함유층의 노출면을 헬륨(He), 아르콘(Ar)과 같은 불활성 가스 플라즈마 또는 다른 불활성 가스 플라즈마 또는 산화질소(N2O) 플라즈마와 같은 산소 함유 플라즈마로 처리한다. 다른 탄소 함유 재료는 유기 폴리머 재료, 무정질 탄소, 무정질 탄화불소, 탄소 함유 산화물 및 기타 탄소 함유 재료를 포함한다. 플라즈마 처리는 바람직하게 처리되어질 층의 증착과 인시츄 상태에서 이루어진다. 인시츄 증착 및 플라즈마 처리가 일어나는 프로세싱 챔버는 탄소 함유층에 대한 것과 동일 또는 유사한 선구물질을 전달하도록 형상되어 있다. 그러나, 층은 여러 선구물질로 증착될 수 있다. 본 발명은 또한 처리 플라즈마를 발생하는 프로세싱 변수와 처리 플라즈마를 사용하는 시스템을 제공한다. 탄소 함유 재료는 배리어 층, 에칭 스톱, ARC, 패시베이션층 및 절연층과 같은 다양한 층에 사용될 수 있다.

Description

반도체 기판 프로세싱 방법{METHOD OF PROCESSING A SEMICONDUCTOR SUBSTRATE}
도 1은 본 발명의 플라즈마 프로세스가 수행될 수 있는 상업적으로 이용가능한 CVD 플라즈마 프로세스 챔버의 단면도.
도 2는 특정 결합 구조를 표시하는 본 발명의 SiC의 푸리에 변환 적외선 분광기(FTIR) 챠트.
도 3은 본 발명을 이용하는 이중 다마신 구조의 양호한 실시예를 도시하는 도면.
* 도면의 주요부분에 대한 부호의 설명 *
20 : 도전성 재료 20a : 비아/콘택트
20b : 라인/트렌치
60 : 기판 62 : 피쳐
64 : 배리어 층 66 : 절연층
68 : 에칭스톱 70 : 절연층
72 : ARC 75 : 배리어 층
본 발명은 기판상에 집적 회로를 제조하는 것에 관한 것이다. 특히, 본 발명은 탄소 함유 층에 대한 산화를 최소화하고 인접 층에 대한 부착을 개선하기 위해 탄화 실리콘과 같은 탄소 함유 층을 플라즈마 처리하는 것에 관한 것이다.
1/4 이하 미크론의 멀티-레벨 금속화(Sub-quarter micron multi-level mentalization)는 차세대 극초밀도 집적회로(ULSI)에 대한 중요한 기술중 하나이다. 멀티레벨 상호접속 피쳐(interconnect feature)의 신뢰성 있는 형성은 ULSI의 성공에 있어서 그리고 개별 기판 및 다이상에서 회로 밀도와 품질을 높이기 위한 계속적인 노력에 있어서 매우 중요하다. 회로 밀도가 증가하면, 기판의 스택(stack)내에서 재료와 구조의 변화가 일어난다. 결국, 층 부착 및 내산화성과 같은 일부의 기본적인 성질을 재고려할 필요가 있다.
층들이 연속적으로 증착되면, 구조적 일체성을 유지하고 형성되어지는 소자의 요구 성능을 만족시키는데 있어서 층들 사이의 부착이 중요하게 된다. 배리어 층, 에칭 스톱, 반사방지 코팅(ARC), 패시베이션층 및 기타 층으로서 유용한 새로운 저(low) k 재료를 사용하는 경우, 제작 과정중에 양호한 부착이 이루어져야 한다. 예로서, ULSI를 위한 새로운 재료는, 강도와 같은 바람직한 물리적 성질을 유지하면서도 층의 k값을 낮추기 위해, 불소와 같은 할로겐 도핑을 사용한다. 그러나, 일부 도핑된 재료는 프로세싱중에 가스를 배출할 수 있다. 그러므로, 인접층들이 증착되고 최후로 어닐링되면, 층들은 서로 적절히 부착되지 않고, 그 결과로 층들의 분리가 일어난다.
추가로, 새로운 재료는 특히 산화 플라즈마에 노출된 층의 경우에, 개선된 내산화성을 가질 필요가 있다. 한 예로서, 층들을 패턴화 에칭할 필요가 있으며, 그에 따라 포토레지스트 재료(통상적으로 유기 폴리머)의 층이 다른 층상에 증착되어 에칭 패턴을 형성하는 포토리쏘그래피 프로세스를 실시한다. 에칭 후, 포토레지스트 층은 포토레지스트 층을 활성 산소 플라즈마에 노출함으로써 제거되며, 이런 프로세스를 통상적으로 "애싱(ashing)"이라 한다. 애싱 프로세스의 가혹한 플라즈마-산화중에, 플라즈마의 대전된 입자가 기판과 충돌하여 필름 손실을 야기할 수 있고 및/또는 기판의 결정 격자를 왜곡시킬 수 있으며, 그에 따라 기판상에 형성된 소자의 완전성(integrity)을 손상시킬 수 있다.
부식 또는 필름 손실은 콘택츠, 비아, 라인 및 트렌치와 같은 작은 치수의 피쳐들 사이에 단락 회로를 야기할 수 있다. 애싱으로부터의 산화는 특히 SiC와 같은 탄소 함유 재료에 영향을 주는 것으로 보이며, 그에 따라, 일반적으로 그러한 재료의 부착성과 내산화성을 개선하는 것이 또한 유리할 것이다. 그러므로, 작은 치수 피쳐의 회로 완전성 유지를 위해, 상기와 같은 가혹한 환경에 대한 내산화성 및 필름 손실에 대한 저항을 개선할 필요가 있다.
그러므로, 탄소 함유 재료의 내산화성 및 부착성을 높이는 개선 프로세싱이 필요하다.
본 발명은 추가의 증착층을 필요로하지 않으면서도 탄소 함유층의 부착성 및 내산화성을 개선하는 것이다. 한 특징에서, 본 발명은 Si-C와 같은 탄소 함유층의 노출면을 헬륨(He), 아르콘(Ar)과 같은 불활성 가스 플라즈마 또는 다른 불활성 가스 플라즈마 또는 산화질소(N2O) 플라즈마와 같은 산소 함유 플라즈마로 처리한다. 다른 탄소 함유 재료는 유기 폴리머 재료, αC, αFC, SiCO:H 및 기타 탄소 함유 재료를 포함할 수 있다. 바람직하게, 플라즈마 처리는 처리되어질 층의 증착에 이어서 인시츄(in-situ; 동일장소내) 방식으로 이루어진다. 바람직하게, 인시츄 증착 및 플라즈마 처리가 일어나는 프로세싱 챔버는 탄소 함유층에 대한 것과 동일 또는 유사한 선구물질을 전달하도록 구성되어 있다. 그러나, 층은 여러 선구물질로 증착될 수 있다. 본 발명은 또한 처리 플라즈마를 생성하는 프로세싱 변수와 그러한 처리 플라즈마를 사용하는 시스템을 제공한다. 탄소 함유 재료는 배리어 층, 에칭 스톱, ARC, 패시베이션층 및 절연층과 같은 다양한 층에 사용될 수 있다.
본 발명의 상술한 특징, 장점 및 목적은 첨부의 도면에서 도시한 실시예를 참고하면 보다 상세히 이해될 수 있을 것이다.
그러나, 첨부의 도면과 아래에 설명한 실시예는 본 발명의 범위를 제한하고자 하는 것이 아니며 따라서 다른 동등한 효과의 실시예들도 본 발명으로 인정되어야 한다.
본 발명은 추가의 증착층을 필요하지 않으면서도 탄소 함유층을 불활성 가스 플라즈마 또는 산소 함유 플라즈마에 노출시킴으로써 탄소 함유층의 부착성 및 내산화성을 개선하는 것이다.
도 1은 본 발명의 플라즈마 처리를 수행할 수 있는 미국 캘리포니아 산타 클라라소재의 어플라이드 머티어리얼스, 인코포레이티드로부터 이용가능한 상표명 CENTURA® DxZTM CVD 챔버와 같은, 화학 기상 증착(CVD) 챔버의 단면도이다. 본 발명은 램프 가열식 프로세스 챔버를 포함하는 여러 프로세스 챔버내에서 실행될 수 있다. 프로세스 챔버(10)는 가스 분배 매니폴드(11)를 포함하며, 가스 분배 매니폴드는 통상적으로 "샤워헤드"로 불리며, 프로세스 가스를 매니폴드내의 천공 홀(도시 생략)을 통해서 기판 지지부(12)상에 놓여있는 기판(16)으로 분산시킨다. 기판 지지부(12)는 저항식으로 가열되며 지지 스템(stem)(13)상에 장착되어 있으므로, 기판 지지부와 그 기판 지지부의 상부면상에 지지된 기판은 리프트 모터(14)에 의해 매니폴드(11)에 인접한 상부 프로세싱 위치와 하부 로딩/오프-로딩 위치 사이에서 제어가능하게 이동될 수 있다. 기판 지지부(12)와 기판(16)이 프로세싱 위치에 있을 때, 절연 링(17)에 의해 둘러싸인다. 처리중에, 매니폴드(11)로 유입된 가스는 기판 표면에 걸쳐서 방사방향으로 균일하게 분배된다. 가스는 진공 펌프 시스템(32)에 의해 포트(24)를 통해서 배기된다. 제어된 플라즈마는 RF 전원(25)으로부터 분배 매니폴드(11)에 RF에너지를 가하므로써 기판에 인접해서 형성된다. 기판 지지부(12)와 챔버 벽은 통상적으로 접지되어 있다. RF 전원(25)은 단일 주파수 또는 혼합된 주파수 RF 전력를 매니폴드(11)에 공급하여 챔버(10)로 도입된 어떠한 가스의 분해도 향상시킬 수 있다. 제어기(34)는 전원, 리프트 모터, 가스 분사용 질량(mass) 제어기, 진공 펌프 기능, 그리고 다른 관련된 챔버 및/또는 프로세싱 기능을 제어한다. 제어기는 메모리(38)(바람직한 실시예에서 하드 디스크 드라이브)내에 저장된 시스템 제어 소프트웨어를 실행하고, 아날로그 및 디지털 입력/출력 보드, 인터페이스 보드 및 스텝퍼 모터 제어기 보드를 포함할 수 있다. 광학 및/또는 자기 센서는 일반적으로 가동(movable) 기계 어셈블리의 위치를 이동시키고 결정하는데 사용된다. 이런 CVD 프로세스 챔버의 예는 본 발명의 출원인인 어플라이드 머티어리얼스, 인코포레이티드에 양도된 왕(Wang) 등의 미국 특허 제 5,000,113 호에 기술되어 있으며, 이는 여기서 참고로 사용되며, 발명의 명칭이 "열적 CVD/PECVD 반응기 및 이산화 실리콘의 열 화학 증착 및 인시츄 멀티 스텝 평탄화 프로세스에서의 용도(Thermal CVD/PECVD Reactor and Use for Thermal Chemical Vapor Deposition of Silicon Dioxide and in-situ Multi-step Planarized Process)"이다.
상기 CVD 시스템 설명은 주로 설명을 위한 것이며, 전극 사이클로트론 공명(ECR) 플라즈마 CVD 장치, 유도 결합 RF 고밀도 플라즈마 CVD 장치 등과 같은 다른 플르즈마 장치도 사용될 수 있다. 추가로, 상술한 시스템의 변경, 예를 들어 기판 지지부 디자인, 가열기 디자인, RF 전력 연결부의 위치, 전극 구성 및 다른 특성들의 변경이 가능하다. 예들 들어, 기판은 저항식으로 가열된 기판 지지부에 의해 지지되고 가열될 수 있다.
He 플라즈마를 사용한 프로세스 변수가 표 1에 제공되어 있고, N2O를 사용한 프로세스 변수가 표 2에 제공되어 있다. 이들 가스들은 대표적인 가스들이며, 다른 불활성 가스 또는 다른 산소 함유 가스와 같은 여러 가스들도 사용될 수 있다.
He 플라즈마
변수 He(sccm) 범위 100 - 4000 바람직하게 500 - 2500 보다 바람직하게 750 - 2000
압력(토르) 1 - 12 2 - 10 4 - 9
RF 전력(W) 50 - 800 100 - 500 100 - 400
RF 전력 밀도(W/in2) 0.7 - 11 1.4 - 7.2 1.4 - 5.7
온도(℃) 0 - 500 50 - 450 100 - 400
이격 거리(mils) 200 -700 300 - 600 300 - 500
N2O 플라즈마
변수 He(sccm) 범위 100 - 4000 바람직하게 500 - 2500 보다 바람직하게 750 - 2000
압력(토르) 1 - 12 2 - 10 4 - 9
RF 전력(W) 50 - 800 100 - 500 100 - 400
RF 전력 밀도(W/in2) 0.7 - 11 1.4 - 7.2 1.4 - 5.7
온도(℃) 0 - 500 50 - 450 100 - 400
이격 거리(mils) 200 -700 300 - 600 300 - 500
상기 프로세스 변수들은 상술한 상표명 CENTURA® DxZTM CVD 챔버내에, 본 발명에 따라서, SiC와 같은 탄소 함유층의 노출 표면을 He 또는 N2O 플라즈마 또는 다른 불활성 또는 산화 가스로 처리하는데 사용될 수 있다. 표 1 또는 표 2의 매개변수를 사용하여, He 또는 N2O 가스 각각은 약 100 내지 약 4000 표준 입방 센티메터(sccm), 보다 바람직하게 약 750 내지 2000 sccm의 유량으로 챔버로 흐른다. 챔버 압력은 약 1내지 약 12 토르, 보다 바람직하게 약 4 내지 약 9 토르에서 유지된다. 단일 13.56 MHz RF 전원은 약 50 내지 약 800 와트(W), 보다 바람직하게 약 100 내지 400 W를 챔버에 전달한다. 약 0.108 내지 1.705W/cm2( 0.7 내지 11 W/in2 ), 보다 바람직하게 약 0.217 내지 약 0.88W/cm2(약 1.4 내지 5.7 W/in2)의 전력 밀도가 사용된다. RF 전원은 혼합 주파수 RF 전원일 수도 있다. 기판 표면 온도는 약 0 내지 500℃, 보다 바람직하게 약 100 내지 400℃로 유지된다. 기판은 가스 판으로부터 약 200 내지 약 700 mils, 보다 바람직하게 약 300 내지 500 mils 떨어져 배치되어 있다.
바람직하게, 기판은 약 10 내지 약 40 초 동안 노출된다. 대부분의 경우에, 하나의 처리 사이클의 마지막 20초는 부착성 증가 및/또는 산화에 대한 민감성 감소를 위해 층을 효과적으로 처리한다. 특히 추가의 증착층을 요하지 않고 부착성을 개선하는 프로세스들에서, 부착을 개선하는데 도움이 되도록 다른 챔버, 기판층 및 다른 가스에 대한 매개변수가 조정될 수 있다.
본 발명은 다양한 재료를 처리하는데 유익하다. 예를 들어, 이들 재료는 유기 폴리머 재료, αC, αFC, SiCO:H와 같은 주로 탄소 함유층 및 다른 탄소 함유 재료를 포함할 수 있다.
여러 용도에 우수하게 사용될 수 있는 한 재료는 1998년 10월 1일자 출원된 발명의 명칭이 "배리어 층 및 에칭 스톱으로 사용하기 위한 실리콘 카바이드 증착(A Silicon Carbide Deposition For Use As A Barrier Layer And An Etch Stop)"인 계류중인 미국 특허 출원 제 09/165,248 호와 1998년 12월 23일자 출원된 발명의 명칭이 "저 절연 상수 ARC로서 사용하기 위한 실리콘 카바이드 증착(A Silicon Carbide Deposition For Use As a Low Dielectric Constant Anti-Reflective Coating)"인 일부 연속 출원의 미국 특허 출원 제 09/219,945 호내에 기술된 저 k SiC이다. 이들 양 특허 출원은 본 발명의 출원인인, 어플라이드 머티어리얼스, 인코포레이티드 소유이며, 여기서 참고로 사용되고 있다. 이 특정 SiC는 배리어층, 에칭 스톱, ARC 및/또는 패시베이션층으로 기능하고 뿐만 아니라 저 k값을 가질 수 있는 장점을 제공하고 부착성 향상 및 내산화성 증가의 이익을 얻을 수 있다.
상기 프로세스 변수는 7보다 작은, 바람직하게 약 5이하, 보다 바람직하게 약 4.2 이하의 절연 상수를 가지는 SiC 재료를 생산한다. 이런 SiC 층을 200mm 웨이퍼상에 증착하도록, 트리메틸실란(trimethylsilane)과 같은 반응성 가스 소오스는, 반응 영역으로 도입되는 산소의 실질적인 소오스 없이, 상술한 상표명 CENTURA® DxZTM CVD 챔버와 같은 반응 챔버로 흐르며, 상기 트리메틸실란은 약 50 내지 약 200 sccm의 바람직한 유량으로 흐른다. 바람직하게, 헬륨 또는 아르곤과 같은, 희가스는 또한 약 200 내지 1000 sccm의 유량으로 챔버로 흐른다. 챔버 압력은 약 6내지 약 10 토르에서 유지된다. 바람직하게, 단일 13.56 MHz RF 전원은 약 400 내지 약 600 W 즉, 바람직하게 약 0.88 내지 약 1.33W/cm2(약 5.7 내지 약 8.6 W/in2 )의 전력 밀도를 챔버로 공급한다. 바람직하게, 기판 표면 온도는 SiC의 증착 동안 약 300℃ 내지 400℃로 유지되며, 기판은 바람직하게 가스 샤워헤드로부터 약 300 내지 약 500mils(mils) 떨어져 배치되어 있다.
도 2는 본 발명에 따라 He 및 N2O로 처리된 SiC의 샘플의 푸리에 변환 적외선 분광기(FTIR) 분석도이며, 각 처리된 SiC층의 결합 구조를 도시하고 있다. 상부선(A)은 증착한 그 상태의 SiC층의 결합 구조를 도시한다. Si(CH3)n 및 SiC 결합을 포함하는, 본 발명에 적용가능한 여러 결합 구조에 대응하는 분석의 부분들도 확인되어져 있다. He 플라즈마 처리후 시편의 결합 구조가 선(A)상에 표시되어 있다. 도시한 바와 같이, He 플라즈마 노출은 시편의 검출된 결합 구조 및 조성에 최소의 영향을 미치거나 또는 영향을 미치지 않는다. 또한, O2 플라즈마 노출 후 시편의 결합 구조가 선(A)상에 표시되어 있다. O2 플라즈마로 기판을 처리하기전에 약 10 내지 약 30분 동안 기판을 He 플라즈마로 조절(conditioning)함으로써, 기판은 O2 플라즈마 노출로부터 실질적으로 영향을 받지 않는다.
아래쪽 선(B)은 N2O 플라즈마 처리후 SiC 시편의 결합 구조를 도시한다. N2O 플라즈마 처리는 상부의 선(A)에 도시한 비처리된 시편으로부터 결합 구조를 변경한다. 이 변화는 N2O 플라즈마 처리된 시편의 Si-O 결합 구조내에서 크게 나타난다. 아래쪽 선(B)에는 N2O 플라즈마에 의해 처리되어지고 그 다음, 약 10 내지 약 30분 동안 애싱과 같은 O2 플라즈마 노출을 받게 한 시편의 결합 구조를 도시한다. 여기서는 N2O로 플라즈마 처리 후의 시편과 후속 O2 플라즈마 노출 후의 시편의 결합 구조의 실질적인 차이는 나타나 있지 않다.
ESCA/XPS 및 FTIR 분석에 의해 검출하면 SiC층의 조성에 He플라즈마가 크게 영향을 주지 못하는 결과를 확인할 수 있다. He 처리는 N2O 플라즈마 처리보다 화학 조성에 보다 덜한 변화를 야기한다. 이 변화는, He 플라즈마 노출로 인한, 주로 표면층 결합 구조의 물리적 변화, 주로 Si 불포화 결합(dangling bond)으로 믿어진다. He 플라즈마 처리에 의한 표면 변화는 약 5Å 내지 10Å 미만의 깊이에서 있을 수 있다. SiC의 N2O 플라즈마 경우에, N2O 가스부터의 산소는 SiC 표면에서 반응하여 Si-O결합 및/또는 C-O 결합을 형성하며, 그러한 결합은 Si 불포화 결합을 감소시키고 부착성 및 내산화성을 개선한다.
예 1
표 3 및 표 4는 절연층에 증착되고 He 또는 N2O 플라즈마와 같은, 처리 플라즈마에 노출된 SiC 층의 화학 조성 변화와 결합 구조 변화에 대한 전자분광 화학 분석법/ X-선 광전자 분광법(ESCA/ XPS) 분석 데이터를 나타낸다.
일련의 SiC 층은 표 1 및 표 2에 도시한 프로세스 변수에 따라서 플라즈마 처리에 노출된다. He 또는 N2O 가스는 약 1500 sccm의 유량으로 챔버로 흐르고, 챔버 압력은 약 8.5 토르로 유지되고, 단일 13.56 MHz RF 전원은 약 250W를 200mm 웨이퍼용 챔버에 전달한다. 기판 표면 온도는 약 250 내지 400℃로 유지되며 기판은 가스 판으로부터 약 400 mils 떨어져 배치되어 있다. 기판은 바람직하게 약 20 초 동안 노출된다.
샘플 C O Si N F Cl
베이스 비처리 56 8 36 -- -- 0.5
He 플라즈마 56 8 34 1 0.5 --
N2O 플라즈마 표면 5 67 28 -- -- --
N2O 플라즈마 벌크 35 24 36 4 -- --

비처리된 SiC 샘플은 약 56% C, 8% O, 36% Si, 및 무시할 수 있는 양의 N, F 및 Cl 을 포함한다. He 플라즈마에 의해 처리된 SiC 층은 비처리된 SiC 와 유사한 조성물을 포함한다. He 플라즈마는 산소, 수소 및/또는 질소를 포함하는 다른 가스의 실질적인 존재없이 사용된다. He 가스 플라즈마내에 존재하는 정도의 산소, 수소 및/또는 질소는 무시가능하다.
표면에서 또는 표면 가까이 에서 측정한 N2O 처리된 샘플에서 SiC층의 조성은 약 5% C, 67% O, 28% Si로 변하며, 이는 SiC층의 표면의 추가의 산화를 반영한 것이다. N2O 플라즈마 노출에 의한 표면의 조성 변화 때문에, 약 3000Å의 두께를 가지는 층 단면의 벌크(bulk)를 통해서 SiC층을 분석하였다. 분석은 약 35% C, 24% O, 36% Si 및 3% N까지의 조성의 변화를 보여준다.
표 4는 ESCA/XPS분석 레포트의 데이터를 나타내며, 표 3의 샘플의 탄소와 관련된 화학 결합 구조 및 탄소 함량을 상세히 나타낸다.
샘플 Si-C C-C, C-H C-O C=C-O
베이스 69 30 1 --
He 플라즈마 68 29 3 --
N2O 플라즈마 표면 -- 78 20 2
N2O 플라즈마 벌크 84 16 -- --

결과는 결합 구조가 He 플라즈마 처리로 상당히 일정하게 유지되는 것을 보여준다. SiC 표면 조성은 N2O 플라즈마 처리로 개선되어 보다 많은 C-C 및 C-H 결합을 포함하게 되며, Si-O 및/또는 C-O결합을 형성하고 그렇지 않으면 Si 불포화 결합 또는 다른 불포화 결합을 부동태화(passivate)시키는 것으로 믿어진다. 표면에서의 결합 변화는 후속 층에 대한 부착성을 증가시킨다. 추가로, N2O는 제어된 N2O 노출에 의해 층의 얇은 부분을 산화시켜, 비처리된 층과 비교해서 추가적이고 보다 더 깊은 산화에 대해 저항하는 표면을 만든다.
예 2
표 5는 애싱 호환성(compatibility) 연구에서 SiC의 플라즈마 처리의 결과를 나타낸다. 표 1 및 표 2에 기재된 바람직한 프로세스 매개변수를 사용해서, SiC를 가진 일련의 시편을 본 발명에 따라 He 또는 N2O 플라즈마로 처리한다. SiC 층의 하나의 시편은 비교 시편으로써 비처리된 상태로 남고, 다른 시편은 다른 비교 시편으로써 SiC 층상에 언도핑 실리콘 산화층(USG)을 증착한다.
이 예에서, He 또는 N2O 가스는 약 1500 sccm의 유량으로 챔버로 흐르고, 챔버 압력은 약 8.5 토르로 유지되고, 단일 13.56 MHz RF 전원은 200mm 웨이퍼용 챔버에 약 250W를 전달한다. 기판 표면 온도는 약 350 내지 450℃로 유지되며 기판은 가스 판으로부터 약 400 mils 떨어져 배치되어 있다. 기판은 약 20 초 동안 플라즈마에 노출된다. 두께 측정은 포토레지스트 층을 제거하기 위해 산소 플라즈마를 사용하는 애싱 프로세스 전에 그리고 그 후에 실시된다. 기재된 바와 같이, 결과는 He 및 N2O 플라즈마 처리가 공기 또는 애싱과 같은 다른 산화 환경에서 추가적인 산화를 감소시키거나 방지하는 것을 보여준다.
샘플 SiC 애싱 전 두께 Å 애싱 후 두께 Å
산화층 SiC 층 전체 산화층 SiC 층 전체
베이스 비처리된 층 40 2895 2935 191 2874 3065
He 플라즈마 0 3108 3108 60 3008 3068
N2O 플라즈마 210 2821 3031 255 2673 2928
USG 층이 증착된 베이스 242 2978 3220 256 3064 3320

비처리된 SiC와 플라즈마 처리된 SiC사이의 구별은 표 5에 기재한 대략적인 산화층 두께의 차이를 비교함으로써 알 수 있다. 산화로 인한 층 두께의 큰 증가는, 절연 상수를 증가시키거나 배리어층의 금속 확산 방지 능력을 감소시킴으로써, 전체 층의 특성에 영향을 줄 수 있다. 그러므로, 산화된 층 두께의 증가를 최소화시키는 것이 바람직하다. 비처리된 SiC층의 산화층 두께는 애싱 전 약 40Å이고 애싱후 약 191Å이므로, 증가 분은 약 150Å이다. 이와 대조적으로, He 플라즈마로 처리된 SiC층의 산화층 두께는 애싱 전 약 0Å이고 애싱후 약 60Å이므로, 증가 분은 약 60Å이다. N2O 플라즈마로 처리된 SiC는 약 210Å의 초기 산화층 두께를 가지며, 애싱 프로세스 후 약 225Å의 산화층 두께를 만드므로, 증가 분은 약 45Å이다. 플라즈마 처리된 SiC층과 비교해서, 약 240Å의 USG가 SiC층위에 증착되고 그리고 나서 애싱 프로세스에 노출된다. 애싱 전의 두께는 약 242Å이고 애싱후는 약 256Å이므로, 증가 분은 약 14Å이다.
테스트 결과는, 처리된 SiC층이 비처리된 SiC층 보다 애싱으로 인한 산화에 대해 약 300% 정도 더 강한 것을 나타낸다. 결과는 또한 처리된 SiC층은 USP층이 상부에 증착된 하부의 SiC층 보다 단지 약 30Å 내지 약 45Å 더 많은 산화를 야기하는 것을 나타낸다.
예 3
일련의 SiC 층이 표 2에 설명한 프로세스 변수에 따라서 N2O 플라즈마 처리에 노출된다. 특히, 이 예에서, 약 1500 sccm의 N2O 가스가 챔버로 흐르고, 챔버 압력은 약 8.5 토르에서 유지되고, 약 250W의 RF 전원이 챔버에 전달되고, 기판 표면 온도는 약 350 내지 400℃로 유지되며, 기판과 가스 판과의 이격거리는 약 400 mils이다. 이 테스트에서, 기판 층은 5000-20000Å 두께의 USG 층, 200-1000Å 두께의 SiC 층, 그리고 증착된 다른 USG 산화층을 포함하며, 500Å의 질화재료 층으로 캡핑된(capped)된다. SiC층은 USG층의 증착 전에 본 발명의 플라즈마로 처리된다. 테스트의 한 세트에서, SiC층을 가진 시편은 약 20초 동안 N2O 플라즈마로 처리된다. 시편의 한 세트상에, 7000Å의 USG 재료 층이 증착되고, 다른 세트상에, 10000Å의 USG 재료 층이 증착되며, 각 두께는 상업적 실시예들에서의 통상적인 증착 두께를 나타낸다. 유사한 시편들이 준비되고, 여기에 유사한 USG 두께가 증착되고 SiC층이 20초 대신에 약 30초 동안 처리된다. 각 세트는 약 1시간, 2시간, 3시간 및 4시간의 어닐링 후에 광학 현미경으로 박리에 관해 검사된다. 심지어 450℃의 어닐링 온도에서도, 시편은 박리를 나타내지 않고 있다.
USG 층이 증착된 유사한 SiC층에 대해, 처리 시간은 유사하지만 표 1의 변수에 따른 He 플라즈마 처리 프로세스를 이용하여, 유사한 일련의 테스트를 실시한다. 특히, 이 예에서, 약 1500 sccm의 He 가스가 챔버로 흐르고, 챔버 압력은 약 8.5 토르에서 유지되고, 약 250W의 RF 전원이 챔버에 전달되고, 기판 표면 온도는 약 350 내지 400℃로 유지되며, 기판과 가스 판과의 이격거리는 약 400 mils이다. He 플라즈마 처리는 N2O 플라즈마 처리와 유사한 결과를 초래한다.
예 4
일련의 SiC 층이 본 발명의 플라즈마 처리에 노출되고 층 부착 특성을 테스트하였다. 사용된 처리 매개변수는 표 2의 양호한 범위내에 있다. 특히, 이 예에서, 약 1500 sccm의 N2O 가스가 챔버로 흐르고, 챔버 압력은 약 8.5 토르로 유지되며, 약 250W의 RF 전원이 챔버에 전달되고, 기판 표면 온도는 약 350 내지 400℃로 유지되며, 기판과 가스 판과의 이격거리는 약 400 mils이다. 기판 층은 5000Å 두께의 USG 층, 500Å 두께의 SiC 층을 포함하며, SiC 층은 약 20초 동안 플라즈마 처리에 의해 처리된다. 약 10000Å의 다른 USG 산화층이 증착되고, 그 다음에 500Å 두께의 질화재료 층으로 캡핑된다. 각 기판 스택은 박리를 야기하는 수소 및 다른 가스의 확산을 촉진하도록 450℃에서 약 30분이 소요되는 각 사이클을 4 내지 8회 반복하여, 전체적으로 약 2내지 4시간 동안 어닐링된다.
그리고 나서, 층 부착성은 "스터드 풀 데스트(stud pull test)"에 의해 테스트되며, 이때 스터드는 통상적으로 에폭시 부착제에 의해 스택에 부착되고, 그 다음에 인장 방향으로 당겨지고 스터드 또는 에폭시 부착제가 기판으로부터 떨어지거나 또는 층이 나머지 기판 층으로부터 분리될 때까지의 인장력을 측정한다. 심지어 450℃의 어닐링 온도에서 몇 사이클을 거치더라, 시편은 스터드가 기판으로부터 분리되기전에 박리되지 않는다. 20초 동안 SiC를 N2O 플라즈마 처리하면, SiC로부터 후속 층을 상승 또는 분리하는데 약 11000 psi(pounds per square inch)보다 큰 힘이 필요하며, 여기서 스터드는 층의 어떠한 박리도 없이 약 11000psi에서 에폭시로부터 분리된다.
유사한 테스트 세트가 표 1의 He 플라즈마 처리 매개변수를 사용해서 SiC 시편에 대해 실시된다. 특히, 이 예에서, 약 1500 sccm의 He 가스가 챔버로 흐르고, 챔버 압력은 약 8.5 토르이고, 약 250W의 RF 전원은 챔버에 전달되고, 기판 표면 온도는 약 350 내지 400℃로 유지되며, 기판과 가스 판과의 이격거리는 약 400 mils이다. 상술한 N2O 플라즈마 처리와 같은 유사한 층 두께와 유사한 노출 시간이 He 플라즈마 처리에 사용된다.
He 플라즈마 처리하면, SiC로부터 후속 층을 상승 또는 분리하는데 약 7900 psi보다 큰 힘이 필요하며, 여기서 스터드는 약 7900psi에서 에폭시로부터 분리된다. 상업적으로, 약 4000psi의 값이 수용가능하다. 비교하면, 본 발명에 따른 처리를 하지 않은 유사한 스택은 통상적으로 약 1000psi 미만에서 일반적으로 스터드 풀 테스트를 실패하며 박리된다. 특히 SiC 증착과 He 플라즈마 처리사이의 화학적 유사성 때문에, He 플라즈마가 바람직하고 대부분의 기판의 상업적인 프로세싱에 대해서 충분하다.
본 발명은 다마신(damascene) 구조를 포함하는 다양한 구조에 사용될 수 있고 이런 구조내의 다양한 층에 사용될 수 있다. 도 3은 하나의 예시적인 다마신 구조를 개략적으로 도시하며, 바람직한 실시예에서 상기 다마신 구조는 배리어 층, 에칭 스톱, ARC 와 같은 몇몇 SiC층 및/또는 다른 층을 포함하며, 각 층은 본 발명의 플라즈마 처리에 노출될 수 있다. 더욱이, 바람직하게, 구조물은 스택내의 둘 이상의 다양한 층의 인시츄 증착을 포함한다. 절연층은 SiC에서와 동일하거나 유사한 선구물질로 증착되거나 다른 선구물질로 증착될 수 있다. 피쳐내에 증착된 구리와 같은 금속 층에 대해서, 바람직하게 실시예는 또한 암모니아와 같은 환원제를 포함하는 플라즈마를 이용하여 금속 표면상에서 발생될 수 있는 산화물을 감소시킬 수 있다.
이중 다마신 구조를 형성하는데 있어서 둘 이상의 방식이 사용될 수 있으며, 여기서, 라인/트렌치는 비아/콘택트와 동시에 충진된다. "카운터보어(counterbore)" 방식에서, 집적 회로는 통상적으로 배리어 층, 제 1절연 층, 에칭 스톱, 제 2절연 층, ARC 및 포토레지스트의 증착 및 이어지는 기판의 에칭에 의해서 형성된다. 도 3에서, 집적회로(10)는 하층의 기판(60)을 포함하며, 여기에 증착된 일련의 층을 포함할 수 있으며, 기판에 피쳐(62)가 형성된다. 구리와 같은, 도체가 피쳐(62)위에 증착되면, 도체는 산화될 수 있다. 다양한 층의 증착과 인시츄 상태에서, 도체상의 산화물을 암모니아와 같은, 질소 및 수소로 구성된 환원제를 포함하는 플라즈마에 노출시켜 산화물을 감소시킬 수 있다. 한 실시예가 여기서 참고로 사용하고 있는 계류중인 미국 특허 출원 제 09/193,920 호에 기술되어 있으며, 여기서 약 100 내지 약 1000 sccm의 암모니아 유량, 약 1 내지 9 토르의 챔버 압력, 200mm 웨이퍼의 경우에 약 100 내지 약 1000W의 RF 전력, 약 200 내지 600 mils의 기판과 가스판의 이격 거리를 사용해서, 플라즈마 프로세스 매개변수를 기술한다.
SiC는 배리어층, 에칭 스톱, ARC 및/또는 절연층을 가지는 패시베이션층과 인시츄 상태에서 증착될 수 있다. 각 SiC층에 대해서, 본 발명의 플라즈마 처리가 사용될 수 있다. 예를 들어, 바람직하게 두께가 약 500Å인 SiC 배리어층(64)이 기판 및 피쳐위에 증착된다. 기판을 제거할 필요 없이, 절연층(66)이 배리어층(64)상에 인-시츄 방식으로 바람직하게 약 500Å 두께로 증착될 수 있다. 바람직하게, 절연층은 저 k특성을 가진 절연 재료의 산화물이다. 절연층은 도핑되지 않은 실리콘 유리(USG)로써 또한 알려진 도핑되지 않은 이산화실리콘, 플루오르-도핑된 실리콘 유리(FSG), 또는 다른 실리콘-탄소-산소계 재료일 수 있으며, 이들 재료중 일부는 저 k 재료일 수 있다. 이어서, 본 발명에 따른 SiC 재료의 저 k 에칭 스톱(68)이 절연층(66)상에 약 200Å 내지 1000Å, 바람직하게 500Å 두께로 인시츄 증착된다. 에칭 스톱 재료는 통상적으로 에칭되어진 절연층에 비해서 낮은 에칭 속도를 가지며 예정된 깊이에 도달하는 것을 보장하도록 에칭 프로세스내에서 약간의 유연성을 허용하는 재료이다. 일부 잘 규명된 에칭 프로세스에서, 에칭 스톱은 불필요할 수 있다. 다른 절연층(70)은 약 5,000Å 내지 10,000Å, 바람직하게 약 7,000Å의 두께로 에칭 스톱(68)위에 증착된다. 절연층(70)은 절연층(66)과 동일한 재료일 수 있다. 유사하게, 절연층(70)은 배리어층(64), 절연층(66) 및 에칭 스톱(68)과 인시츄 방식으로 증착될 수 있다. 마찬가지로 SiC 재료로 구성되고 바람직하게 두께가 약 600Å 두께의 ARC(72)는, 하부의 에칭 스톱 및 배리어층과 동일한 또는 유사한 화학물질을 사용해서, 절연층(70)상에 증착된다. ARC 증착 후, 포토레지스트 층(도시 생략)이 ARC(72)상에 증착된다. 일반적으로 포토레지스터의 증착 및 노출과 에칭은 다른 챔버내에서 이루어질 것이다. 포토레지스트 층은, 종래의 포토리쏘그래피를 사용해서, 비아/콘택트(20a)용 패턴을 형성하도록 노출된다. 그리고 나서 통상적으로 플루오르, 탄소 및 산소 이온을 사용하는 종래의 애칭 프로세스를 이용하여, 층들을 에칭하여 비아/콘택트(20a)를 형성한다. 이어서, 포토레지스트 층을 제거한다. 라인/트렌치(20b)와 같은 피쳐를 패턴화하도록 다른 포토레지스트 층이 증착 및 노출되며, 그 층이 에칭되어 라인/트렌치(20b)를 형성한다. 이어서, 포토레지스트 층은 제거된다. 라이너(22)가 피쳐 위에 또는 피쳐들 사이의 필드상에 필요할 수도 있으며, 이것은 통상적으로 Ta, TaN, Ti, TiN 및 다른 재료이다. 그리고 나서, 구리 또는 알루미늄과 같은 도전성 재료(20)가 비아/콘택트(20a) 및 라인/트렌치(20b) 양자내에 동시에 증착된다. 도전성 재료(20)가 피쳐위에 증착된 후에, 산화물 감소를 위해 암모니아와 같은 환원제를 함유하는 플라즈마에 또한 노출될 수 있다. 다른 SiC 배리어층(75)이 도전성 재료(20)위에 증착되어 후속 층들을 통해서 도체가 확산되는 것을 방지하는데 도움을 줄 수 있다.
이중 다마신 구조를 만들기 위한 다른 방식은 "자체-정렬 콘택트(self-aligning contact;SAC)"로서 알려져 있다. SAC 방식은, 포토레지스터 층이 에칭 스톱위에 증착되며, 에칭 스톱이 에칭되고 포토레지스터가 제거된다는 것을 제외하고, 카운터보어 방식과 유사하다. 그 다음에, 다른 절연 층과 같은 후속 층이 패턴화된 에칭 스톱위에 증착되며, ARC가 상기 절연층 위에 증착되고, 제 2 포토레지스트 층이 ARC위에 증착되며, 여기서 스택은 다시 에칭된다. 도 3의 실시예에서, 예를 들어, 통상적으로 에칭 스톱 증착과 분리된 챔버내에서 포토레지스터 층(도시 생략)이 에칭 스톱(68)위에 증착된다. 에칭 스톱(68)은 비아/콘택트(20a)용 패턴을 형성하도록 에칭된다. 포토레지스터는 제거된다. 그 다음에 절연층(70)과 ARC(72)는 에칭 스톱이 증착되어진 챔버와 동일한 챔버내에서 인시츄 방식으로 증착될 수 있다. 다른 포토레지스터 층이 ARC(72)상에 증착된다. 그리고 나서 포토레지스터는 라인/트렌치(20b)용 패턴을 형성하도록 노출된다. 이어서, 라인/트렌치(20b)와 비아/콘택트(20a)는 동시에 에칭된다. 후속하여, 포토레지스트 층이 제거된다. 도전성 재료(20)와, 필요하다면 다른 배리어 층(75)이 기판위에 증착된다.
여러 재료 및 변수의 수를 감소시키기 때문에, 특히 SiC가 배리어 층, 에칭 스톱, ARC 층 및 심지어 패시베이션층 및 습기 배리어로서 사용될 수 있기 때문에 인시츄 프로세싱이 향상된다. 인시츄 프로세싱은 더욱이 절연층을 증착하는데 있어서 동일하거나 유사한 선구물질을 사용함으로써 바람직한 실시예에서 향상된다. 층 증착과 챔버 클리닝 사이에 프로세싱 챔버로부터 기판을 제거할 필요성을 줄이거나 제거하는 것은 생산량을 개선하고, 휴지 시간을 줄이며, 오염의 위험을 줄인다.
일부 예에서, 프로세스 조건을 조정함으로써 동일한 챔버내에서 에칭이 수행될 수 있다. 그러나, 많은 경우에, 기판은 에칭 챔버로 이동될 것이다. 이런 경우에, 프로세싱은 증착 챔버와 에칭 챔버 양자를 가지는 클러스터 툴(cluster tool) 내에서 수행될 수 있으며, 이와 같은 클러스터 툴은 본 발명의 출원인에게 양도되고 여기서 참고로 사용되고 있는 미국 특허 제 4,951,601 호에 기재되어 있다. 밀봉가능한 클러스터 툴은 주변 조건에의 불필요한 노출없이 클러스터 툴내에서 프로세싱을 할 수 있게 한다. 그러나, 가능한 경우, 바람직한 장치는 동일한 챔버내에서의 프로세싱을 가능하게 하여 챔버들 사이의 이동 시간을 줄여서 생산량을 높일 수 있게 한다.
더욱이, 인시츄 프로세싱은 증착된 층과 그 전의 층 사이의 전이 속도의 정확한 제어를 제공한다. 두층 사이의 전이는 화학물질들 사이의 전이와 층을 증착하는데 사용된 관련 프로세스 매개변수에 의해 제어된다. 본 발명의 방법은 플라즈마, 프로세스 가스 유량 및 다른 프로세싱 매개변수의 제어를 통해서 전이의 정확한 제어를 할 수 있다. 전이는 기판을 챔버내에 유지시키면서 절연층 및 다양한 SiC층의 증착 후에 플라즈마를 소거시킴으로써 급격하게 이루어질 수 있다. 또한 예들 들어 프로세스 가스들의 유량을 변경함으로써 점증적인 전이도 얻을 수 있다. FSG 절연층을 증착하는 공정에서, FSG 증착에 흔히 사용되는 실리콘 테트라플루오라이드의 유량을 감소시키면서 헬륨 또는 아르곤 유동을 증가시킴으로써 절연층으로부터 SiC층으로의 부드러운 전이를 만들수 있다. 전이에서의 유연성(flexibility)에 의해 인시츄 방식으로 다수의 층을 증착할 수 있게 된다. 상술한 내용은 예시적인 과정(exemplary sequence)을 언급하고 있으나 이런 과정으로 제한하고자 하는 것은 아니며, 즉, 이런 인시츄 프로세싱은 다양한 과정에 적용될 수 있다. 또한, 이들 구조는 이중 다마신 구조의 예이며 이에 제한되지 않고 다른 실시예도 가능하다.
도시되고 설명되어 있는 실시예는 첨부의 청구범위에 의해 제공된 것 외에는 본 발명을 제한하고자 하는 것이 아니다. 더욱이, 실시예들에서, 층의 순서는 변경될 수 있으며, 그러므로, 상세한 설명 및 청구범위에서의 용어 "증착" 등은 기존 층위에 증착된 층을 포함하지만 반드시 기존 층에 인접해 있을 필요는 없으며 스택내에서 보다 높게 위치될 수도 있다. 예들 들어, 제한적인 의미 없이, 다양한 라이너 층이 절연층, 배리어 층, 에칭 스톱, 금속 층 및 다른 층에 인접해서 증착될 수 있다.
상술한 내용은 본 발명의 양호한 실시예에 관한 것이며, 추가의 본 발명의 실시예는 본 발명의 범위로부터 벗어나지 않고 발명될 수 있으며, 본 발명의 범위는 아래의 청구 범위에 의해 결정된다.
본 발명은 추가의 증착층을 필요하지 않고 탄소 함유층을 불활성 가스 플라즈마 또는 산소 함유 플라즈마에 노출함으로써 탄소 함유층의 부착성 및 내산화성을 개선한다.

Claims (50)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 반도체 기판 프로세싱 방법으로서:
    탄화 실리콘 층을 반도체 기판상에 증착하는 단계;
    필수적으로 불활성 가스로 이루어진 플라즈마를 이용하여 상기 탄화 실리콘 층을 처리하는 단계; 및
    상기 탄화 실리콘 층 위에 실리콘-탄소-산소계 재료를 포함하는 층을 증착하는 단계를 포함하는 반도체 기판 프로세싱 방법.
  25. 제 24항에 있어서, 상기 탄화 실리콘 층을 처리하는 단계는 탄화 실리콘 층의 내산화성을 높이는 반도체 기판 프로세싱 방법.
  26. 제 24항에 있어서, 상기 탄화 실리콘 층을 처리하는 단계는 실리콘-탄소-산소계 재료를 포함하는 층이 상기 탄화 실리콘 층으로부터 분리되는 것을 방지하는 반도체 기판 프로세싱 방법.
  27. 제 24항에 있어서, 상기 불활성 가스가 He 인 반도체 기판 프로세싱 방법.
  28. 제 24항에 있어서, 상기 탄화 실리콘 층을 처리하는 단계는, 상기 불활성 가스를 100 내지 4000 sccm 유량으로 프로세싱 챔버로 유동시키고, 챔버 압력을 1 내지 12 토르로 설정하고, RF전력을 챔버의 전극에 인가하여 0.7 내지 11 W/in2의 전력 밀도를 제공함으로써 생성된 플라즈마에 상기 탄화 실리콘 층을 노출시키는 단계를 더 포함하는 반도체 기판 프로세싱 방법.
  29. 제 24항에 있어서, 상기 탄화 실리콘 층을 처리하는 단계 및 상기 탄화 실리콘 층을 증착시키는 단계는 단일 프로세스 챔버내에서 실시되는 반도체 기판 프로세싱 방법.
  30. 제 24항에 있어서, 상기 탄화 실리콘 층을 처리하는 단계는 푸리에 변환 적외선 분광기로 탐지할 때 상기 탄화 실리콘 층의 조성을 변화시키기 않는 반도체 기판 프로세싱 방법.
  31. 반도체 기판 프로세싱 방법으로서:
    실리콘-탄소-산소계 재료를 포함하는 층을 반도체 기판의 표면상에 증착하는 단계;
    실리콘-탄소-산소계 재료상에 탄화 실리콘 층을 증착하는 단계; 및
    필수적으로 불활성 가스로 이루어진 플라즈마를 이용하여 상기 탄화 실리콘 층을 처리하는 단계를 포함하는 반도체 기판 프로세싱 방법.
  32. 제 31항에 있어서, 포토레지스트 층을 상기 탄화 실리콘 층상에 증착하는 단계를 더 포함하는 반도체 기판 프로세싱 방법.
  33. 제 31항에 있어서, 상기 처리를 위한 플라즈마는 헬륨(He) 플라즈마를 포함하는 반도체 기판 프로세싱 방법.
  34. 제 31항에 있어서, 상기 탄화 실리콘 층은 트리메틸실란에 의해 증착되는 반도체 기판 프로세싱 방법.
  35. 제 31항에 있어서, 상기 탄화 실리콘 층 및 실리콘-탄소-산소계 재료가 동일한 전구체로 증착되는 반도체 기판 프로세싱 방법.
  36. 제 31항에 있어서, 상기 탄화 실리콘 층을 처리하는 단계는 상기 탄화 실리콘 층의 증착과 인시츄 방식으로 실시되는 반도체 기판 프로세싱 방법.
  37. 제 31항에 있어서, 상기 탄화 실리콘 층을 플라즈마로 처리하는 단계는 상기 불활성 가스를 100 내지 4000 sccm 유량으로 프로세싱 챔버로 유동시키는 단계, 온도를 0℃ 내지 500℃로 유지시키는 단계, 챔버 압력을 1 내지 12 토르로 설정하는 단계, 0.7 내지 11 W/in2의 전력 밀도를 가지는 RF 전력을 챔버에 인가하는 단계를 포함하는 반도체 기판 프로세싱 방법.
  38. 제 37항에 있어서, 상기 RF 전력의 인가 단계는 50 와트 내지 800 와트를 인가하는 단계를 포함하는 반도체 기판 프로세싱 방법.
  39. 제 31항에 있어서, 상기 탄화 실리콘 층을 플라즈마로 처리하는 단계는 상기 불활성 가스를 500 내지 2500 sccm 유량으로 프로세싱 챔버로 유동시키는 단계, 온도를 50℃ 내지 450℃로 유지시키는 단계, 챔버 압력을 2 내지 10 토르로 설정하는 단계, 1.4 내지 7.2 W/in2의 전력 밀도를 가지는 RF 전력을 챔버에 인가하는 단계를 포함하는 반도체 기판 프로세싱 방법.
  40. 제 39항에 있어서, 상기 RF 전력의 인가 단계는 100 와트 내지 500 와트를 인가하는 단계를 포함하는 반도체 기판 프로세싱 방법.
  41. 제 31항에 있어서, 상기 탄화 실리콘 층을 플라즈마로 처리하는 단계는 상기 불활성 가스를 750 내지 2000 sccm 유량으로 프로세싱 챔버로 유동시키는 단계, 온도를 100℃ 내지 400℃로 유지시키는 단계, 챔버 압력을 4 내지 9 토르로 설정하는 단계, 1.4 내지 5.7 W/in2의 전력 밀도를 가지는 RF 전력을 챔버에 인가하는 단계를 포함하는 반도체 기판 프로세싱 방법.
  42. 제 41항에 있어서, 상기 RF 전력의 인가 단계는 100 와트 내지 400 와트를 인가하는 단계를 포함하는 반도체 기판 프로세싱 방법.
  43. 반도체 기판 프로세싱 방법으로서:
    제 1탄화 실리콘 층을 기판 표면상에 증착하는 단계;
    실리콘-탄소-산소계 재료를 포함하는 층을 상기 제 1탄화 실리콘 층에 증착하는 단계;
    제 2탄화 실리콘 층을 상기 실리콘-탄소-산소계 재료상에 증착하는 단계;
    필수적으로 불활성 가스로 이루어진 플라즈마를 이용하여 상기 제 2탄화 실리콘 층을 처리하는 단계를 포함하는 반도체 기판 프로세싱 방법.
  44. 제 43항에 있어서, 포토레지스트 층을 상기 제 2탄화 실리콘 층에 증착하는 단계를 더 포함하는 반도체 기판 프로세싱 방법.
  45. 제 44항에 있어서, 상기 실리콘-탄소-산소계 재료의 증착에 앞서서 상기 제 1탄화 실리콘 층을 패턴화하는 단계를 더 포함하는 반도체 기판 프로세싱 방법.
  46. 제 43항에 있어서, 필수적으로 불활성 가스로 이루어진 플라즈마를 이용하여 상기 제 1탄화 실리콘 층을 처리하는 단계를 더 포함하는 반도체 기판 프로세싱 방법.
  47. 제 43항에 있어서, 상기 제 2탄화 실리콘 층을 플라즈마로 처리하는 단계는 상기 제 2탄화 실리콘 층의 증착과 인시츄 방식으로 실시되는 반도체 기판 프로세싱 방법.
  48. 제 47항에 있어서, 상기 제 2탄화 실리콘 층을 플라즈마로 처리하는 단계는 상기 불활성 가스를 100 내지 4000 sccm 유량으로 프로세싱 챔버로 유동시키는 단계, 온도를 0℃ 내지 500℃로 유지시키는 단계, 챔버 압력을 1 내지 12 토르로 설정하는 단계, 0.7 내지 11 W/in2의 전력 밀도를 가지는 RF 전력을 챔버에 인가하는 단계를 포함하는 반도체 기판 프로세싱 방법.
  49. 제 46항에 있어서, 상기 제 2탄화 실리콘 층을 플라즈마로 처리하는 단계는 상기 제 2탄화 실리콘 층의 증착과 인시츄 방식으로 실시되는 반도체 기판 프로세싱 방법.
  50. 제 49항에 있어서, 상기 제 2탄화 실리콘 층을 플라즈마로 처리하는 단계는 상기 불활성 가스를 100 내지 4000 sccm 유량으로 프로세싱 챔버로 유동시키는 단계, 온도를 0℃ 내지 500℃로 유지시키는 단계, 챔버 압력을 1 내지 12 토르로 설정하는 단계, 0.7 내지 11 W/in2의 전력 밀도를 가지는 RF 전력을 챔버에 인가하는 단계를 포함하는 반도체 기판 프로세싱 방법.
KR1020000030343A 1999-06-18 2000-06-02 반도체 기판 프로세싱 방법 KR100696033B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/336,525 1999-06-18
US9/336,525 1999-06-18
US09/336,525 US6821571B2 (en) 1999-06-18 1999-06-18 Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers

Publications (2)

Publication Number Publication Date
KR20010039634A KR20010039634A (ko) 2001-05-15
KR100696033B1 true KR100696033B1 (ko) 2007-03-15

Family

ID=23316497

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000030343A KR100696033B1 (ko) 1999-06-18 2000-06-02 반도체 기판 프로세싱 방법

Country Status (5)

Country Link
US (2) US6821571B2 (ko)
EP (1) EP1061156B1 (ko)
JP (1) JP4738568B2 (ko)
KR (1) KR100696033B1 (ko)
DE (1) DE60025072T2 (ko)

Families Citing this family (563)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
JP5073141B2 (ja) * 1999-12-21 2012-11-14 プラスティック ロジック リミテッド 内部接続の形成方法
EP1183724A1 (en) 2000-03-13 2002-03-06 Koninklijke Philips Electronics N.V. A method of manufacturing a semiconductor device
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
TW535253B (en) * 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
US6660646B1 (en) * 2000-09-21 2003-12-09 Northrop Grumman Corporation Method for plasma hardening photoresist in etching of semiconductor and superconductor films
JP4698813B2 (ja) * 2000-10-19 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP3643533B2 (ja) * 2000-12-27 2005-04-27 株式会社東芝 半導体装置およびその製造方法
US6489238B1 (en) 2001-08-21 2002-12-03 Texas Instruments Incorporated Method to reduce photoresist contamination from silicon carbide films
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
KR100472031B1 (ko) * 2002-08-07 2005-03-10 동부아남반도체 주식회사 반도체 소자 제조 방법
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
JP4882893B2 (ja) * 2002-10-30 2012-02-22 富士通セミコンダクター株式会社 半導体装置の製造方法
KR101106425B1 (ko) * 2002-12-13 2012-01-18 어플라이드 머티어리얼스, 인코포레이티드 질소-비함유 유전성 반사방지 코팅부 및 하드마스크
US7592259B2 (en) * 2006-12-18 2009-09-22 Lam Research Corporation Methods and systems for barrier layer surface passivation
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
DE10319136B4 (de) * 2003-04-28 2008-06-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallisierungsschicht mit einer mit Stickstoff angereicherten Barrierenschicht mit kleinem ε
US7138332B2 (en) 2003-07-09 2006-11-21 Asm Japan K.K. Method of forming silicon carbide films
US6849561B1 (en) * 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
CN100341121C (zh) * 2003-09-10 2007-10-03 台湾积体电路制造股份有限公司 介电层的改质方法与其在镶嵌式金属制程的应用
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US20050059233A1 (en) * 2003-09-12 2005-03-17 Ming-Tsong Wang Process for forming metal damascene structure to prevent dielectric layer peeling
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US20050062164A1 (en) * 2003-09-23 2005-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving time dependent dielectric breakdown lifetimes
US6905909B2 (en) * 2003-10-22 2005-06-14 Lsi Logic Corporation Ultra low dielectric constant thin film
DE102004002908B4 (de) * 2004-01-20 2008-01-24 Infineon Technologies Ag Verfahren zum Herstellen eines Halbleiterbauelements oder einer mikromechanischen Struktur
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
JP2005350652A (ja) * 2004-05-12 2005-12-22 Matsushita Electric Ind Co Ltd 潤滑剤、ならびに磁気記録媒体および磁気記録媒体の製造方法
KR101044611B1 (ko) * 2004-06-25 2011-06-29 매그나칩 반도체 유한회사 반도체 소자의 금속 배선 형성 방법
US7253121B2 (en) * 2004-09-09 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming IMD films
US20060084276A1 (en) * 2004-10-14 2006-04-20 Janet Yu Methods for surface treatment and structure formed therefrom
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
JP5100032B2 (ja) * 2005-06-27 2012-12-19 ラピスセミコンダクタ株式会社 基板の表面改質方法及び半導体装置の製造方法
DE102005056262A1 (de) * 2005-11-25 2007-05-31 Infineon Technologies Ag Verfahren zum Herstellen einer Schichtanordnung, Verfahren zum Herstellen eines elektrischen Bauelementes, Schichtanordnung und elektrisches Bauelement
DE602005015040D1 (de) 2005-12-23 2009-07-30 Telecom Italia Spa Verfahren zur herstellung eines tintenstrahldruckkopfs
US20070218214A1 (en) * 2006-03-14 2007-09-20 Kuo-Chih Lai Method of improving adhesion property of dielectric layer and interconnect process
US20070231746A1 (en) * 2006-03-29 2007-10-04 Iordanoglou Dimitrios I Treating carbon containing layers in patterning stacks
US20080153311A1 (en) * 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
US7867578B2 (en) 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US20080026579A1 (en) * 2006-07-25 2008-01-31 Kuo-Chih Lai Copper damascene process
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
CN101528976B (zh) * 2006-11-02 2011-04-20 旭硝子株式会社 乙烯-四氟乙烯类共聚物成形品及其制造方法
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090115060A1 (en) 2007-11-01 2009-05-07 Infineon Technologies Ag Integrated circuit device and method
EP2072636B1 (en) * 2007-12-21 2016-08-31 Sandvik Intellectual Property AB Method of making a coated cutting tool
US20090158999A1 (en) * 2007-12-24 2009-06-25 Qimonda Ag Manufacturing method for an integrated circuit comprising a multi-layer stack, corresponding integrated circuit and multi-layer mask
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
KR101015534B1 (ko) * 2008-10-15 2011-02-16 주식회사 동부하이텍 저유전 상수를 갖는 절연막 및 이를 이용한 에어갭 제조 방법
US20100104770A1 (en) * 2008-10-27 2010-04-29 Asm Japan K.K. Two-step formation of hydrocarbon-based polymer film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
WO2010090788A2 (en) * 2009-02-06 2010-08-12 Uchicago Argonne, Llc Plasma treatment of carbon-based materials and coatings for improved friction and wear properties
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9662677B2 (en) 2010-09-15 2017-05-30 Abbott Laboratories Drug-coated balloon with location-specific plasma treatment
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102738062B (zh) * 2011-04-01 2014-06-04 中芯国际集成电路制造(上海)有限公司 制作半导体器件的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236243B2 (en) 2014-01-09 2016-01-12 Stmicroelectronics Pte Ltd Method for making semiconductor devices including reactant treatment of residual surface portion
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
CN107887323B (zh) 2016-09-30 2020-06-05 中芯国际集成电路制造(北京)有限公司 互连结构及其制造方法
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
ES2949408T3 (es) * 2019-01-09 2023-09-28 Europlasma Nv Método de polimerización por plasma para el recubrimiento de un sustrato con un polímero
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CH716104A1 (fr) * 2019-04-18 2020-10-30 Sy&Se Sa Procédé d'amélioration de l'adhérence d'une couche sur un substrat.
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0785475A2 (en) * 1996-01-19 1997-07-23 Canon Kabushiki Kaisha Light receiving member having a surface protective layer with a specific outermost surface and process for the production thereof
US5869147A (en) * 1994-05-20 1999-02-09 Widia Gmbh Method of making a multilayer tool surface with PCNA interruption of CVD, and tool made by the process

Family Cites Families (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1147014A (en) * 1967-01-27 1969-04-02 Westinghouse Electric Corp Improvements in diffusion masking
US4028155A (en) * 1974-02-28 1977-06-07 Lfe Corporation Process and material for manufacturing thin film integrated circuits
JPS55158275A (en) 1979-05-28 1980-12-09 Hitachi Ltd Corrosion preventing method for al and al alloy
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4361638A (en) * 1979-10-30 1982-11-30 Fuji Photo Film Co., Ltd. Electrophotographic element with alpha -Si and C material doped with H and F and process for producing the same
US4289798A (en) * 1980-04-14 1981-09-15 Armstrong World Industries, Inc. Method for reducing surface gloss
US4510178A (en) 1981-06-30 1985-04-09 Motorola, Inc. Thin film resistor material and method
JPS59128281A (ja) 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
US4420386A (en) 1983-04-22 1983-12-13 White Engineering Corporation Method for pure ion plating using magnetic fields
DE3429899A1 (de) * 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo Verfahren zur bildung eines abscheidungsfilms
US4557943A (en) 1983-10-31 1985-12-10 Advanced Semiconductor Materials America, Inc. Metal-silicide deposition using plasma-enhanced chemical vapor deposition
ATE49023T1 (de) 1984-03-03 1990-01-15 Stc Plc Pulsierendes plasmaverfahren.
JPH07111957B2 (ja) 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4678679A (en) * 1984-06-25 1987-07-07 Energy Conversion Devices, Inc. Continuous deposition of activated process gases
US4759947A (en) 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
JPH0642482B2 (ja) * 1984-11-15 1994-06-01 株式会社東芝 半導体装置の製造方法
US4797527A (en) * 1985-02-06 1989-01-10 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Electrode for electric discharge machining and method for producing the same
JPS61210179A (ja) 1985-03-13 1986-09-18 Shin Etsu Chem Co Ltd ミクロト−ム用コ−ティング刃の製造方法
US4711698A (en) 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
JPS62158859A (ja) 1986-01-07 1987-07-14 Sumitomo Electric Ind Ltd 前処理方法
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4895734A (en) * 1987-03-31 1990-01-23 Hitachi Chemical Company, Ltd. Process for forming insulating film used in thin film electroluminescent device
US5248636A (en) 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
US5121706A (en) 1987-10-16 1992-06-16 The Curators Of The University Of Missouri Apparatus for applying a composite insulative coating to a substrate
DE3800712A1 (de) * 1988-01-13 1989-07-27 Philips Patentverwaltung Verfahren zur plasmaaktivierten reaktiven abscheidung von elektrisch leitendem mehrkomponentenmaterial aus einer gasphase
JPH01246116A (ja) * 1988-03-29 1989-10-02 Natl Inst For Res In Inorg Mater 針状,繊維状,多孔質状ダイヤモンドまたはそれらの集合体の製造法
US4994410A (en) 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
CA2002213C (en) * 1988-11-10 1999-03-30 Iwona Turlik High performance integrated circuit chip package and method of making same
GB8827933D0 (en) * 1988-11-30 1989-01-05 Plessey Co Plc Improvements relating to soldering processes
DE3907857C1 (en) 1989-03-10 1990-05-23 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De Method for preparing a layer of amorphous silicon carbide
US5011706A (en) * 1989-04-12 1991-04-30 Dow Corning Corporation Method of forming coatings containing amorphous silicon carbide
US5232872A (en) * 1989-05-09 1993-08-03 Fujitsu Limited Method for manufacturing semiconductor device
US5270267A (en) * 1989-05-31 1993-12-14 Mitel Corporation Curing and passivation of spin on glasses by a plasma process wherein an external polarization field is applied to the substrate
JPH03105974A (ja) * 1989-09-19 1991-05-02 Kobe Steel Ltd 多結晶ダイヤ薄膜合成によるシヨツトキー・ダイオードの製作法
US5043299B1 (en) * 1989-12-01 1997-02-25 Applied Materials Inc Process for selective deposition of tungsten on semiconductor wafer
US4980196A (en) 1990-02-14 1990-12-25 E. I. Du Pont De Nemours And Company Method of coating steel substrate using low temperature plasma processes and priming
DE69023478T2 (de) * 1990-03-05 1996-06-20 Ibm Verfahren zum Herstellen von Siliziumkarbidschichten mit vorherbestimmter Spannungskraft.
EP0449117A3 (en) * 1990-03-23 1992-05-06 Matsushita Electric Industrial Co., Ltd. Organic polymer and preparation and use thereof
KR100228259B1 (ko) * 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
US5401613A (en) * 1990-12-13 1995-03-28 Brewer Science Method of manufacturing microelectronic devices having multifunctional photolithographic layers
US5232871A (en) 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
JP3000717B2 (ja) 1991-04-26 2000-01-17 ソニー株式会社 ドライエッチング方法
JPH06101462B2 (ja) * 1991-04-30 1994-12-12 インターナショナル・ビジネス・マシーンズ・コーポレイション 過フッ化炭化水素ポリマ膜を基板に接着する方法および 基板
JPH05308107A (ja) * 1991-07-01 1993-11-19 Sumitomo Electric Ind Ltd 半導体装置及びその製作方法
US5238866A (en) 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
US5472829A (en) * 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
JP2953349B2 (ja) * 1991-12-30 1999-09-27 ソニー株式会社 レジストパターン形成方法、反射防止膜形成方法、反射防止膜および半導体装置
US5472827A (en) * 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
US5419783A (en) * 1992-03-26 1995-05-30 Sanyo Electric Co., Ltd. Photovoltaic device and manufacturing method therefor
WO1993023713A1 (en) * 1992-05-15 1993-11-25 Shin-Etsu Quartz Products Co., Ltd. Vertical heat treatment apparatus and heat insulating material
EP0580164B1 (en) * 1992-07-24 1999-01-07 Matsushita Electric Industrial Co., Ltd. Magnetic recording medium and its manufacturing method
JP2885616B2 (ja) * 1992-07-31 1999-04-26 株式会社東芝 半導体装置およびその製造方法
EP0608409B1 (en) * 1992-08-14 1997-05-07 Hughes Aircraft Company Surface preparation and deposition method for titanium nitride onto cast iron
JPH0689880A (ja) 1992-09-08 1994-03-29 Tokyo Electron Ltd エッチング装置
JPH06120152A (ja) * 1992-10-06 1994-04-28 Nippondenso Co Ltd 水素ドープ非晶質半導体膜の製造方法
JP2734915B2 (ja) * 1992-11-18 1998-04-02 株式会社デンソー 半導体のドライエッチング方法
JPH07105441B2 (ja) 1992-11-30 1995-11-13 日本電気株式会社 半導体装置の製造方法
US5409543A (en) * 1992-12-22 1995-04-25 Sandia Corporation Dry soldering with hot filament produced atomic hydrogen
KR970001883B1 (ko) 1992-12-30 1997-02-18 삼성전자 주식회사 반도체장치 및 그 제조방법
JPH0763105B2 (ja) 1993-02-12 1995-07-05 日本電気株式会社 印刷配線板の製造方法
JP3422515B2 (ja) * 1993-03-08 2003-06-30 東海カーボン株式会社 炭素質基材の耐酸化性被膜形成法
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5360491A (en) 1993-04-07 1994-11-01 The United States Of America As Represented By The United States Department Of Energy β-silicon carbide protective coating and method for fabricating same
US5627105A (en) 1993-04-08 1997-05-06 Varian Associates, Inc. Plasma etch process and TiSix layers made using the process
JPH07142416A (ja) 1993-06-21 1995-06-02 Applied Materials Inc 改良された界面を有する層のプラズマ化学蒸着法
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5468978A (en) 1993-07-07 1995-11-21 Dowben; Peter A. Forming B1-x Cx semiconductor devices by chemical vapor deposition
FR2707673B1 (fr) 1993-07-16 1995-08-18 Trefimetaux Procédé de métallisation de substrats non-conducteurs.
KR0141659B1 (ko) * 1993-07-19 1998-07-15 가나이 쓰토무 이물제거 방법 및 장치
KR970007116B1 (ko) * 1993-08-31 1997-05-02 삼성전자 주식회사 반도체장치의 절연층 형성방법 및 그 형성장치
US5427621A (en) * 1993-10-29 1995-06-27 Applied Materials, Inc. Method for removing particulate contaminants by magnetic field spiking
JPH07202186A (ja) * 1993-12-28 1995-08-04 Sony Corp 半導体装置の製造方法
US5451263A (en) 1994-02-03 1995-09-19 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts
AU1745695A (en) * 1994-06-03 1996-01-04 Materials Research Corporation A method of nitridization of titanium thin films
DE69535861D1 (de) * 1994-06-24 2008-11-27 Sumitomo Electric Industries Wafer und sein Herstellungsverfahren
JP3326974B2 (ja) 1994-07-28 2002-09-24 ソニー株式会社 多層配線の形成方法および半導体装置の製造方法
US5736002A (en) * 1994-08-22 1998-04-07 Sharp Microelectronics Technology, Inc. Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same
DE69531980T2 (de) * 1994-08-23 2004-07-29 At & T Corp. Metallisierung von keramischen Materialien durch Auftrag einer haftenden reduzierbaren Schicht
US5565084A (en) 1994-10-11 1996-10-15 Qnix Computer Co., Ltd. Electropolishing methods for etching substrate in self alignment
US5607542A (en) * 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5576071A (en) * 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08186085A (ja) * 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
US5818071A (en) 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
JP3521587B2 (ja) * 1995-02-07 2004-04-19 セイコーエプソン株式会社 基板周縁の不要物除去方法及び装置並びにそれを用いた塗布方法
US5545592A (en) 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
US5458927A (en) * 1995-03-08 1995-10-17 General Motors Corporation Process for the formation of wear- and scuff-resistant carbon coatings
US5710067A (en) * 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US5623160A (en) 1995-09-14 1997-04-22 Liberkowski; Janusz B. Signal-routing or interconnect substrate, structure and apparatus
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
US5795648A (en) * 1995-10-03 1998-08-18 Advanced Refractory Technologies, Inc. Method for preserving precision edges using diamond-like nanocomposite film coatings
US5877087A (en) * 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US5652166A (en) * 1996-01-11 1997-07-29 United Microelectronics Corporation Process for fabricating dual-gate CMOS having in-situ nitrogen-doped polysilicon by rapid thermal chemical vapor deposition
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5821603A (en) 1996-05-29 1998-10-13 Microunity Systems Engineering, Inc. Method for depositing double nitride layer in semiconductor processing
US5780163A (en) 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
US5869396A (en) * 1996-07-15 1999-02-09 Chartered Semiconductor Manufacturing Ltd. Method for forming a polycide gate electrode
US5790365A (en) 1996-07-31 1998-08-04 Applied Materials, Inc. Method and apparatus for releasing a workpiece from and electrostatic chuck
US5922418A (en) * 1996-08-15 1999-07-13 Citizen Watch Co., Ltd. Method of forming a DLC film over the inner surface of guide bush
US5801098A (en) 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
US5776235A (en) * 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5730792A (en) * 1996-10-04 1998-03-24 Dow Corning Corporation Opaque ceramic coatings
US5711987A (en) * 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5827785A (en) 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
US5800878A (en) 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US5779807A (en) * 1996-10-29 1998-07-14 Applied Materials, Inc. Method and apparatus for removing particulates from semiconductor substrates in plasma processing chambers
US6028012A (en) * 1996-12-04 2000-02-22 Yale University Process for forming a gate-quality insulating layer on a silicon carbide substrate
JP4142753B2 (ja) * 1996-12-26 2008-09-03 株式会社東芝 スパッタターゲット、スパッタ装置、半導体装置およびその製造方法
US5834371A (en) 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US5789316A (en) 1997-03-10 1998-08-04 Vanguard International Semiconductor Corporation Self-aligned method for forming a narrow via
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
FR2765398B1 (fr) * 1997-06-25 1999-07-30 Commissariat Energie Atomique Structure a composant microelectronique en materiau semi-conducteur difficile a graver et a trous metallises
US5972179A (en) * 1997-09-30 1999-10-26 Lucent Technologies Inc. Silicon IC contacts using composite TiN barrier layer
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US5840957A (en) * 1998-03-16 1998-11-24 E. I. Du Pont De Nemours And Company Transesterification process using lanthanum compound catalyst
US6547934B2 (en) * 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
US6054206A (en) * 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
JP3248492B2 (ja) * 1998-08-14 2002-01-21 日本電気株式会社 半導体装置及びその製造方法
US20030089992A1 (en) * 1998-10-01 2003-05-15 Sudha Rathi Silicon carbide deposition for use as a barrier layer and an etch stop
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6251775B1 (en) * 1999-04-23 2001-06-26 International Business Machines Corporation Self-aligned copper silicide formation for improved adhesion/electromigration
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
EP1077477B1 (en) * 1999-08-17 2008-12-17 Applied Materials, Inc. Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
EP1077274A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
US6875687B1 (en) * 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6399489B1 (en) * 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6403464B1 (en) * 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6373076B1 (en) * 1999-12-07 2002-04-16 Philips Electronics North America Corporation Passivated silicon carbide devices with low leakage current and method of fabricating
US6576546B2 (en) * 1999-12-22 2003-06-10 Texas Instruments Incorporated Method of enhancing adhesion of a conductive barrier layer to an underlying conductive plug and contact for ferroelectric applications
US6676800B1 (en) * 2000-03-15 2004-01-13 Applied Materials, Inc. Particle contamination cleaning from substrates using plasmas, reactive gases, and mechanical agitation
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6365527B1 (en) * 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6335274B1 (en) * 2000-11-17 2002-01-01 Macronix International Co., Ltd. Method for forming a high-RI oxide film to reduce fluorine diffusion in HDP FSG process
US6528432B1 (en) * 2000-12-05 2003-03-04 Advanced Micro Devices, Inc. H2-or H2/N2-plasma treatment to prevent organic ILD degradation
US6407013B1 (en) * 2001-01-16 2002-06-18 Taiwan Semiconductor Manufacturing Co., Ltd Soft plasma oxidizing plasma method for forming carbon doped silicon containing dielectric layer with enhanced adhesive properties
US6514850B2 (en) * 2001-01-31 2003-02-04 Applied Materials, Inc. Interface with dielectric layer and method of making
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6709721B2 (en) * 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6777171B2 (en) * 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6930056B1 (en) * 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6528423B1 (en) * 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4066332B2 (ja) * 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US6939800B1 (en) * 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
US7132369B2 (en) * 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US20040166692A1 (en) * 2003-02-26 2004-08-26 Loboda Mark Jon Method for producing hydrogenated silicon oxycarbide films
DE10319136B4 (de) * 2003-04-28 2008-06-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallisierungsschicht mit einer mit Stickstoff angereicherten Barrierenschicht mit kleinem ε
US6849561B1 (en) * 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US7067437B2 (en) * 2003-09-12 2006-06-27 International Business Machines Corporation Structures with improved interfacial strength of SiCOH dielectrics and method for preparing the same
US6905909B2 (en) * 2003-10-22 2005-06-14 Lsi Logic Corporation Ultra low dielectric constant thin film
US7144828B2 (en) * 2004-01-30 2006-12-05 Chartered Semiconductor Manufacturing Ltd. He treatment to improve low-k adhesion property
US7052932B2 (en) * 2004-02-24 2006-05-30 Chartered Semiconductor Manufacturing Ltd. Oxygen doped SiC for Cu barrier and etch stop layer in dual damascene fabrication
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5869147A (en) * 1994-05-20 1999-02-09 Widia Gmbh Method of making a multilayer tool surface with PCNA interruption of CVD, and tool made by the process
EP0785475A2 (en) * 1996-01-19 1997-07-23 Canon Kabushiki Kaisha Light receiving member having a surface protective layer with a specific outermost surface and process for the production thereof
KR100199078B1 (ko) * 1996-01-19 1999-06-15 미따라이 하지메 특정 최외곽면이 있는 표면 보호층을 갖는 수광 부제 및 이의 제조 방법

Also Published As

Publication number Publication date
JP4738568B2 (ja) 2011-08-03
EP1061156A2 (en) 2000-12-20
US20020054962A1 (en) 2002-05-09
DE60025072T2 (de) 2006-06-22
US6821571B2 (en) 2004-11-23
EP1061156B1 (en) 2005-12-28
JP2001060584A (ja) 2001-03-06
EP1061156A3 (en) 2002-11-20
DE60025072D1 (de) 2006-02-02
US20050101154A1 (en) 2005-05-12
US7144606B2 (en) 2006-12-05
KR20010039634A (ko) 2001-05-15

Similar Documents

Publication Publication Date Title
KR100696033B1 (ko) 반도체 기판 프로세싱 방법
US6794311B2 (en) Method and apparatus for treating low k dielectric layers to reduce diffusion
US7470611B2 (en) In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6440878B1 (en) Method to enhance the adhesion of silicon nitride to low-k fluorinated amorphous carbon using a silicon carbide adhesion promoter layer
KR100579753B1 (ko) 금속 표면 상의 불소 함유 유전체 접착을 강화시키는 방법
US7200460B2 (en) Method of depositing low dielectric constant silicon carbide layers
US6669858B2 (en) Integrated low k dielectrics and etch stops
US6372661B1 (en) Method to improve the crack resistance of CVD low-k dielectric constant material
US6846757B2 (en) Dielectric layer for a semiconductor device and method of producing the same
KR100579756B1 (ko) 금속에의 유전체 접합을 개선시키기 위한 방법
WO2000054329A1 (fr) Dispositif semi-conducteur et procede de fabrication correspondant
TWI482219B (zh) 使用含氮前驅物的介電阻絕物的沉積方法
KR100818953B1 (ko) 유기실리케이트층을 증착시키는 방법
US6614096B2 (en) Method for manufacturing a semiconductor device and a semiconductor device
EP1035568B1 (en) Method of plasma processing

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140227

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150227

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee