KR100210623B1 - 열처리 장치 및 그 운전 방법 - Google Patents

열처리 장치 및 그 운전 방법 Download PDF

Info

Publication number
KR100210623B1
KR100210623B1 KR1019940016333A KR19940016333A KR100210623B1 KR 100210623 B1 KR100210623 B1 KR 100210623B1 KR 1019940016333 A KR1019940016333 A KR 1019940016333A KR 19940016333 A KR19940016333 A KR 19940016333A KR 100210623 B1 KR100210623 B1 KR 100210623B1
Authority
KR
South Korea
Prior art keywords
manifold
heat treatment
gas
exhaust
inner tube
Prior art date
Application number
KR1019940016333A
Other languages
English (en)
Inventor
겐이치 야마가
도시키 고바야시
Original Assignee
마쓰바 구니유키
도오교오 에레구토론 도오호쿠 가부시끼 가이샤
히가시 데쓰로
동경 엘렉트론주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP19277193A external-priority patent/JP3256037B2/ja
Priority claimed from JP22507193A external-priority patent/JP3278011B2/ja
Priority claimed from JP23585493A external-priority patent/JP3267766B2/ja
Application filed by 마쓰바 구니유키, 도오교오 에레구토론 도오호쿠 가부시끼 가이샤, 히가시 데쓰로, 동경 엘렉트론주식회사 filed Critical 마쓰바 구니유키
Application granted granted Critical
Publication of KR100210623B1 publication Critical patent/KR100210623B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

피처리체가 재치된 피처리체 수용보트를 로드하는 내관의 외측에 이것과 도심 형상으로 외관을 배치하여 처리용기를 형성하고, 이 처리용기의 하부에 가스도입포트와 가스 배기포트를 가지는 통체 형상의 매니홀드를 설치하며, 이 매니홀드의 구멍부를 밀폐하는 캡부를 설치하여 열처리부를 구성한다. 그리고, 내관과 외관과 매니홀드를 내열내식성 재료로 형성함과 동시에, 이들을 일체적으로 형성한다. 또, 캡부의 표면(처리용기측)에도 내열내식성 재료로 구성되는 보호층을 형성한다. 또, 캡부와 매니홀드의 접합부에 고온내열시일수단을 형성한다. 이것에 의하여 1대의 열처리 장치에 의하여 부식성 가스 등을 처리가스로서 사용하는 상압고온 열처리와 감압열처리를 할 수 있는 열처리 장치(합체로)가 제공된다.

Description

열처리 장치 및 그 운전 방법
제1도는 본 발명의 열처리 장치의 제1실시예의 구성도.
제2도는 제1도에 나타낸 열처리 장치의 A 화살표부(캡부의 시일구조)의 확대 종단면도.
제3도는 제1도에 나타낸 열처리 장치의 B 화살표부(가스배기포트의 시일구조)의 확대 종단면도.
제4도는 제1도에 나타낸 열처리 장치의 C 화살표부(가스도입 포트의 시일구조)의 확대 종단면도.
제5도는 제2도에 나타낸 캡부의 시일구조의 확대 종단면도.
제6도는 본 발명의 열처리 장치의 제2실시예의 구성도.
제7도는 제6도에 나타낸 열처리 장치의 D 화살표부(매니홀드)의 확대 종단면도.
제8도는 제7도에 나타낸 매니홀드의 평면도.
제9도는 제7도에 나타낸 매니홀드의 변형예의 종단면도.
제10도는 본 발명의 열처리 장치의 제3실시예의 구성도.
제11도는 제10도에 나타낸 열처리 장치의 E 화살표부(매니홀드)의 확대 종단면도.
제12도는 제11도에 나타낸 매니홀드의 평면도.
제13도는 종래의 열처리 장치의 종단면도.
* 도면의 주요부분에 대한 부호의 설명
2 : 가열로 4 : 내관
5 : 반응관 6 : 외관
6B : 천정부 8 : 처리용기
10 : 가열히터 12 : 웨이퍼보트
14A : 상부 플랜지부 14, 34, 202, 302 : 매니홀드
14B : 끝단부
16,28,48,217a,217b,230a,203b,313a,313b,317a,317b,330a,330b : 0링
18 : 가스도입포트 20 : 가스배기포트
21, 26 : 캡부 22 : 승강수단
24 : 아암 30 : 보온통
31 : 축받이 32 : 열처리장치
34A : 하부 플랜지부 35 : 수용관
37 : 열전대 38 : 회전축
42 : 보호층 44 : 시일수단
46 : 홈부 50 : 냉각기구
52 : 제1냉각수로 54 : 유지부재
56 : 제2냉각수로 58 : 볼죠인트
60 : 가스공급계 61 : 개폐밸브
62 : 죠인트 본체 64 : 받이부
68, 70 : 클램프 플레이트 72 : 스프링
78A, 78B : 메탈 가스케트 80 : 튜브
82 : 석영 파이프 84 : 테프론 파이프
86 : 시일면 88, 94 : 개폐밸브
90 : 진공펌프 92 : 제거장치
96 : 배기압 콘트롤러 97 : 스크래버
98A : 용접부 98 : 시일부재
100, 102 : 고리형상 홈부 104A, 104B : 배기관
106A, 106B : 연결구 108 : 진공펌프
110 : 배기기구 112 : 개방관
114 : 밸브 201 : 열처리장치
202a : 구멍부 203 : 내관
204 : 외관 205 : 종형 반응관
206 : 처리공간 207 : 배기통로
208 : 저항 발열선 209 : 가열부
210 : 승강기구 211 : 승강아암
212 : 덮개체 214 : 웨이퍼 보트
215 : 보온통 218 : 홈
219 : 처리가스 공급통로 221 : 배기관
222 : 배기계 223 : 상압 처리배기계
224 : 밸브 225 : 진공펌프
226 : 제거장치 227 : 밸브
228 : 처리장치 229 : 위치결정돌기부
231 : 홈 232 : 위치결정 링
233 : 누름부재 234 : 틈새
235 : 돌부 236 : 오목부
237a, 237b : 감압통로 238 : 시일가스 공급통로
239 : 냉각배수통로 301 : 열처리장치
303 : 반응관 304 : 내관
304a, 305a : 플랜지 305 : 외관
306 : 가열부 307 : 승강기구
308 : 승강아암 309 : 덮개체
310 : 웨이퍼보트 311 : 보온통
312 : 회전기구 314a,314b,314c : 처리가스 공급통로
316 : 결정돌기부 318 : 위치결정 링
319 : 누름부재 320 : 틈새
321a, 321b : 진공흡인통로 322 : 시일가스공급통로
323 : 냉각수통로 325a, 328a : 플랜지
325 : 외관 배기관부 326 : 진공배기계
327 : 상압배기계 328 : 분기관
329a, 329b : 가열부재 333 : 터보분자 펌프
334 : 진공펌프 336 : 처리장치
340 : 제어장치 385 : 제거장치
V1,V2,V3,V5,V6,V7 : 밸브 W : 반도체웨이퍼
본 발명은 상압 고온처리와 감압처리를 할 수 있는 열처리장치에 관한 것이다.
일반적으로 반도체 제품의 제조공정에 있어서는 반도체 웨이퍼와 같은 피처리체에 균일상태에 있어서 소정의 열처리를 실시하여 이 표면에 박막을 형성하거나 또는 열확산등을 하는 것이 행해진다. 이 때문에 열처리 장치가 사용된다.
이 종류의 열처리장치로서는 웨이퍼 처리시에 이것을 상압 예를들면 대기정도의 압력하에 있어서 약 1000℃ 정도의 고온의 프로세스 온도에서 열처리를 하는 상압고온용의 열처리장치나 예를들면 수 Torr정도의 감압하에 있어서 약 800℃ 정도의 프로세스 온도에서 열처리를 하는 감압용의 열처리장치가 알려져 있다. 그리고, 반도체 웨이퍼에 실시해야할 열처리의 종류에 따라서 이들의 열처리장치가 선택되고, 상압고온용의 열처리장치를 사용하여 웨이퍼를 처리한후 이재하지 않게 하여 감압용의 열처리장치를 사용하고 또한 웨이퍼에 소망의 열처리를 실시하거나 혹은 이 역의 조작이 행해지고 있다.
예를들면 감압용의 열처리장치를 예를들어 설명한다. 제13도는 종래의 감압용의 열처리장치의 횡단면도를 나타내고, 가열로(2)는 하단이 개구되어 기립된 석영제의 내관(4)과 이 외주에 동심형상으로 배치된 석영제의 외관(6)으로 되는 처리용기(8)를 가지며, 이 외관(6)의 외주에는 가열히터(10)가 권회되어 있다.
이 처리용기(8)내에는 예를들면, 석영제의 웨이퍼보트(12)가 그 아래쪽으로부터 끼우고 빼기가 가능하게 수용되어 있고, 이 보트에 그 길이 방향에 따라 소정의 피치를 가진 다수매의 피처리체 예를들면 반도체 웨이퍼(W)가 수용되어 있다.
처리용기(8)의 하부에는 스테인레스 스틸제의 통형상체의 매니홀드(14)가 연결되어 있다. 구체적으로는 외관(6)의 하부 플랜지부(6A)는 매니홀드(14)의 상부 플랜지부(14A)에 O링(16)을 통하여 기밀하게 접속되어 있고, 또 내관(4)의 하단은 매니홀드부(14)의 중단에 설치된 링형상의 끝단부(14B)에 착탈이 가능하게 재치되어 있다. 그리고 매니홀드(14)에는 용기(8)내로 처리가스를 도입하기 위한 가스 도입포트(18) 및 용기내의 가스를 계(系) 바깥으로 배기하기 위한 가스 배기포트(20)가 각각 설치되어 있다.
매니홀드(14)의 하단개구부에는 엘리베이터 등의 승강수단(22)의 아암(24)에 부착된 스테인레스 스틸제의 캡부(26)가 O링(28)을 통하여 개폐가능하게 기밀하게 부착되어 있고, 이 캡부(26)에 석영제의 보온통(30)을 통하여 웨이퍼 보트(12)가 재치되어 있다.
이 경우 캡부(20)에는 축받이(31)에 지지된 회전축이 끼워져 통해 있고, 이 상단쪽에 보온통(30)를 지지시키어 이것을 회전할 수 있도록 되어있다.
또 시일부재로서 사용되는 O링(16), (28)의 근처에는 처리용기가 800℃나 고온으로 되기 때문에 O링자체가 녹은 것을 방지하기 위하여 도시되지 않았으나 냉각기구가 설치되어 있다.
이와같은 감압용의 열처리장치에 의하여 열처리를 하는 경우에는 처리용기(8)의 내부를 800℃ 정도의 프로세스 온도로 유지함과 동시에 처리용기(8) 내를 예를들면, 1 Torr 정도의 진공감압 상태로 유지한채 가스 도입포트(18)로부터 처리가스를 도입한다. 이 도입된 처리가스는 웨이퍼에 접촉한채 상승하여 피처리체(W)를 처리하고, 또한 내관(4)과 외관과의 사이를 흘러내려 가스 배기포트(20)로부터 처리용기(8) 바깥으로 배출되는 것이다.
그런데 이 종류의 종래 감압용의 열처리장치에 의하여 웨이퍼에 대하여 특정의 열처리 예를들면, 박막 퇴적처리를 실시한 후 이것에 더욱 열확산 처리 등을 실시하는 경우에는 처리종료의 웨이퍼를 다른 상압 고온용의 열처리장치로 이재하고, 웨이퍼에 대하여 소망의 열처리를 실시하도록 되어있다.
이때문에 웨이퍼의 이재에 요하는 시간이 필요하게 되고, 생산성이 저하 할뿐만 아니라 이재시에 로() 바깥으로 웨이퍼를 꺼내어 크린룸의 대기중에 노출하기 때문에 약간이지만 파티클이 부착하여 오염되어 버린다는 문제점이 있었다.
또한 필요한 열처리를 웨이퍼에 대하여 실시하기 위하여는 반듯이 2대의 열처리장치가 필요하게 되고, 설치비의 비용증가도 어쩔수가 없게 되었다.
여기에서 이들의 문제점을 해결하기 위하여 감압용의 열처리장치 또는 상압 고온용의 열처리장치의 어느쪽인가 한쪽에 있어서 양쪽의 처리를 하는 것도 생각된다.
따라서 강압용의 열처리장치를 사용하여 상압 고온처리를 하면, 이 경우에는 프로세스 온도가 감압시의 약 800℃로부터 상압시의 약 1000℃로 상승하고, 더구나 HCI 등의 부식성의 가스도 이용할 수가 있으므로 매니홀드(14)를 구성하는 스테인레스 스틸이 부식해 버리는 것이 된다.
또 상압고온용의 열처리장치를 사용하여 감압처리를 하는 경우에는 이 장치는 대기압 온도의 상압에서 처리하는 것을 전제로 하고 있기 때문에 시일구조로서 예를들면, 배기시일이 채용되고 있고, 약 1 Torr 정도의 감압 진공상태에 있어서는 시일구조가 간단하게 파괴되어 버린다는 문제점이 있었다.
그런데 열처리장치에 있어서는 처리가스로서 예를들면 산소(O2)와 부식성을 가지는 염화수소(HCI)를 사용하는 열처리의 경우에도 건조상태하의 염화수소 자체는 비교적 불활성인 것, 그리고 처리가스를 처리용기의 반응관내의 아래쪽의 금속부재가 부식하는 문제는 거의 없다. 따라서 예를들면 특개평 2-138730호 공보에 개시되어 있는바와 같이 반응관내에 처리가스를 수평으로 흐르도록 되어있는 열처리장치와 다르게 되고, 내관내의 아래쪽의 금속재 부재에 부식방지 대책을 실시할 필요성은 그다지 없다.
따라서 상기한 열처리장치에 있어서는 처리후의 배기가스가 예를들면 염화수소에 습도를 포함하여 강한 부식성을 갖도록 되는 경우이다. 이 경우 부식성을 가지는 배기가스(무식성 생성물을 포함)가 반응관의 내관과 외관과의 사이를 하강하여 배기된때에 반응관의 내관과 외관의 하단부 사이를 통하여 매니홀드에 접속하여 매니홀드가 부식하는 문제가 있었다.
또 이 부식의 문제를 해결하기 위한 수단으로서는 반응관을 내관과 외관의 하단부사이가 연결된 일체구조로 하는 것이 생각되지만, 이 경우 반응관의 제조, 세정 및 취급이 곤란하게 되는 문제가 있기 때문에 채용하기 곤란하다.
또한 반도체 웨이퍼 제조에 있어서는 CVD, 산화, 확산, 어닐 등의 처리를 위하여 각종의 열처리장치가 사용되고 있다. 예를들면 감압 CVD등과 같이 진공하에서 행하는 열처리장치(이하 진공 열처리장치라고도 함)에 있어서는 피처리체인 반도체 웨이퍼를 수용하여 처리를 하는 처리실에 처리가스 공급부 및 진공배기계를 접속하여 구성되어 있다. 또 산화, 확산, 어닐 등과 같은 상압(대기압)하에서 처리를 하는 열처리장치(이하 상압 열처리장치라고도 함)에 있어서는 진공열처리장치의 진공배기계 대신에 상압 배기계를 접속하여 구성되어 있다. 이 상압 열처리장치에 있어서는 부식성을 가지는 처리가스, 예를들면 염화수소(HCI)를 사용하는 경우이다.
그런데 진공 열처리장치와 상압 열처리장치란 개략적으로는 배기계가 다른 것에 지니지 아니하므로 배기계를 진공배기계와 상압배기계에 의하여 구성하면 진공하에서 처리 및 상압하에서 처리의 양쪽을 할 수가 있는 소위 각체형상의 열처리장치를 구성하는 것이 가능하다. 그리고 이 합체 형상의 열처리에 의하면, 설비 코스트의 저감이 도모할뿐만 아니라 반조체 웨이퍼를 처리공정 등에 각 열처리에 연속적으로 할 수가 있으므로 반도체 웨이퍼의 품질 및 생산성의 향상이 도모된다.
따라서 이와같은 열처리장치에 있어서는 상압 배기계에 내식성을 가지는 밸브 예를들면 테프론제의 볼밸브등을 설치하지 않으면 안되었다. 또 이와 같은 밸브는 일반적으로 기밀성을 노화하기 때문에 진공배기계를 작동시켜 처리를 할 때에 상압 배기계로부터 가스나 파티클이 역류하고, 이 배기가스등에 부식성 성분이 잔존하고 있는 경우 진공배기계의 진공펌프 등의 부식이 생길 우려가 있다. 이 때문에 진공하에서의 처리 및 상압하에서의 처리의 양쪽을 할 수가 있는 열처리장치를 실현하는 것이 곤란하였다.
본 발명은 이와같은 문제점을 감안하여 이것을 유효하게 해결하도록 창안된 것이다. 본 발명의 목적은 1대의 장치에서 상압 고온 열처리와 감압열처리를 할 수가 있는 합체형의 열처리장치를 제공하는 것이다.
그리고 본 발명의 목적은 부식성을 가지는 배기가스가 내관과 외관이 분리한 하단부 사이를 통하여 매니홀드에 접속함으로써 생기는 부식성을 방지할 수가 있고, 매니홀드의 내구성의 향상이 도모되는 열처리장치를 제공하는 것이다.
상기 목적을 달성하기 위하여 본 발명은 금속제의 매니홀드상에 내열 내부식성을 가지는 내관과 외관을 동심형상으로 설치하고, 내관내에 수용된 피처리체에 가열분위기하에서 아래쪽으로부터 처리가스를 공급하고, 처리후의 배기가스를 내관과 외관과의 사이를 통하여 배기하도록한 열처리장치에 있어서, 매니홀드에 접하는 내관의 하단부와 외관의 하단부와의 사이에 시일가스를 분출시키기 위한 소정의 틈새를 형성한 것을 특징으로 한다.
본 발명에 의하면, 매니홀드에 접하는 내관과 외관의 분리한 하단부 사이가 시일가스에 의하여 시일되기 때문에 부식성을 가지는 배기가스의 접촉에 의하여 생기는 매니홀드의 부식을 방지하는 것이 가능하고, 매니홀드의 재구성의 향상이 도모된다.
그리고 본 발명의 목적은 진공하에서의 처리중에 상압배기계등의 역류를 방지할 수가 있고, 진공하에서의 처리 및 상압하에서의 처리 양쪽을 할 수가 있는 열처리장치 및 그 운전방법을 제공하고자 하는 것이다.
본 발명은 상기한 문제점을 해결하기 위하여 하부가 개구된 안쪽에 피처리체 수용보트에 재치된 피처리체를 수용하는 내관과 하부가 개구됨과 동시에 내관의 바깥쪽에 동심적으로 설치된 외관으로 되는 처리용기와, 처리용기에 연결됨과 동시에 처리용기에 처리가스를 도입하기 위한 가스 도입포트와 처리용기내의 가스를 배기하는 가스 배기포트를 가지는 통체 형상의 매니홀드와, 매니홀드의 개구부를 개폐가 가능하게 밀폐하는 캡부를 구비한 열처리장치에 있어서, 내관과 외관과 매니홀드를 내열 내부식성 재료에 의하여 형성함과 동시에 이것을 일체적으로 형성하고, 캡부의 처리용기쪽의 표면이 내열 내부식성 재료로 되는 보호층에 의하여 파괴됨과 동시에 캡부와 상기 매니홀드의 접합부에 고온내열 시일수단을 형성하도록 구성된 것이다.
본 발명은 이상과 같이 구성한 것으로 내관, 외관 및 매니홀드는 내열 내부식성재료, 예를들면 석영에 의하여 일체적으로 구성되고, 더구나 캡부의 안쪽 표면에도 내열 내부식성재료로 되는 보호층이 형성되어 있고, 또한 시일부분에는 고온내열 시일수단이 채용되어 있으므로 상압고온 처리시에 부식성의 가스를 흐르게하여도 용기나 매니홀드 등의 재료가 이것에 부식되는 일 없이 더구나 약 1000℃의 고온에 대하여도 시일수단이 파괴되는 일도 없다.
또 감압 열처리시에 있어서는 처리용기내는 약 1Torr 정도의 진공상태에 놓여지지만, 상술한 바와같이 시일수단이 열파괴 되는일 없이 시일기능을 유지하고 있으므로 감압열처리 조작을 효과적으로 할 수가 있다.
상술한 목적을 달성하기 위한 본 발명은 피처리체의 처리를 하는 처리실에 처리가스 공급 및 배기계를 접속하여 되는 열처리장치에 있어서 배기계 진공배기계와 상압배기계로 구성하며, 이 상압 배기계에 한 쌍의 밸브를 설치하고, 이들 양 밸브 사이에 진공흡입수단을 접속하여 이루어진 것을 특징으로 한다.
또한 본 발명에 관한 열처리장치 및 그 운전방법에 의하면, 진공배기계를 작동시키어 처리를 할 때에 상압 배기계의 한쌍의 밸브를 닫고, 이들 양 밸브사이를 진공흡입 함으로써, 상압 배기계의 밸브 닫을 때 기밀성이 향상하기 때문에 진공하에서 처리시에 있어서의 상압배기계로 부터의 배기가스나 파티클의 역류를 방지하는 것이 가능하게 된다. 이것에 의하여 진공하에서의 처리 및 상압에서의 처리의 양쪽을 할 수가 있는 열처리 장치를 제공할 수가 있다.
[실시예]
[제1실시예]
이하에, 본 발명에 관한 열처리 장치의 제1실시예를 첨부도면에 의거하여 상술한다. 제1도에 나타낸 바와 제1실시예의 열처리 장치(32)의 가열로(33)는, 하단이 뚫려서 위로 돌출한 내열 내부식성 재료, 예를들면 석영제의 내관(4)과 이 바깥면에 소정의 간격을 두고 동심(同心) 형상으로 배치된 같은 석영제의 외관(6)으로 이루어지는 처리용기(처리실)(8)을 가지고 있다. 이 처리용기(8)의 바깥면에는, 예를들면 저항성의 가열히터(10)가 감겨져서 설치되어 있다. 이 외관(6)은 돔 형상의 천정부(6B)를 가짐과 동시에 외관(6)의 하부에는 이 처리용기(8) 내에 처리가스를 도입하기 위한 가스도입포트(18)나 처리용기(8) 내의 가스를 배기하는 배기포트(20)를 가지는 통형상의 매니홀드(34)가 일체적으로 연결되어 있다. 구체적으로는, 이 매니홀드(34) 전체는 상술한 바와 같이 같은 내열 내식성 재료, 예를들면 석영으로 구성되어 있고, 석영제의 가스도입 포트(18) 및 배기포트(20)가 돌출되어 형성되고, 그 하단부는 뚫려져서 주변부에는 접속용의 하부 플랜지부(34A)가 형성되어 있다.
가스배기 포트(20)는, 감압시의 진공흡인용의 포트의 경우에는 배기저항을 작게 하기 위하여, 직경이 크고, 예를들면 8인치 정도로 설정된다. 또, 이들 포트(18), (20)는 도시한 예에서는, 2개 기재되어 있으나, 필요에 따라서 여러개 형성된다.
이 매니홀드(34)의 직경은 외관(6)의 직경과 같게 설정되고, 이들은 제작시에 유리 용융에 의하여 함께 접합된다. 그리고, 외관(6)과 상기 매니홀드(34)를 일체적으로 접합한 후에, 이 안에 같은 석영제의 원통형상의 내관(4)을 수용하며, 그 하단부를 아래가 넓은 형상으로 직경을 확대하여 상기 매니홀드(34)의 내벽에, 상술한 바와 같이, 유리 용융에 의하여 함께 접합한다. 이것에 의하여, 내관(4), 외관(6) 및 매니홀드(34)는 내열내식성 재료, 예를들면 석영에 의하여 일체로 접합되는 것으로 된다.
또, 내관(4)의 내벽은, 이 긴쪽방향을 따라서 열전대를 수용하기 위한 가는 관으로 이루어지는 열전대 수용관(35)이, 일체적으로 부착되어 있으며, 그 하단은, 매니홀드(34)의 측벽을 관통하여 용기 바깥으로 뻗어나 있다. 그리고, 이 수용관(35) 내에는 히터의 영역수에 따른 수의 열전대(37)가 수용되어 있다.
처리용기(8) 내에는, 예를들면 석영제의 피처리체 포트, 즉 웨이퍼 보트(12)가 그 아래쪽으로부터 끼우거나 빼기가 가능하게 수용되어 있으며, 이 보트에 그 길이방향을 따라서 소정의 피치로서 여러 피처리체, 즉 반도체 웨이퍼(W)가 수용되는 것으로 된다.
매니홀드(34)의 하단 구멍부에는 엘리베이터 등의 승강수단(22)의 아암(24)에 부착된 스테인레스제의 캡부(26)가 개폐가능하게 부착되어 있고, 이 캡부(26)에 석영제의 보온통(30)을 통하여 상기 웨이퍼 보트(12)가 재치되게 된다.
이 경우, 웨이퍼(W)에 대한 성막 등의 면내 균일성을 확보하기 위하여 처리시에 웨이퍼 보트(12)를 회전하여 처리가스에 균일하게 쪼일 필요가 있다.
이 때문에, 캡부(26)에는 예를들면 자성 시일 축받이(36)에 지지된 회전축(38)이 끼워져 있고, 이 상단측에 상기 보온통(30)을 지지시켜서 이것을 회전하는 것으로 되어 있다.
이 회전축(38)의 하단에는 폴리(40)가 설치되며, 이 폴리(40)에는 도시하지 않은 모터로부터 전달밸트가 걸쳐져 있다.
이 스테인레스 스틸제의 캡부(26)의 상면, 즉 처리용기의 면에는, 내열내식성 재료, 예를들면 석영으로 구성되는 보호층(42)이 형성되어 있고, 부식성 가스, 예를들면 염화수소(HCI)의 사용에 대하여 견딜 수 있도록 되어 있다.
또, 이 매니홀드(34)의 하부 플랜지부(34A)의 캡부(26)의 테두리부와의 접합부분에는, 노(爐)온도 약 1000℃에서도 시일성이 약화하지 않는 고온 내열 시일수단(44)이 설치되어 있고, 고온처리를 가능하게 하고 있다.
제2도에도 나타낸 바와 같이, 이 고온 내열 시일수단(44)은, 캡부(26)의 테두리부에 링형상의 홈부(46)를 형성하며, 이 안에 불소고무 등으로 이루어지는 O링(48)을 배치하여 구성된다. 이 O링(48)은 시일성은 높지만, 내열성은 떨어지므로, 이것을 냉각하기 위한 냉각기구(50)가 설치된다. 구체적으로는 이 냉각기구(50)로서, 상기 O링(48)의 하부에는 캡부(26)의 둘레방향으로 링형상으로 형성한 제1냉각수로(52)가 형성됨과 동시에, 매니홀드(34)의 하부 플랜지부(34A)를 유지하는 유지부재(54)에도 링형상으로 성형한 제2냉각수로(56)이 형성되어 있다.
처리시에 이들 수로(52), (56)에 냉각수를 흐르게 하는 것에 의하여 이 O링(48)을 효율적으로 냉각하도록 되어 있다.
한편, 가스도입 포트(18)에는, 제4도에 나타낸 바와 같은 볼 죠인트(58)를 통하여 가스 공급계(60)가 접속되어 있고, 처리가스 등을 공급할 수 있도록 구성된다. 또, 이 가스 공급계(60)에는 도중에서 공급가스 개폐밸브(61)가 설치되어 있으며(제1도 참조), 도시하지 않은 처리가스원에 접속된다. 이 가스 공급계(60)의 배관으로서는, 예를들면 테프론제의 플랙시블 튜브를 사용하지만, 이 튜브는 감압처리시의 진공흡인시에 수축하므로, 접속부에는 상술한 바와 같은 볼 죠인트(58)를 사용한다. 이 죠인트(58)는, 내부에 유로를 가지는 구체 형상의 죠인트 본체(62)와 이것을 받는 깔대기 형상의 받이부(64)에 의하여 주로 구성되어 있다. 이들 사이에는 예를들면 테프론 코팅된 O링(66)이 설치되어 있으며, 내열성 및 내시일성을 크게 향상시키고 있다. 이들 죠인트 본체(62) 및 받이부(64)에는 각각 클램프 플레이트(68), (70)가 설치되어 있으며, 이들 클램프 플레이트(68), (70) 사이를 스프링(72)을 설치한 체결보울트(74)에 의하여 체결 고정함으로써, 양부재를 어느 정도 자유로운 각도를 가지고 기밀하게 연결하도록 되어 있다.
한편, 가스 배기포트(20)에는, 제1도에 나타낸 바와 같이, 고온 내열 시일부재를 통하여 배기계(76)가 접속된다. 구체적으로는 이 가스 배기포트(20)에는 시일부재로서의 메탈 가스케트(78A)를 통하여 예를들면 하스틸로이제의 플랙시블 튜브(80)가 접속되며, 이 튜브(80)는 같은 메탈 가스케트(78B)를 통하여 석영 파이프(82)에 접속되며, 또, 이 석영파이프(82)는 테프론 파이프(84)에 접속되어 있다.
이들 메탈 가스케트(78A), (78B)의 단면도는 제3도에 확대되어 나타나 있고, 예를들면 하스틸로이로 구성되는 단면 3자형상의 금속을 링형상으로 성형함으로써 구성되어 있다. 이 양단의 시일면(86)을 가스배기포트(20), 튜브(80) 사이 및 튜브(80), 석영 파이프(82) 사이에 눌러서 접촉시키고, 낮은 체결력을 가지고 높은 시일성을 확보함과 동시에, 예를들면 400℃ 정도의 고온에도 견디어 낼 수 있도록 구성되어 있다. 이 메탈 가스케트(78A), (78B) 대신에 시일성이 높은 불소고무의 O링을 사용하면 고온(400℃ 정도)에 견딜 수 없다.
그리고, 제1도에 나타내는 이 테프론 파이프(84)는, 감압 열처리시에 사용하는 진공 배기계(84A)와 상압 고온 열처리시에 사용하는 상압 배기계(84B)에 의하여 2개로 나누어 있다. 이 진공 배기계(84A)에는, 진공측 개페밸브(88), 기체치환용의 진공펌프(90) 및 제거장치(92)가 차례로 설치되어 있다. 또, 상압 배기계(84B)에는, 상압측 개폐밸브(94), 배기압 콘트롤러(96), 스크래버(97)가 차례로 설치되어 있다.
이어서, 이상과 같이 구성된 본 제1실시예의 동작에 대하여 설명한다.
우선, 반도체 웨이퍼(W)에 대하여 상압 고온처리를 실시하는 경우에 대하여 설명한다. 예를들면 처리온도를 약 1000℃로 하면, 제1도에 나타내는 열처리 장치(32)의 가열히터(10)에 의하여 처리용기(8)를 그것보다도 낮은 온도, 예를들면 약 600℃까지 가열하여 놓고, 이 용기내에 웨이퍼 보트(12)에 재치된 웨이퍼(W)를 로드한다. 즉, 승강수단(22)을 구동하는 것에 의하여 다수장의 웨이퍼(W)가 재치된 웨이퍼 보트(12)를 처리용기(8) 내에 상승시켜서 이것을 로드하고, 캡부(26)에 의하여 매니홀드(34)의 하단 구멍을 막는다. 이 때, 이 시일부는 O링(48)을 가지는 고온 내열 시일수단(44)에 의하여 시일되기 때문에, 기밀성 좋게 시일되는 것으로 된다.
그리고, 이어서 이 로드시에 용기내에 들어온 대기를 치환하기 위하여 불활성 가스, 예를들면 질소가스를 가스도입 포트(18)로부터 공급하여, 처리용기(8) 내를 이 불활성 가스로 치환한다.
이와같이 하여, 가스 치환조작이 종료하였다면, 이어서, 가열히터(10)의 전력을 높혀서 600℃의 처리용기(8)를 프로세스 온도, 예를들면 1000℃까지 승온시키고, 가스 공급계(60)를 통하여 처리가스를 공급하고, 통상의 상압고온처리를 한다. 이 때, 배기계(76)에서는 진공배기계(84A)의 진공측 개폐밸브(88)를 닫아서 진공배기계(84A)를 차단함과 동시에, 상압측 개폐밸브(94)를 열어서 배기압 콘트롤러(96)를 구동하고, 처리용기(8) 내를 상압, 즉 대기압으로 유지한다. 예를들면 가스도입 포트(18)로부터 처리용기(8) 내로 도입된 처리가스는, 웨이퍼 영역을 상승시키면서 이것과 접촉하고, 처리용기(8)의 천정부(6B)까지 상승한 처리가스는 내관(4)과 외관(6)의 사이를 흘러 내려서 가스배기 포트(20)로부터 배출된다.
이 처리시에, 캡부(26) 및 가스 배기포트(20) 등은 꽤 고온으로 되지만, 캡부(26)의 O링(48)은 이 상하로 설치한 제2냉각수로(56) 및 제1냉각수로(52)에 냉각수를 흘려서 냉각한다.
따라서, 고열에 의하여 이 O링(48)이 녹는 피해를 받는 일이 없이 시일 기능을 유지할 수 있으며, 이 이후의 감압 열처리시에 시일이 파괴되는 일도 없다.
또, 이와 같은 방식으로 가스 배기포트(20)와 배기계(76) 사이에 설치되는 메탈 가스케트(78A), (78B)도 고온에 쪼이고 만다. 그러나 이 메탈 가스케트(78A), (78B)의 특성상, 고온에 대하여도 시일성이 열화하는 일이 없기 때문에, 상술한 바와 같이 이 이후의 감압 열처리시에 시일이 파괴되는 일도 없다.
이와 같이 하여, 웨이퍼의 상압 고온 처리가 이루어지게 된다.
또, 내관(4), 외관(6) 및 매니홀드(34)는, 석영에 의하여 일체적으로 결합되어 있으며, 또, 스테인레스 스틸제의 캡부(26)의 표면에는 석영제의 보호층(42)이 형성되어 있기 때문에, 처리시의 부식성 가스, 예를들면 HCl 가스에 의하여 이들이 부식되는 일이 없고, 또 녹이 스는 일도 없으며, 안정하여 상압 고온처리가 이루어질 수 있다.
이어서, 웨이퍼에 대하여 감압 열처리를 실시하는 경우에 대하여 설명한다.
예를들면 10∼0.1Torr의 진공 감압분위기 중에 처리온도, 약 800℃에서 열처리를 실시하는 경우에는, 예를들면 상압 고온처리시와 같게 용기를 미리 600℃ 정도로 가열하여 놓고, 이 용기(8) 내에 웨이퍼 보트(12)에 재치된 웨이퍼(W)를 로드하고, 매니홀드(34)의 하단 구멍부를 캡부(26)에 의하여 밀폐한다.
이어서, 상압측 개폐밸브(94)를 닫아서, 상압 배기계(84B)를 차단함과 동시에 진공측 개폐밸브(88)를 열어서 진공펌프(90)를 구동하며, 진공 배기계(84A)를 통하여 처리용기(8) 내를 진공흡인하고, 이 안의 분위기를 소정의 감압상태, 예를들면 1Torr 정도로 유지하면서 가스 도입포트(18)로부터 처리가스를 처리용기(8) 내로 도입하고, 감압 열처리를 웨이퍼에 대하여 실시한다. 이 경우 전술한 바와 같이 캡부(26)의 O링(48)은 제1 및 제2 냉각수로(52), (56)에 의하여 냉각되어 있고, 그 시일성이 확실하게 유지되며, 시일이 파괴되는 일은 없다.
또, 가스 배기포트(20)와 배기계(76) 사이에 설치되는 메탈 가스케트(78A), (78B)도, 상압고온 처리시보다도 낮은 온도이기는 하지만 고온으로 쬐이지만, 이 가스케트는 충분히 높은 시일성을 유지할 수 있으며, 처리용기(8) 내를 감압분위기로 유지할 수 있다.
또, 처리용기(8) 내의 진공흡인에 의하여 가소 공급계(60)도 감압상태로 되지만, 이것과 가스 도입포트(18)에는 시일성이 높은 제4도에 나타낸 바와 같은 볼 죠인트(58)가 설치되어 있기 때문에, 시일부분에서도 높은 시일성을 확보할 수 있다. 따라서 전체로서 웨이퍼에 대하여 감압열처리를 안정하게 실시할 수가 있게 된다.
또, 종래의 열처리 장치로서는 스테인레스 스틸제의 매니홀드와 외관과의 접합부에 O링을 설치하여 이것과 냉각하는 냉각기구도 설치하고 있기 때문에, 이 부분이 저온화하여 퍼티클의 원인으로 되는 퇴적물이 생성되는 수가 있었다. 그러나, 본 제1실시예에서는, 외관(6)과 매니홀드(34)를 함께 석영으로 구성하여 이들을 일체적으로 연결하였기 때문에, 이 부분을 냉각할 필요가 없게 되며, 따라서 퍼티클의 원인으로 되는 퇴적물도 생성되지 않고, 생성물 향상에 기여할 수가 있다.
이와 같이, 본 제1실시예에서는, 같은 열처리 장치에 의하여 피처리체를 이송하여 재치하는 일이 없이, 상압 고온 열처리도 감압 열처리도 할 수가 있기 때문에, 상기 2개의 처리를 연속하여 웨이퍼에 대하여 실시하는 경우에는, 종래 장치와 같이 웨이퍼를 다른 열처리 장치에 이송할 필요가 없게 되고, 이 이송에 요하는 시간을 생략할 수 있기 때문에, 생산성을 크게 향상시킬 수 있다.
이 경우, 가열히터(10)의 파워를 증가함과 동시에 처리용기(8) 등의 열용량을 감소시켜서 승온속도를, 예를들면 100℃/분까지 크게 향상시킨 이른바 고속 열처리 방식을 채택하면, 생산성을 한층 향상시킬 수 있다.
또, 상술한 바와 같이 웨이퍼의 이송을 필요로 하지 않기 때문에 크린룸의 대기중에 쪼이는 일도 없고, 이것이 대기중의 퍼티클 등에 의하여 오염되는 일도 방지할 수가 있다.
또는, 상기한 2개의 열처리를 하는 경우에는, 종래에는 2종류의 열처리 장치가 필요하였으나, 본 실시예에 의하면 1개의 장치에 의하여 2종류의 열처리를 할 수 있으며, 설비비의 절약화를 크게 도모할 수가 있다.
또, 열전대 수용관(35) 내에 열전대(37)를 수용하는 경우에는, 그 하단 구멍부보다 열전대(37)를 삽입하면 좋고, 용이하게 이것을 붙이거나 떼어낼 수 있게 된다.
또, 상기 제1실시예에서는, 캡부(26)의 고온 내열 시일수단(44)으로서 O링(48)과 이것을 냉각하는 냉각수로(52), (56)로 구성하였으나, 이것에 한정되지 않고, 예를들면 제5도에 나타낸 바와 같은 메탈 시이트로 구성되는 박판 시일부재(98)를 사용하도록 하여도 좋다. 즉, 이경우에는 매니홀드(34)의 하부 플랜지부(34A)의 하면에는, 예를들면 폭 4㎜이고 깊이 5㎜ 정도의 고리형상의 고리형상 홈부(100)가 형성되며, 캡부(26)의 테두리부의 상면에는 상기 고리형상 홈부(100)와 대향하는 위치에 같게 폭이 4㎜이고 깊이가 5㎜ 정도의 고리형상의 고리형상 홈부(102)가 형성되어 있다.
그리고, 고리형상 홈부(100), (102)에는, 각각 배기관(104A), (104B)가 설치됨과 동시에 이들 배기관(104A), (104B)은 연결구(106A), (106B)를 통하여 각각 공통으로 진공펌프(108)에 접속되어 배기기구(110)를 구성하여, 고리형상 홈부(100), (102)를 감압 배기가 가능하게 하고 있다.
또, 진공펌프(108)와 연결구(106A), (106B) 사이로부터는 대기 개방용의 개방관(112)이 나누어져 있고, 이 관은 예를들면 전자식 개폐밸브로 구성되는 밸브(114)를 통하여 예를들면 도시하지 않은 N2가스 공급원에 접속된다.
그리고, 이 매니홀드의 하부 플랜지부(34A)와 캡부(26)의 테두리부 사이에는 각각 상기 고리형상 홈부(100), (102)의 구멍부를 각각 덮을 수 있는 끼우고 떼기가 자유로운 고리형상의 2장의 박판 시일부재(98)가 설치되어 있으며, 각각의 안쪽단을 링형상으로 구부림과 동시에 용접부(98A) 전면을 용접하고 있다. 이들 2장의 시일부재(98), (98)는, 예를들면 탄탈 아몰퍼스에 의하여 코팅된 두께가 0.15㎜의 스테인레스 스틸로 이루어지고, 각각의 하부 플랜지부(34A)와의 접촉면 및 캡부(26)와의 접촉면을 거울면으로 다듬어져 있으며, 시일성을 좋게 하고 있다.
또, 2장의 시일부재(98), (98) 사이에는, 예를들면 링형상의 흑연 시트로 구성되는 쿳 숀재(114)가 설치되어 있다.
이와 같은 고온 내열시일수단에 의하면, 진공펌프(108)를 진공흡인하는 것에 의하여 양 고리형상 홈부(100), (102) 내의 분위기는 진공배기되기 때문에, 이들 구멍부에 있는 박판 시일부재(98), (98)는 각각 흡인되어 가상선으로 나타낸 바와 같이 흡인측으로 돌출형상으로 구부러져 고리형상 홈부(100), (102)의 구멍부를 막고, 시일하는 것으로 된다.
이 경우, 박판 시일부재(98), (98)의 접촉면은 거울면으로 마무리되어 있기 때문에, 이 부분을 확실하게 시일할 수 있으며, 고온에 대해서도 시일성이 떨어지는 일은 없다. 이 경우, 하부 플랜지부(34A) 및 캡부(26)의 접촉면도 미리 다듬어 두면, 그 시일성을 일층 향상시킬 수 있다. 또, 시일부재로서 메탈 시트 시일부재를 사용한 경우에는 이것으로 부터의 탈 가스도 없고 오염 가능성을 일층 억제할 수 있다.
또, 이상 제1실시예에서는 처리가스를 내관(4) 내를 상승시켜서, 내관(4)과 외관(6) 사이를 흐르도록 형성하였으나, 이것과는 반대로 처리 가스를 내관(4)과 외관(6) 사이를 상승시켜서 내관(4) 내를 흘러 내리도록 하여도 좋다.
이 경우에는 기긋에 대응시킨 위치에 가스 도입포트(18)나 가스 배기 포트(20)를 설치하도록 하며, 또 가스의 유통방향을 임의로 선택할 수 있도록 다종다양한 포트를 설치하여 놓는 것이 바람직하다.
또, 처리용기(8)로서 내관(4)과 외관(6)을 가지는 2중관 구조로 하였으나, 이것에 한정되지 않고 1중관 구조로 하여도 좋은 것은 물론이다.
[제2실시예]
이하에, 본 발명의 제2실시예를 첨부도면에 의거하여 상술한다. 제6도는 본 발명에 관한 열처리 장치의 제2실시예를 나타내는 종단면도이다. 본 실시예의 열처리 장치(201)는, 예를들면 스테인레스 스틸제의 편평한 링형상의 매니홀드(202)를 도시하지 않은 베이스 플레이트부에 수평으로 구비하고 있다.
이 매니홀드(202)의 상면부에는, 내열내부식성을 가지는, 예를들면 석영제의 내관(203)과 외관(204)이 동심(同心) 형상으로 설치되고, 이들 내관(203)과 외관(204)에 의하여 2중관 구조의 종형 반응관(205)이 구성되어 있다. 이 반응관(205)의 내관(203)은, 처리공간(206)을 형성하는 것으로, 매니홀드(202)의 구멍부(202a)의 내경과 거의 같은 내경으로 형성되어 있다.
반응관(205)의 외관(204)은, 내관(203)과의 사이에 배기통로로서의 고리형상의 배기통로(207)를 형성하는 내경에 형성되고, 이 외관(204)의 주위에는 저항 발열선(208)을 감은 가열부(209)가 설치되어 있다. 이 가열부(209)는, 저항 발열선(208)으로서, 예를들면 2규화 몰리브덴(MoSi2)을 사용함으로써, 반응관(5) 내를 100℃/분 정도의 고속으로 승온가능하게 구성됨과 동시에 도시하지 않은 강제 공냉수단에 의하여 50℃/분 정도 강온 기능하게 구성되어 있다.
또 매니홀드(202)의 하면에는, 승강기구(210)의 승강아암(211)에 설치된 스테인레스 스틸제의 덮개체(212)가 개폐가능하게 설치되고, 이 덮개체(212) 상에는 피처리체인 반도체 웨이퍼(W)를 소정 간격으로 수평하게 또 다단으로 유지하는 웨이퍼 보트(214)가 보온통(215)을 통하여 재치되어 있다. 덮개체(212)에는, 보온통(215)을 회전구동하는 회전기구(216)가 설치되어 있다. 제7도에 나타낸 바와 같이, 덮개체(212)의 상면 테두리부에는 매니홀드(202)의 하면부와의 사이를 시일하기 위한 내열내식성을 가지는 예를들면 불소고무제의 O링(217a), (217b)이 덮개체(212)에 형성한 홈(218)을 통하여 동심형상으로 2중으로 장착되어 있다.
또, 매니홀드(202)에는, 반경방향으로 관통하는 처리가스 공급통로(219)가 둘레방향으로 여러 개 설치되며, 이들 처리가스 공급통로(219)의 선단부에는 매니홀드(202)의 구멍부(202a)로부터 위로 돌출하고, 반응관(205)의 내관(203) 아래로부터 윗쪽을 향하여 처리가스를 분사공급하는 노즐(220)이 접속되며, 처리가스공급통로(219)의 기초단부에는 도시하지 않은 처리가스 공급원이 접속되어 있다. 반응관(205)의 외관(204)의 하측부에는, 외관(204)의 천정에 이른 처리후의 배기가스를 내관(203)과 외관(204)의 배기통로(207)를 강하시켜서 배기하기 위한 배기관부(221)가 설치되고, 이 배기관로(221)에는 감압처리 배기계(222)와 감압처리 배기계(222)와 상압 처리배기계(223)가 접속되어 있다. 이 감압처리 배기계(222)에는 밸브(224), 진공펌프(225), 제거장치(226) 등이 차례로 설치되고, 상압 처리배기계(223)에는 밸브(227), 배기가스 중의 부식성 성분(예를들면 HCl)의 처리장치(228) 등이 각각 차례로 설치되어 있다.
한편, 반응관(205)의 내관(203)의 하단부와 외관(204)의 하단부는 분리되고, 내관(203)의 하단부와 외관(204)의 하단부에는 매니홀드(202)의 상면부에 재치되는 외향의 플랜지(203a), (204a)가 각각 형성되어 있다.
제7도와 제8도에 나타낸 바와 같이, 매니홀드(202)의 상면부에는, 이 상면부에 직접 재치되는 내관(203)의 플랜지(203a)의 바깥면을 포위하는 위치결정 돌기부(229)가 형성되어 있다. 이 위치결정 돌기부(229)의 외측에는 외관(204)의 플랜지(204a)와의 사이를 시일하기 위하여, 예를들면 불소 고무제의 O링(230a), (230b)이 매니홀드(202)에 형성한 홈(231)을 통하여 동심형상으로 2중 장착되어 있다.
또, 매니홀드(202)의 상면부에 배치한 O링(230a), (230b)의 바깥면을 포위하여 그 수평이동을 규제함과 동시에 고정 높이 위치를 규정하는 예를들면 테프론제의 단면 L자형상의 위치결정 링(232)이 부착되어 있다. 또 이 위치결정 링(232)의 외측에는 외관(204)의 플랜지(204a)를 고정하기 위한 누름부재(233)가 보울트 체결에 의하여 부착되어 있다.
특히, 내관(203)의 플랜지(203a)의 선단부는, 외관(204)의 플랜지(204a)의 기초부와 약간 오버랩하고 있고, 내관(203)의 플랜지(203a)의 선단부 상면과, 이것과 대향하는 외관(204)의 플랜지(204a)의 기초부 하면 사이에는 시일가스(S)를 분출시키기 위한 소정의 틈새(234)가 설치되어 있다. 시일가스(S)로서는, 예를들면 질소(N2)가스, 아르곤(Ar)가스, 헬륨(He)가스 등의 불활성 가스가 바람직하고, 그 중에서도 질소(N2)가스가 바람직하다. 또, 시일가스(S)는, 틈새(234)로부터 2리터/분 정도로 분출시키는 것이 바람직하며, 틈새(234)의 폭으로서는, 예를들면 5㎜ 정도가 바람직하다.
내관(203)의 플랜지(203a) 상에는, 틈새(234)로부터 분출되는 시일가스(S)를 위를 향하게 하기 위한 돌부(235)가 고리형상으로 형성되고, 돌부(235)의 내측에는 오목부(236)가 고리형상으로 형성되어 있다.
매니홀드(202)에는, 덮개체(212)의 상단면에 홈(218)을 통하여 설치된 2중의 O링(217a), (217b) 사이를 감압하여 높은 시일성을 얻기 위한 감압통로(237a), (237b)가 형성됨과 동시에, 틈새(234)에 시일가스(S)를 공급하기 위한 시일가스 공급통로(238)가 형성되어 있다. 감압통로(237a), (237b)에는 진공펌프 등의 도시하지 않은 감압원이 접속되고, 시일가스 공급통로(238)에는 도시하지 않은 시일가스 공급원이 접속되어 있다. 또, 매니홀드(202)에는, O링(217a), (217b), (230a), (230b) 등을 냉각하기 위한 냉각배수통로(239)가 설치되어 있다.
이어서, 상기 제2실시예의 작용을 설명한다. 예를들면 처리가스로서 산소(O2)와 염화수소(HCl)를 사용한 상압하에서의 드라이 산화처리를 하는 경우에는, 우선, 제6도에 나타내는 예열 가열부(209)에 의하여 소정온도(850℃)로 가열된 반응관(205) 내에, 웨이퍼(W)를 다단으로 또 수평으로 유지한 웨이퍼 보트(214)를 승강기구(210)에 의하여 로딩하고, 매니홀드(202) 구멍부(202a)를 덮개체(212)로 닫는다. 또, 상압처리의 경우, 덮개체(212) 및 매니홀드(202)의 O링(217a), (217b), (230a), (230b) 사이의 감압을 할 필요가 없다.
이어서, 상압처리 배기계(223)를 작동시킴과 동시에 반응관(205)의 내관(203)과 외관(204)의 하단부 사이의 틈새(234)로부터 시일가스(S)를 분산시키며, 이 상태에서 처리가스를 노즐(220)로부터 반응관(205) 내에 공급하여 열처리를 개시한다.
처리가스가 반응관(205)의 내관(203) 내를 상승할 때에 웨이퍼(W)에 대한 면내 균일의 열처리가 실시되고, 처리 후의 배기가스는 내관(203)과 외관(204) 사이의 배기통로(207)를 강하하여 외관(204)의 하측부의 배기관부(221)로부터 상압처리 배기계(223)에 배기된다.
그런데, 배기가스는 염화수소(HCl)에 습기를 포함하는 것에 의하여 강한 부식성을 가지고 있으나, 매니홀드(202)에 접하는 반응관(205)의 내관(203)과 외관(204)의 하단부 사이의 틈새(234)로부터 시일가스(S)가 분출되어 있는 것에 의하여, 틈새(234)가 시일되어 있기 때문에, 부식성을 가지는 배기가스가 매니홀드(202)에 접촉하는 일이 없다. 이 때문에, 부식성을 가지는 배기가스에 의한 매니홀드(202)의 부식을 방지할 수 있으며, 매니홀드(202)의 내구성 향상이 도모된다.
또, 배기관부(221)로부터 배기되지 않았던 일부의 부식생성물은, 내관(203)의 플랜지(203a) 상의 돌부(235)로부터 내측의 오목부(236)에 머무르지만, 내관(203)의 플랜지(203a)는 내식성을 가지는, 예를들면 석영제이기 때문에, 부식하는 일이 없다.
상기한 열처리 장치에서는, 연마가공이 기계적으로 정도가 좋게 할 수 있고, 또 열변형이 일어나기 어려운 부분인 내관(203)의 플랜지(203a)의 선단부 상면과, 이것과 대향하는 외관(204)의 플랜지(204a)의 기초부 하면 사이에, 시일가스(S)를 분출시키기 위한 틈새(234)를 형성하였기 때문에, 틈새(234)의 폭이 열변형 등으로 변동하기 어렵고, 틈새(234)의 관리가 용이하다. 또, 반응관(205)에서는, 내관(203)과 외관(204)의 하단부 사이가 분리되어 있기 때문에, 제조, 세정 및 취급이 용이하다.
또, 매니홀드(202)에서는, 배기관(221)이 설치되어 있지 않고, 편평한 링형상으로 형성되어 있기 때문에, 구조의 간이화 및 소형화가 도모된다.
또, 열처리 장치에서는, 매니홀드(202)와 덮개체(212) 사이 및 매니홀드(202)와 반응관(205)의 외관(204) 사이에 2중의 O링(217a), (217b), (230a), (230b) 사이를 감압하도록 하였기 때문에, 고(高)시일성이 얻어지며, 예를들면 1×10-6Torr 정도의 고감압하까지 배기한 후, 소정의 압력, 예를들면 1Torr에서의 CDV 처리가 가능하게 된다. 이 CDV 처리를 하는 경우에는, 감압처리배기계(222)를 작동시키면 좋고, 상압하에서의 산화, 확산 등을 하는 경우에는, 전술한 바와 같이 상압처리 배기계(223)를 작동시키면 좋다.
이와 같이 본 실시예에서는, 각종의 열처리를 피처리체를 옮겨 싣는 일이 없이, 1대의 열처리 장치(201)에서 할 수 있기 때문에, 설비 코스트의 저감이 도모된다. 또, 상기 가종의 열처리를 웨이퍼(W)의 이동변환을 하지 않고 같은 반응관(205) 내에서 연속적으로 할 수 있기 때문에, 처리율 향상이 도모된다. 이 경우, 승온 및 강온 속도의 빠른 가열부(209)를 사용하는 것에 의하여, 또 처리율이 향상한다.
제9도는 본 발명에 관한 열처리 장치의 제2실시예의 변형예를 나타내는 요부 확대 단면도이며, 상기 제2실시예와 동일 부분에는 동일 부호를 붙이고 있다.
본 변형예의 열처리 장치(201)에서는, 반응관(205)의 내관(203)과 외관(204)의 하단부 사이에 시일가스(S)를 분출하도록 되어 있지 않고, 그 대신에 내관(203)과 외관(204)의 하단부 사이에는 O링(240)이 설치되어 있다.
이 경우, 상기 제2실시예의 열처리 장치(201)와는 다르며, 매니홀드(202) 상에는 내관(203)용의 위치결정 돌기부(229) 및 2중의 O링(230a), (230b) 중의 내측의 O링(230b)이 설치되어 있지 않고, 그 대신에 위치결정 돌기부(229)가 있던 위치에는, 예를들면 불소고무제의 O링(240)이 배치되어 있다. 즉, 이 O링(240)은, 매니홀드(202)상에 있어서의 내관(203)의 플랜지(203a) 선단부와, 이 선단부와 대향하도록 외관(204)의 플랜지(204a) 기초부 하면에 경사하여 설치된 절결부(241)와의 사이에 설치되어 있다.
이와 같이 구성된 본 실시예의 열처리 장치에 의하면, 상기 제2실시예의 열처리 장치와 거의 같은 작용효과가 얻어진다. 특히, 매니홀드(202)에 접하는 반응관(205)의 내관(203)과 외관(204)이 떨어진 하단부 사이를, O링(240)에 의하여 시일하도록 하였기 때문에, 시일가스(S)를 사용하는 상기 제2실시예의 열처리 장치보다도 간단한 구조 및 낮은 코스트로 매니홀드(202)의 부식을 방지할 수가 있다.
또, 본 발명은 상기 실시예에 한정되는 것은 아니고, 본 발명의 요지의 범위 내에서 여러 가지로 변형실시가 가능하다. 예를들면, 상기 실시예의 열처리 장치에 있어서는, 처리가스를 아래로부터 위를 향하여 공급하도록 하고 있기 때문에, 반응관(205) 내에 분출하고 있는 매니홀드(202) 및 덮개체(212)의 노출면에는 부식성을 가지는 처리가스에 의한 부식이 생기기 어려우나, 염려스럽게 때문에, 상기 노출면에 내열내식성을 가지는 예를들면 석영제의 보호층을 설치하도록 하여도 좋다. 또, 반응관(205)의 재질로서는, 석영 이외에, 예를들면 사파이어, 알루미나 등 적용될 수 있다. 또, 피처리체로서는, 반도체 웨이퍼 이외에도, LCD 등이어도 좋다.
[제3실시예]
이하에, 본 발명의 제3실시예를 첨부도면에 의거하여 상술한다. 제10도에 나타낸 바와 같이, 본 제3실시예의 열처리 장치(301)는, 예를들면 스테인레스 스틸제의 편평한 링형상의 매니홀드(302)를 도시하지 않은 베이스 플레이트부에 수평으로 구비하고 있다. 이 매니홀드(302)의 상면부에는 피처리체인 반도체 웨이퍼(W)를 처리하기 위한 처리실인 내열내부식성을 가지는 재료, 예를들면 석영제의 종형의 반응관(303)이 설치되어 있다. 이 반응관(303)은, 내관(304)과 천정을 가지는 외관(305)과의 이중관 구조이며, 반응관(303)의 주위에는 저항발열선을 감아서 되는 가열부(306)가 설치되어 있다. 이 가열부(306)의 저항 발열선으로서, 예를들면 2규화 몰리브덴(MoSi2)을 함으로써, 반응관(303) 내를 고속으로, 예를들면 100℃/분 정도로 승온 기능하게 구성됨과 동시에, 도시하지 않은 강제 공냉수단에 의하여, 예를들면 50℃/분 정도로 강온 기능하게 구성되어 있다.
매니홀드(302)의 하면부에는, 승강기구(307)의 승강아암(308)에 설치된 스테인레스 스틸제의 덮개체(309)가 개폐가능하게 설치되어 있다.
이 덮개체(309) 상에는 웨이퍼(W)를 소정 간격으로 다단으로 유지하는 웨이퍼 보트(310)가 보온통(311)을 통하여 재치되어 있다. 또, 덮개체(309)에는, 보온통(311)을 회전구동하는 회전기구(312)가 설치되어 있다.
제11도에 나타낸 바와 같이, 덮개체(309)의 상면 테두리부에는 매니홀드(302)의 하면부와의 사이를 시일하기 위한 내열내식성을 가지는, 예를들면 불소고무제의 직경이 다른 O링(313a), (313b)이 동심형상으로 2중으로 부착되어 있다.
매니홀드(302)에는, 제10도에 나타낸 바와 같이, 처리가스 공급부로서의 여러 개의 처리가스 공급통로(314a), (314b), (314c)가 반경방향으로 설치되어 있다. 이들 처리가스 공급통로(314a), (314b), (314c)의 선단부에는 매니홀드(302)의 구멍부(302a) 테두리부로부터 위로 돌출하고, 반응관(303)의 내관(304) 아래로부터 윗쪽을 향하여 처리가스를 분사공급하는 노즐(315)이 각각 접속되어 있다. 처리가스공급통로(314a), (314b), (314c)의 기초단부에는, 예를들면 실리콘 질화(Si3N4)막의 성막처리에는 디클로로실란(SiH2Cl2)과 암모니아(NH3)를, 드라이 산화처리에는 산소(O2)와 염화수소(HCl)라고 하는 식으로, 처리에 따라서 처리가스를 공급하기 위한 도시하지 않은 처리가스 공급원이 밸브(V1), (V2), (V3)를 통하여 접속되어 있다. 본 제3실시예에서는 설명상, 밸브(V1)를 디클로로실란 공급용으로 하고, 밸브(V2)를 암모니아 공급용으로 하며, 밸브(V3)를 산소와 염화수소 공급용으로 하고 있다.
이 반응관(303)의 내관(304)의 하단부와 외관(305)의 하단부에는, 제11도에 나타낸 바와같이, 상기 매니홀드(302)의 상면부에 재치되는 외향의 플랜지(304a), (305a)가 각각 형성되어 있다. 매니홀드(302)의 상면부에는 이 상면부에 직접 재치되는 내관(304)의 플랜지(304a)의 바깥면을 포위하는 위치 결정 돌기부(316)가 형성됨과 동시에, 외관(305)의 플랜지(305a)와의 사이를 시일하기 위한, 예를들면 불소고무제의 직경이 다른 O링(317a), (317b)가 동심원 형상으로 이중으로 부착되어 있다. 또, 매니홀드(302)의 상면부에는, 외관(305)의 플랜지(304a)의 바깥면을 둘러싸고 그 수평이동을 규제하면서 고정 높이 위치를 규정하는, 예를들면 테프론제의 단면 L자형상의 위치결정 링(318)이 부착되어 있음과 동시에, 외관(305)의 플랜지(305a)를 고정하기 위한 누름부재(319)가 보울트 체결에 의하여 부착되어 있다.
또, 내관(304)의 플랜지(304a)의 선단부는, 외관(305)의 플랜지(305a)의 플랜지(305a)의 기초부와 약간 오버랩하고 있고, 내관(304)의 플랜지(304a)의 선단부 상면과, 이것과 대향하는 외과(305)의 플랜지(305a)의 기초부 하면 사이에는 부식성을 가지는 배기가스에 의한 보울트(302)의 부식을 방지하기 위하여 시일가스(S)를 분출시키기 위한 틈새(320)가 설치되어 있다. 이 시일가스(S)로서는, 불활성 가스, 예를들면 질소(N2)가스가 사용된다.
매니홀드(302)에는 제11도에 나타낸 바와 같이, 그 상단면에 설치한 이중의 O링(317a), (317b) 및 덮개체(309)에 설치한 이중의 O링(313a), (313b) 사이를, 예를들면 후술하는 진공펌프(337) 등(제10도 참조)에 의하여 진공흡인하여 기밀성을 높이기 위한 진공흡인통로(321a), (321b)가 형성됨과 동시에, 틈새(320)에 시일가스(S)를 공급하기 위한 시일가스 공급통로(322)가 형성되어 있다. 또, 매니홀드(302)에는, O링(317a), (317b) 등을 냉각하기 위한 냉각수 통로(323)가 설치되어 있다.
제10도에 나타낸 바와 같이, 반응관(303)의 내관(304)과 외관(305) 사이에는, 외관(305)의 하측부에는 처리후의 배기가스를 배기하기 위한 배기관부(325)가 설치되어 있다. 이 배기관부(325)는, 배기계인 진공배기계(326)와 상압배기계(327)가 분기관(328)을 통하여 접속되어 있다.
또, 분기관(328), 진공배기계(326) 및 상압배기계(327)의 배관은 내열내식성을 가지는 재료, 예를들면 하스틸로이에 의하여 형성되어 있다. 또, 분기관(28), 진공배기계(326) 및 상압배기계(327)의 배관에는, 배기가스 성분의 결로 및 석출을 방지하도록 가열하기 위한 가열수단으로서, 예를들면 저항발열선을 내열수지재로 피복하여 되는 띠형상 가열부재(329a), (329b)가 감겨져 있다. 가열온도로서는, 배기가스 성분의 노점온도 또는 석출온도 이상, 예를들면 150∼200℃ 정도의 온도로 설정되어 있다.
재질이 다른 배기관부(325)와 분기관(328)을 접속하기 위하여, 제11도에 나타낸 바와 같이, 양자의 플랜지(325a), (328a)에는 불소고무제의 직경이 다른 O링(330a), (330b)이 동심형상으로 2중으로 설치되고, 양플랜지(325a), (328a)가 클램프 부재(331)에 의하여 접속되어 있다. 또, 양 플랜지(325a), (328a) 사이의 기밀성을 더욱 높이기 위하여, 분기관(328)의 플랜지(328), (328a)에는 이중의 O링(330a), (330b) 사이를 진공흡인하기 위한 진공흡인통로(332)가 설치되어 있다.
진공배기계(326)에는, 제10도에 나타낸 바와 같이, 기밀성이 높은 통상의 밸브(V), 터보분자 펌프(333), 진공펌프(334), 제거장치(385)가 차례대로 설치되어 있다.
또, 상압배기계(327)에는, 내식성을 가지는 예를들면 테프론(등록상표)제 볼 밸브로 구성되는 한 쌍의 밸브(V5), (V6), 배출가스중의 부식성분인 염화수소를 중화 또는 회수처리하는 HCl 처리장치(336)가 차레로 설치되어 있다.
그리고, 한쌍의 밸브(V5), (V6) 사이의 배관에는, 이들 양 밸브(V5), (V6)관을 진공흡인하기 위한 진공흡인 수단인 진공펌프(334)가 진공흡인관(339)을 통하여 접속되며, 이 진공흡인관(339)에는 밸브(V7)가 설치되어 있다.
진공배기계(326) 및 상압배기계(327)는, 도시하지 않은 공장의 배기계에 접속되어 있다.
처리가스 공급용의 밸브(V1), (V2), (V3), 배기용의 밸브(V4), (V5), (V6) 및 진공흡인용의 밸브(V7)은, 전자밸브로 구성되며, 이들의 밸브에 접속된 제어장치(340)에 의하여 다음과 같이 제어시키도록 구성되어 있다. 즉, 이 제어장치(340)는, 진공처리인, 예를들면 감압CVD에 의한 실리콘 질화막의 성막처리의 때에는, 밸브(V1), (V2), (V3), (V7)을 열고, 밸브(V3), (V5), (V6)을 닫으며, 상압처리인, 예를들면 드라이 산화처리의 때에는, 밸브(V3), (V5), (V6)을 열고, 밸브 (V1), (V2), (V3), (V7)를 닫도록 구성되어 있다. 따라서 이 열처리 장치(301)에서는, 진공배기계(326)를 작동시켜서 처리를 하는 때에, 상압배기계(327)의 한 쌍의 밸브(V5), (V6) 사이를 진공흡인한다고 하는 운전방법이 취해지도록 구성되어 있다. 또, 제어장치(340)는, 실리콘 질화막의 성막처리의 때에는, 예를들면 750℃의 온도에, 드라이 산화처리의 때에는, 예를들면 850℃의 온도로 한다고 하는 식으로, 처리에 따라서 가열부(306)를 온도제어부(341)를 통하여 소정의 온도로 제어하도록 구성되어 있다.
이어서, 본 제3실시예의 작용을 설명한다. 예를들면 CVD에 의한 실리콘 질화막의 성막처리를 하는 경우, 제10도에 나타낸 바와 같이, 웨이퍼(W)를 웨이퍼 보트(310)에 놓고 승강아암(308)의 상승에 의하여 반응관(303) 내에 로딩하고, 매니홀드(302)의 구멍부(302a)를 덮개체(309)로 닫는다.
이 처리의 경우, 제어장치(340)에 의하여 밸브(V1), (V2), (V3), (V7)가 열리고, 밸브(V3), (V5), (V6)이 닫혀짐과 동시에, 가열부(306)가 온도제어부(341)를 통하여 온도 750℃로 제어된다. 따라서 반응관(303) 내는, 진공펌프(334) 등의 구동에 의한 진공배기계(326)의 작동에 의하여 진공배기되고, 이 진공하 및 소정 온도하에서 처리가스 공급통로(314a), (314b)를 통하여 디클로로실란과 암모니아가 공급되는 것으로 되어, 웨이퍼(W)에는 실리콘 질화막이 성막된다.
이 진공하에서의 처리에서는, 상압배기계(327)의 한쌍의 밸브(V5), (V6)가 닫혀짐과 동시에, 이들 양 밸브(V5), (V6) 사이가 진공펌프(337)에 의하여 진공흡인되어 있다. 따라서 진공배기계(326)를 작동시켜서 처리를 한 때에는 예를들면 기밀성이 낮은 밸브라도 상압배기계가 한쌍의 밸브(V5), (V6)에 의하여 이중으로 차단되는 점, 및 양 밸브(V5), (V6) 사이가 진공흡인되어 밸브(V5) 전후의 압력차이가 작게 되는 점에서, 상압배기계(327)로부터의 배기가스나 퍼티클의 반응관(303)에의 역류를 방지할 수가 있다. 또, 이 진공하에서의 처리시에는, 분기관(328) 및 진공배기계(326)의 배관이 가열부재(329a)에 의하여 가열되어 있기 때문에, 배기가스 성분의, 예를들면 염화 암모늄의 석출불착을 방지할 수 있다.
이어서, 상기 처리종료 후, 피처리체를 이송하여 놓지 않고 다음 공정의 예를들면 드라이 산화처리를 하는 경우에는, 제어장치에 의하여 밸브(V3), (V5), (V6)이 열리고, 밸브(V1), (V2), (V4), (V7)이 닫아짐과 동시에, 가열부(306)가 온도제어부(341)를 통하여 온도 850℃로 제어된다. 따라서 반응관(303) 내는, 상압배기계(327)를 통하여 공장의 배기계에 의하여 상압(대기압)으로 배기되고, 이 상압하 및 소정온도하에서 처리가스 공급통로(314a)를 통하여 산소와 염화수소가 공급되는 것으로 되며, 웨이퍼(W)에는 드라이 산화처리가 실시된다.
이 상압하에서의 처리시에는, 분기관(328) 및 상압배기계(327)의 배관이 가열부재(329b)에 의하여 노점온도 이상으로 가열되어 있기 때문에, 배기성분의 결로를 방지할 수 있고, 건조상태에서는 비교적 불활성이지만 습기를 포함하는 것에 의하여 강한 부식성을 나타내는 염화수소에 의한 부식을 방지할 수 있다. 또, 염화수소는 처리장치(336)에 의하여 중화 또는 회수처리되는 것으로 된다.
이와 같이 열처리 장치(301)에서는, 반응관(303)의 배기계를 진공배기계(326)와 상압 배기계(327)로 구성하며, 이 상압 배기계(327)에 한 쌍의 밸브(V5), (V6)를 설치하여 진공하에서의 처리시에는 양 밸브(V5), (V6)를 닫음과 동시에 양 밸브(V5), (V6) 사이를 진공흡인하여 상압 배기계(327)로부터의 배기가스 등의 역류를 방지할 수 있도록 했기 때문에, 진공하에서의 처리 및 상압하에서의 처리 모두를 한 대의 장치로 가능하게 하였다.
따라서, 이 열처리 장치(301)에 의하면 설비단가의 저감이 도모될 뿐만 아니라, 웨이퍼(W)를 처리공정때마다 각 열처리 장치에 이동하여 바꿀 필요가 없기 때문에, 웨이퍼(W)의 품질 및 생산성 향상이 도모된다. 또, 고속열처리가 가능한 가열부(6)를 채택하고 있기 때문에, 생산성을 더욱 향상할 수 있다.
또, 열처리 장치(301)에 있어서는, 반응관(303)의 외관 플랜지(305a)와 매니홀드(302)와의 사이, 매니홀드(302)와 덮개체(309)와의 사이, 및 반응관(303)의 배기관부(325)와 배기계의 분기관(328)과의 사이에 이중의 O링(317a), (317b), (313a), (313b), (330a), (330b)가 설치되고, 또 O링(317a), (317b), (313a), (313b), (330a), (330b) 사이가 진공흡인되도록 구성되어 있는 점, 또 상압배기계(327)에는 한 쌍의 밸브(V5), (V6)가 설치되고, 진공하에서의 처리시에는 양 밸브(V5), (V6)를 닫음과 동시에 양 밸브(V5), (V6) 사이를 진공흡인하도록 구성되어 있는 점에서, 반응관(303) 내를 고진공도, 예를들어 1×10-6Torr 정도로 할 수가 있다.
또, 상압하에서의 처리시에는, 상기 O링(317a), (317b), (313a), (313b), (330a), (330b) 사이를 반드시 진공흡인할 필요는 없다.
또, 열처리 장치(301)에서는, 처리가스 공급용의 밸브(V1), (V2), (V3), 배기용의 밸브(V4), (V5), (V6) 및 진공흡인용의 밸브(V7)를 제어장치(340)에 의하여 처리에 따라서 바꾸고, 또 가열부(306)의 온도를 온도제어부(341)를 통하여 제어하도록 구성되어 있기 때문에, 작업자에게 숙련을 요하지 않고, 용이하게 운전할 수 있으며, 품질의 일정한 처리를 할 수 있다. 또, 열처리 장치(301)는, 각종의 열처리에 따른 밸브(V1)∼(V7)의 변환, 가열부(306)의 온도 및 처리시간을 제어장치(340)에 미리 입력하여 놓고, 처리메뉴의 선택에 의하여 처리를 하도록 하여도 좋다.
또, 본 발명은, 상기 제3실시예에 한정되는 것은 아니고, 본 발명의 요지의 범위내에서 여러 가지로 변형실시가 가능하다. 예를들면 상기 제3실시예에서는, 처리실인 반응관(303)에 하나의 배기관부(325)를 형성하고, 이 배기관부(325)에 분기관(328)을 통하여 진공배기계(326)와 상압배기계(327)를 접속하고 있으나, 반응관(303)에 2개의 배기관부를 형성하고 한 쪽의 배기관부에 진공배기계(326)를 접속하고, 다른 한쪽의 배기관부에 상압배기계(327)를 접속하도록 하여도 좋다.
또, 제3실시예에서는, 우선 감압 CVD에 의한 실리콘 질화막의 성막처리를 하고, 이어서 드라이 산화처리를 하도록 하고 있으나, 이 처리는 일예에 불과하고, 이 이외에도 확산, 어닐 등을 조합한 각종의 처리를 할 수 있는 것은 물론이다.

Claims (9)

  1. 하부가 뚤리어 내측으로 피처리체 수용보트에 재치된 피처리체를 수용하는 내관과, 하부가 뚫림과 동시에 상기 내관의 외측에 동심 형상으로 배치된 외관으로 구성되는 처리실과, 상기 처리실에 연결됨과 동시에 상기 처리실에 처리가스를 도입하기 위한 가스 도입포트()와, 상기 처리실내의 가스를 배기하는 가스배기 포트를 가지는 통체 형상의 매니홀드와, 상기 매니홀드의 구멍부를 개폐가능하게 밀폐하는 캡을 구비한 열처리 장치에 있어서, 상기 내관과 상기 외관과 상기 매니홀드를 내열 내부식성 재료로 형성함과 동시에, 이들을 일체적으로 성형하고, 상기 캡부의 처리실 측의 표면이 내열 내식성 재료로 구성되는 보호층으로 덮혀짐과 동시에, 상기 캡부와 상기 매니홀드의 접합부에 고온 내열 시일수단을 형성하도록 구성한 것을 특징으로 하는 열처리 장치.
  2. 제1항에 있어서, 상기 고온 내열 시일수단(44)이, 상기 매니홀드(34)와 상기 캡부(26)와의 사이에 설치되는 O링(48)과, 이 O링(48)을 냉각하기 위한 냉각기구(50)로 구성되는 것을 특징으로 하는 열처리 장치.
  3. 제1항에 있어서, 상기 고온 내열 시일수단(44)이, 상기 매니홀드(34)와 캡부(26)의 각각에 설치된 고리형상 홈부(46)와, 이 고리형상 홈부(46)에 각각 접속된 배기기구와, 상기 매니홀드(34)와 상기 캡부(26)와의 사이에 설치되는 고리형상의 박판의 시일부재로 구성되는 것을 특징으로 하는 열처리 장치.
  4. 금속제의 매니홀드 상에 내열 내부식성을 가지는 내관과 외관을 동심형상으로 설치하고, 상기 내관 내에 수용된 피처리체에 가열분위기하에서 아래쪽으로부터 처리가스를 공급하고, 처리후의 배기가스를 내관과 외관과의 사이를 통하여 배기하도록 한 열처리장치에 있어서, 상기 매니홀드에 접하는 상기 내관의 하단부와 상기 외관의 하단부와의 사이에 시일가스(S)를 분출시키기 위한 소정의 틈새를 형성한 것을 특징으로 하는 열처리 장치.
  5. 제4항에 있어서, 상기 매니홀드가 편평한 링형상으로 형성되고, 또 상기 외관의 하측부에는 배기가스를 위한 배기관부가 형성되어 있는 것을 특징으로 하는 열처리 장치.
  6. 제4항에 있어서, 상기 매니홀드에 접하는 상기 내관의 하단부와 외관의 하단부와의 사이에, 이들 사이를 시일하기 위한 시일부재를 설치한 것을 특징으로 하는 열처리 장치.
  7. 피처리체의 처리를 하는 처리실에 처리가스 공급부 및 배기계를 접속하여 되는 열처리 장치에 있어서, 상기 배기계를 진공배기계와 상압 배기계로 구성하고, 이 상압 배기계에 한 쌍의 밸브를 설치하며, 이들 한 쌍의 밸브 사이에 진공흡인 수단을 접속하여 되는 것을 특징으로 하는 열처리 장치.
  8. 제7항에 있어서, 상기 진공배기계 및 상압배기계에는, 배기가스 성분의 결로 및 석출을 방지하도록 가열하기 위한 가열수단이 설치되어 있는 것을 특징으로 하는 열처리 장치.
  9. 피처리체의 처리를 하는 처리실에, 처리가스 공급부와, 진공배기계와, 한 쌍의 밸브를 구비한 상압 배기계를 접속하여 되는 열처리 장치의 운전방법에 있어서, 상기 진공 배기계를 작동시켜서 처리를 하는 때에, 상기 상압배기계의 한 쌍의 밸브를 닫고, 이들 한 쌍의 밸브 사이를 진공흡인하는 것을 특징으로 하는 열처리 장치의 운전방법.
KR1019940016333A 1993-07-07 1994-07-07 열처리 장치 및 그 운전 방법 KR100210623B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP19277193A JP3256037B2 (ja) 1993-07-07 1993-07-07 熱処理装置
JP93-192771 1993-07-07
JP93-225071 1993-08-19
JP22507193A JP3278011B2 (ja) 1993-08-19 1993-08-19 熱処理装置
JP23585493A JP3267766B2 (ja) 1993-08-30 1993-08-30 熱処理装置及びその運転方法
JP93-235854 1993-08-30

Publications (1)

Publication Number Publication Date
KR100210623B1 true KR100210623B1 (ko) 1999-07-15

Family

ID=27326662

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940016333A KR100210623B1 (ko) 1993-07-07 1994-07-07 열처리 장치 및 그 운전 방법

Country Status (2)

Country Link
US (1) US5578132A (ko)
KR (1) KR100210623B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7649184B2 (en) 2003-03-07 2010-01-19 Canon Kabushiki Kaisha Processing method and system
KR101333363B1 (ko) 2006-10-13 2013-11-28 도쿄엘렉트론가부시키가이샤 열처리 장치
US20220301896A1 (en) * 2021-03-17 2022-09-22 Kioxia Corporation Substrate processing apparatus and substrate processing method

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5908504A (en) * 1995-09-20 1999-06-01 Memc Electronic Materials, Inc. Method for tuning barrel reactor purge system
JP3504784B2 (ja) * 1995-09-07 2004-03-08 東京エレクトロン株式会社 熱処理方法
JP3971810B2 (ja) * 1995-11-30 2007-09-05 三星電子株式会社 縦型拡散炉
TW506620U (en) * 1996-03-15 2002-10-11 Asahi Glass Co Ltd Low pressure CVD apparatus
US5849076A (en) * 1996-07-26 1998-12-15 Memc Electronic Materials, Inc. Cooling system and method for epitaxial barrel reactor
US5967142A (en) * 1997-02-24 1999-10-19 Minnesota Mining And Manufacturing Company Method for connecting two components, especially in the assembly of diaphragm valves
US5908292A (en) * 1997-03-07 1999-06-01 Semitool, Inc. Semiconductor processing furnace outflow cooling system
JP3270730B2 (ja) * 1997-03-21 2002-04-02 株式会社日立国際電気 基板処理装置及び基板処理方法
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
US6101844A (en) * 1998-02-10 2000-08-15 Silcon Valley Group Thermal Double wall reaction chamber glassware
US6039807A (en) * 1998-03-17 2000-03-21 Memc Electronic Materials, Inc. Apparatus for moving exhaust tube of barrel reactor
JPH11345778A (ja) * 1998-05-29 1999-12-14 Tokyo Electron Ltd 成膜装置のクリーニング方法及びそのクリーニング機構
US6224678B1 (en) * 1998-08-12 2001-05-01 Advanced Micro Devices, Inc. Modified thermocouple mounting bushing and system including the same
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
JP3543949B2 (ja) * 1999-11-09 2004-07-21 東京エレクトロン株式会社 熱処理装置
US6572924B1 (en) 1999-11-18 2003-06-03 Asm America, Inc. Exhaust system for vapor deposition reactor and method of using the same
JP2001203211A (ja) 2000-01-20 2001-07-27 Hitachi Kokusai Electric Inc 水素アニール処理方法及びその装置
JP3404674B2 (ja) * 2000-01-21 2003-05-12 株式会社真空技研 超高温熱処理装置
JP2001217198A (ja) * 2000-02-02 2001-08-10 Mitsubishi Electric Corp 半導体装置の製造方法
JP2001319921A (ja) * 2000-05-09 2001-11-16 Canon Inc プロセスチャンバ
JP4442841B2 (ja) * 2000-06-19 2010-03-31 コバレントマテリアル株式会社 減圧エピタキシャル成長装置およびその装置の制御方法
JP3872952B2 (ja) * 2000-10-27 2007-01-24 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US7108746B2 (en) * 2001-05-18 2006-09-19 Integrated Materials, Inc. Silicon fixture with roughened surface supporting wafers in chemical vapor deposition
KR100426987B1 (ko) * 2001-07-10 2004-04-13 삼성전자주식회사 반도체 제조용 종형의 저압화학기상증착 장치
TW564498B (en) * 2001-08-20 2003-12-01 Asml Us Inc Apparatus and method for insulating a seal in a process chamber
JP2003209063A (ja) 2001-11-08 2003-07-25 Tokyo Electron Ltd 熱処理装置および熱処理方法
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US20040060519A1 (en) * 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
TW589396B (en) * 2003-01-07 2004-06-01 Arima Optoelectronics Corp Chemical vapor deposition reactor
KR100481874B1 (ko) * 2003-02-05 2005-04-11 삼성전자주식회사 집적회로 제조에 사용되는 확산로 및 확산로의 냉각방법
SG155057A1 (en) * 2003-02-27 2009-09-30 Asahi Glass Co Ltd Outer tube made of silicon carbide and thermal treatment system for semiconductors
KR101052448B1 (ko) * 2003-03-28 2011-07-28 아사히 가라스 가부시키가이샤 반도체 열처리 장치
FR2872718B1 (fr) * 2004-07-08 2006-10-20 Sidel Sa Sa Procede de traitement d'un recipient comportant des phases de pompage a vide et machine pour sa mise en oeuvre
KR100697280B1 (ko) * 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
JP5032982B2 (ja) * 2005-03-28 2012-09-26 株式会社日立国際電気 熱処理装置及び基板の製造方法
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
GB2425539A (en) * 2005-04-29 2006-11-01 Boc Group Plc Deposition system with three way valve
JP4943669B2 (ja) * 2005-06-08 2012-05-30 東京エレクトロン株式会社 真空装置のシール構造
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
JP5128168B2 (ja) * 2006-04-24 2013-01-23 三菱電線工業株式会社 排気装置
KR100782484B1 (ko) * 2006-07-13 2007-12-05 삼성전자주식회사 열처리 설비
KR100806042B1 (ko) * 2006-08-31 2008-02-26 동부일렉트로닉스 주식회사 반도체 소자 제조장치 및 이를 이용한 반도체 소자제조방법
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
CN101542019B (zh) * 2007-04-19 2011-01-12 三菱电线工业株式会社 排气系统
DE102007063363B4 (de) * 2007-05-21 2016-05-12 Centrotherm Photovoltaics Ag Vorrichtung zur Dotierung und Beschichtung von Halbleitermaterial bei niedrigem Druck
JP5308679B2 (ja) * 2008-01-22 2013-10-09 東京エレクトロン株式会社 シール機構、シール溝、シール部材及び基板処理装置
JP4929199B2 (ja) * 2008-02-01 2012-05-09 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP4930438B2 (ja) * 2008-04-03 2012-05-16 東京エレクトロン株式会社 反応管及び熱処理装置
JP5188326B2 (ja) * 2008-08-28 2013-04-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、及び基板処理装置
US20100112191A1 (en) * 2008-10-30 2010-05-06 Micron Technology, Inc. Systems and associated methods for depositing materials
US9181097B2 (en) * 2009-02-19 2015-11-10 Sundew Technologies, Llc Apparatus and methods for safely providing hazardous reactants
EP2481082B1 (en) * 2009-09-25 2017-06-21 Ferrotec (USA) Corporation Hybrid gas injector
JP5504793B2 (ja) * 2009-09-26 2014-05-28 東京エレクトロン株式会社 熱処理装置及び冷却方法
JP2011077065A (ja) * 2009-09-29 2011-04-14 Tokyo Electron Ltd 熱処理装置
US9513003B2 (en) * 2010-08-16 2016-12-06 Purpose Company Limited Combustion apparatus, method for combustion control, board, combustion control system and water heater
JP5549552B2 (ja) * 2010-11-12 2014-07-16 東京エレクトロン株式会社 真空処理装置の組み立て方法及び真空処理装置
JP2012195565A (ja) * 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法及び半導体装置の製造方法
US9349621B2 (en) 2011-05-23 2016-05-24 Lam Research Corporation Vacuum seal arrangement useful in plasma processing chamber
JP5878813B2 (ja) * 2011-06-21 2016-03-08 東京エレクトロン株式会社 バッチ式処理装置
JP5966649B2 (ja) * 2012-06-18 2016-08-10 東京エレクトロン株式会社 熱処理装置
CN102766853B (zh) * 2012-07-24 2016-03-09 上海华虹宏力半导体制造有限公司 直立式沉积炉管
JP5960028B2 (ja) * 2012-10-31 2016-08-02 東京エレクトロン株式会社 熱処理装置
US9322097B2 (en) * 2013-03-13 2016-04-26 Applied Materials, Inc. EPI base ring
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
KR101557016B1 (ko) * 2013-10-17 2015-10-05 주식회사 유진테크 기판 처리장치
US10224222B2 (en) * 2014-09-09 2019-03-05 Asm Ip Holding B.V. Assembly of liner and flange for vertical furnace as well as a vertical process furnace
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
KR20170048787A (ko) * 2015-10-27 2017-05-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
JP6706901B2 (ja) * 2015-11-13 2020-06-10 東京エレクトロン株式会社 処理装置
US11041836B2 (en) 2016-11-08 2021-06-22 O.I. Corporation Catalyst guard
US20180274615A1 (en) * 2017-03-27 2018-09-27 Goodrich Corporation Common vacuum header for cvi/cvd furnaces
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
EP3707746B1 (en) 2017-11-11 2023-12-27 Micromaterials LLC Gas delivery system for high pressure processing chamber
WO2019099125A1 (en) 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
SG11202103763QA (en) 2018-11-16 2021-05-28 Applied Materials Inc Film deposition using enhanced diffusion process
JP6890114B2 (ja) * 2018-12-05 2021-06-18 株式会社Kokusai Electric 基板処理装置及び半導体装置の製造方法
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN110610878B (zh) * 2019-09-24 2022-01-11 北京北方华创微电子装备有限公司 冷却装置、半导体处理腔室及设备
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
JP7228612B2 (ja) 2020-03-27 2023-02-24 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、基板処理方法及びプログラム

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0642474B2 (ja) * 1988-03-31 1994-06-01 株式会社東芝 半導体製造装置
JPH0252420A (ja) * 1988-08-16 1990-02-22 Tel Sagami Ltd 処理装置
JP2654996B2 (ja) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 縦型熱処理装置
US5016567A (en) * 1988-08-26 1991-05-21 Tel Sagami Limited Apparatus for treatment using gas
JP2670515B2 (ja) * 1988-08-26 1997-10-29 東京エレクトロン株式会社 縦型熱処理装置
JP2926865B2 (ja) * 1990-04-19 1999-07-28 日本精工株式会社 回転速度検出用転がり軸受ユニット
KR0171600B1 (ko) * 1990-02-26 1999-03-30 이노우에 아끼라 밀봉장치
JP2733532B2 (ja) * 1990-02-26 1998-03-30 東京エレクトロン株式会社 熱処理装置
US5127365A (en) * 1990-02-27 1992-07-07 Kabushiki Kaisha Toshiba Vertical heat-treatment apparatus for semiconductor parts
US5279670A (en) * 1990-03-31 1994-01-18 Tokyo Electron Sagami Limited Vertical type diffusion apparatus
JP2939638B2 (ja) * 1990-05-11 1999-08-25 東京エレクトロン株式会社 縦型熱処理装置
JP3049079B2 (ja) * 1990-09-27 2000-06-05 株式会社東芝 半導体製造装置の製造方法及び半導体装置
US5167716A (en) * 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
US5076206A (en) * 1990-10-31 1991-12-31 Texas Instruments Incorporated Vertical LPCVD reactor
JPH04206631A (ja) * 1990-11-30 1992-07-28 Tokyo Electron Sagami Ltd 熱処理装置
JP2839720B2 (ja) * 1990-12-19 1998-12-16 株式会社東芝 熱処理装置
JP3007432B2 (ja) * 1991-02-19 2000-02-07 東京エレクトロン株式会社 熱処理装置
JP3106172B2 (ja) * 1991-02-26 2000-11-06 東京エレクトロン株式会社 熱処理装置の封止構造
JPH0536609A (ja) * 1991-08-01 1993-02-12 Mitsubishi Electric Corp 半導体製造装置
JP3164248B2 (ja) * 1992-06-11 2001-05-08 東京エレクトロン株式会社 熱処理装置
US5458685A (en) * 1992-08-12 1995-10-17 Tokyo Electron Kabushiki Kaisha Vertical heat treatment apparatus
US5324540A (en) * 1992-08-17 1994-06-28 Tokyo Electron Limited System and method for supporting and rotating substrates in a process chamber
JP3153945B2 (ja) * 1992-10-30 2001-04-09 ソニー株式会社 減圧cvd装置
JP3134137B2 (ja) * 1993-01-13 2001-02-13 東京エレクトロン株式会社 縦型処理装置
JP3348936B2 (ja) * 1993-10-21 2002-11-20 東京エレクトロン株式会社 縦型熱処理装置
JP3125199B2 (ja) * 1993-03-18 2001-01-15 東京エレクトロン株式会社 縦型熱処理装置
JP3073627B2 (ja) * 1993-06-14 2000-08-07 東京エレクトロン株式会社 熱処理装置
US5484484A (en) * 1993-07-03 1996-01-16 Tokyo Electron Kabushiki Thermal processing method and apparatus therefor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7649184B2 (en) 2003-03-07 2010-01-19 Canon Kabushiki Kaisha Processing method and system
KR101333363B1 (ko) 2006-10-13 2013-11-28 도쿄엘렉트론가부시키가이샤 열처리 장치
US20220301896A1 (en) * 2021-03-17 2022-09-22 Kioxia Corporation Substrate processing apparatus and substrate processing method

Also Published As

Publication number Publication date
US5578132A (en) 1996-11-26

Similar Documents

Publication Publication Date Title
KR100210623B1 (ko) 열처리 장치 및 그 운전 방법
KR100330130B1 (ko) 열처리 방법 및 그 장치
KR100359351B1 (ko) 종형열처리장치
KR100572083B1 (ko) 종형열처리장치
KR100240262B1 (ko) 처리용기를 봉하여 막는 장치
US6283175B1 (en) Enveloping device and vertical heat-treating apparatus for semiconductor process system
US6030457A (en) Substrate processing apparatus
JP3230836B2 (ja) 熱処理装置
JP4361614B2 (ja) 半導体基板のエッジ成膜の制御
US20090205783A1 (en) Substrate processing apparatus
JP2008539564A (ja) 異なる環境での処理を可能とする基板処理プラットフォーム
US7674336B2 (en) Processing apparatus
US20020046810A1 (en) Processing apparatus
JP3278011B2 (ja) 熱処理装置
KR100658847B1 (ko) 산화 처리장치
JP4963336B2 (ja) 熱処理装置
JP3256037B2 (ja) 熱処理装置
JP4361668B2 (ja) 熱処理装置及びその方法
JP3267766B2 (ja) 熱処理装置及びその運転方法
JP2691159B2 (ja) 縦型熱処理装置
JP4437851B2 (ja) 処理装置
JP4115331B2 (ja) 基板処理装置
US20030205192A1 (en) Film forming method
JPH11111632A (ja) 縦型熱処理装置
JP2000021799A (ja) 枚葉式熱処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130404

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20140401

Year of fee payment: 16

EXPY Expiration of term