KR100359351B1 - 종형열처리장치 - Google Patents

종형열처리장치 Download PDF

Info

Publication number
KR100359351B1
KR100359351B1 KR1019940007581A KR19940007581A KR100359351B1 KR 100359351 B1 KR100359351 B1 KR 100359351B1 KR 1019940007581 A KR1019940007581 A KR 1019940007581A KR 19940007581 A KR19940007581 A KR 19940007581A KR 100359351 B1 KR100359351 B1 KR 100359351B1
Authority
KR
South Korea
Prior art keywords
heat treatment
wafer
chamber
crack plate
loading
Prior art date
Application number
KR1019940007581A
Other languages
English (en)
Inventor
오오가세와타루
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Application granted granted Critical
Publication of KR100359351B1 publication Critical patent/KR100359351B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • C30B35/005Transport systems

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

발열원을 부착한 종형의 프로세스용기와, 이 프로세스 용기의 아래쪽 로 입구로부터 피처리물을 탑재하여 끼울고 빼기하는 로우딩기구를 구비하고, 이 로우딩기구는 승강 구동부에 의하여 승강하는 통축과, 이통축의 상단에 설치된 균열 플레이트와, 이 균열 플레이트 내에 설치되어 피처리물체를 수평으로 유지하여 균열플레이트와는 독립하여 회전하면서 상하 운동하는 회전홀더로 된다. 이와 같은 구성에 의하여 대구경화하는 반도체웨이퍼나 LCD 기판 등의 대형의 처리물에 있어서도, 온로분포의 균일화를 도모한 채 소정의 처리온도까지 급격하게 가열할 수 있고, 고정밀도한 열처리가 효율 좋게 할 수 있도록 된다.

Description

종형 열처리장치
본 발명은 예를 들면, 반도체나 LCD기판 등의 피처리물 제조공정에 있어서 이용되는 종형 열처리장치에 관한 것이다.
종래 예를 들면, 반도체소자의 제조공정에 있어서는 반도체 웨이퍼나 LCD 기판 등의 피처리물에 산화막의 형성이나 열 CVD 법에 의한 박막형성이나 열확산 방법에 의한 고불순물 농도영역의 형성 등의 처리를 실시하기 위하여 각종의 열처리장치가 사용되고있다.
이 종류의 열처리장치로서는 종래의 횡형의 것으로부터 최근에서는 각종의 제어가 쉽게 되는 것으로서 종형의 것이 주류를 이루고 있다. 이 종형 열처리 장치는 예를 들면, 석영제 등의 단면 역 U자 형상을 이루는 종형의 프로세스용기의 상면이나 주위에 히터 등의 발열원을 부착 설치하고, 이 프로세스 용기의 아래쪽으로부터 웨이퍼보트 등의 로딩기구에 의하여 피처리물을 수평상태로 유지한채 그 프로세스용기 내에 반입하고, 그 피처리물을 그 프로세스용기 내에서 소정의 처리온도로 가열함과 동시에 소정의 처리가스를 프로세스 용기 내에 도입하여 그 피처물에 각종의 처리를 하는 구성으로 되어있다.
그런데 이와 같은 종형 열처리장치에서는 피처리체의 면내에서의 막질이나 막두께의 균일화를 도모하도록 열처리의 고정밀도화나 처리효율의 상승 등이 요구된다. 더구나 최근 반도체프로세스는 보다 미세화가 진행되고, 이와 함께 반도체 웨이퍼의 구경도 8 인치로부터 12 인치로 대구경화가 진행되고있다. 또 LCD기판 등의 대형의 것을 열처리하는 필요가 많게 되고, 이것에 따라 열처리의 새로운 고정밀도화나 열처리의 새로운 처리의 효율의 상승 등의 엄격한 요구가 부과되어 오고있다.
이와 같은 요구에 따르기 위하여는 종형 열처리장치의 프로세스용기 내에 삽입한 피처리물을 어떻게 하여 단시간에 효율 좋게 가열처리 하든가, 또 이때 피처리물의 온도분포의 면내 균일성을 어떻게 형성시키는가가 중요하게 된다.
따라서 이와 같은 종래의 종형 열처리장치에 있어서 프로세스 용기의 상면이나 히터 등의 발열원을 설치하고, 이들 발열원의 출력을 콘트롤하여 복사열에 의하여 그 프로세스 용기내의 피처리체를 가열하는 것만으로 이들 이상의 균열효과를 높이는 수단이 채택되고 있지 않다. 특히 대구경의 반도체 웨이퍼나 LCD 기판 등의 대형의 피처리물의 경우 급속한 가열이 처리온도와의 관계로부터 어려움과 동시에 피처리물의 주위방향에 부분적으로 온도 불균일이 발생하거나, 그 중심부보다 주연부의 방향의 방열양이 크기 때문에 중앙부와 주변부와에 온도차가 발생하고, 피처리물의 온도분포의 면내 균일성의 향상의 가능하고, 그 때문에 상술한 바와 같은 엄격한 요구에 따르는 것이 가능하지 않다.
본 발명은 상기 문제점을 감안하여 이루어진 것으로 그 목적으로 하는 바는 대구경화의 반도체 웨이퍼나 LCD 기판 등의 대형의 피처리물에 있어서도, 온도분포의 면내 균일화를 도모하면서 소정의 처리온도까지 급속하게 가열되고 고정밀도한열처리가 효율 좋게 될 수 있도록 되는 종형 열처리장치를 제공하는 것이다.
본 발명은 상기 목적을 달성하기 위하여 발열원을 부설한 종형의 프로세스 용기와, 피처리물을 유지하고, 상기 프로세스 용기 내에 그 아래쪽으로부터 끼우고 빼고 하는 로우딩 기구를 구비하고, 피처리물을 프로세스 용기 내에서 소정의 처리온도로 가열하여 소정의 처리를 하는 종형 열처리장치로서 상기 로딩기구는 승강 구동부에 의하여 프로세스 용기 내에 그 아래쪽으로부터 끼우고 빼기가 가능한 승강부재와, 이 승강부재의 상부에 설치하는 균열플레이트와, 이 균열플레이트의 위쪽에 피처리물을 수평상태로 유지하고 독립하여 회전하는 회전 홀더를 가지는 구성으로 한 것을 특징으로 한다.
또 상기 회전홀더는 피처리물을 수평상태로 유지한 채 회전하면서 프로세스 용기 내에 독자로 업다운 동작하여 그 피처리물을 프로세스 용기내의 가열위치와 처리위치로 급속하게 이동 가능한 구성으로 한다.
또 상기 로우딩기구는 회전홀더에 유지된 피처리물의 하면에 위쪽으로부터 성막방지용 가스를 분사하는 가스 분출수단을 가진다. 이 성막방지용 가스의 분사에 의하여 피처리물의 배면 성막을 방지하면서 이 표면만의 성막 처리를 할수가 있다.
상기 구성의 본 발명의 종형 열처리장치이면, 반도체 웨이퍼나 LCD 기판등의 피처리물을 로우딩기구의 승강부재 상부의 균열 플레이트 위쪽에 회전홀더에 의하여 수평상태로 유지하여 회전시키면서, 프로세스 용기 내에 삽입하고, 이 프로세스 용기에 부설한 발열원으로부터 열에 의하여 그 피처리물을 가열 처리한다. 이 때문에 그 피처리물이 대구경화의 웨이퍼나 LCD 기판 등의 대형의 것이라도 균열 플레이트의 균열효과와 회전홀더의 회전에 의하여 그 피처리물의 주위방향의 부분적인 온도 불균일이나 중앙부와 주위부와의 온도차가 적게되고, 온도분포의 면내 균일화를 도모하면서 효율 좋게 소정의 처리온도까지 매우 빨리 가열할 수 있고, 고 정밀도한 처리가 효율 좋게 행하도록 된다.
또 피처리물을 수평상태로 유지한 회전홀더를 회전하면서 프로세스 용기내에서 독자로 업다운 동작시키는 것으로 그 프로세스 용기내의 고온의 가열위치로 피처리물을 이동시키어 소정의 처리온도까지 급속하게 가열하면서 매우 빨리 피처리물을 프로세스 용기내의 적절 온도의 처리위치로 이동시키어 처리하는 것이 가능하게 되고, 이들에서 한층 효율 상승 및 온도분포의 면내 균일화가 도모되도록 된다.
또 피처리물을 열처리하는 때에 로우딩기구의 가스 분출수단에 의하여 성막 방지용 가스를 회전홀더에 유지된 피처리물의 하면에 아래쪽으로부터 분사하는 것으로 이 성막 방지용 가스에 의하여 프로세스 용기 내에 도입되는 처리가스가 피처리물의 배면쪽으로 흐르지 않고, 그 피처리물의 배면의 성막을 방지하면서 즉, 불필요한 면에 성막시키지 않고 표면만 성막 처리 할 수 있도록 된다.
이하 도면을 사용하여 본 발명의 종형 열처리장치의 1 실시예를 설명한다. 또 제 1 도는 본 실시예에 관한 종형 열처리장치의 대략 전체를 나타내는 종단면도이다.
이 종형 열처리장치는 피처리물로서 예를 들면, 반도체 웨이퍼 (이하 단순히 웨이퍼라 칭함)에 절연막을 생성하는 산화장치 또는 CVD 장치로서 이용되는 것이다.
먼저 제 1 도에 있어서 부호 1 는 전체가 기밀성을 갖는 크로즈 박스구조를 이루는 장치본체를 나타낸다. 이 장치본체 (1)내의 상반부가 열처리실 (2), 하반부가 피처리물로서 웨이퍼 (W)를 열처리실에 끼우고 빼기 (로우드, 언로우드)하는 로딩실 (4)로 되어있다.
또 이장치 본체 (1)의 열처리실 (2) 및 로딩실 (4)은 어느 쪽도 이들의 주위 벽이 예를 들면, 스테인레스제의 2 중벽 구조로 되고, 그 내외벽 사이가 물을 도통할 수 있는 수냉 자켓트 (5)로 되어있다. 따라서 이 장치본체 (1)내가 외부와 열격리되고, 열처리실 (2)내에서 외부에 열영향을 주는 일 없이 고온 열처리를 가능함과 동시에 열처리후의 웨이퍼 (W)의 로딩실 (4)내에서의 냉각효율을 높게 하도록 되어있다.
열처리실 (2)내에서는 프로세스 용기 (프로세스튜브)(11)가 세워 설치되어 있다.
이 프로세스 용기 (11)는 일종의 가열로로서 예를 들면, 내열성이 우수하고, 오염이 적은 석영 등에 의하여 형성된 헤드형상, 즉 이 하단에 로 구멍을 개구하고, 상단 폐색의 종형 대략 원추형상을 이루고 있다.
또 열처리실 (2)내의 프로세스 용기 (11)의 외주 하단부에는 상승과 거의 동일한 수냉구조의 매니홀드 (3)가 고정 설치되고, 상기 열처리실 (2)과 로우딩실 (4)를 칸막이함과 동시에 이 매니홀울드 (13)를 통하여 상기 프로세스 용기(11)내의 가스를 외부에 진공 (감압)배기 하는 배기관 (14)과, 외부의 가스 공급원으로부터 소정의 처리가스 및 N2 가스등의 불활성 가스를 상기 프로세스 용기(11)내에 상반부로 부터 공급하는 가스 도입관 (15)이 관통 설치되어 있다.
또한 상기 열처리실 (2)내의 프로세스 용기 (11)의 주위에는 발열원으로서 제 1 히터 유니트 (21)와 제 2 및 제 3 의 히터 유니트 (22),(23)가 배열설치되어 있다.
제 1 의 히터 유니트 (21)는 프로세스 용기 (11)의 위쪽에 평면적으로 설치된 것으로 예를 들면, 알루미나 세라믹 등으로 이루어지는 대략 평면형상의 단열판 (24)의 하면에 면형상 발열체로서 예를 들면, 이규화몰리브덴 또는 철과 크롬과 알루미늄의 합금으로 이루어지는 칸탈 (상품명)선 등을 이용한 히터 에레베이터 (25)를 면형상으로 배열 설치하고, 또 그 내면 쪽에 평면형상의 균열판(26)을 설치한 구성으로 이루어진다. 또 균열판 (26)은 면형상 발열체에 발열불일치 즉 히터 에레베이터 (25)로부터 프로세스 용기 (11)내에 입사하는 복사열로 분포 불일치가 생기고 있는 경우에 그 분포 불일치를 해소하는 것으로 고온의 복사열에 대하여도 내열성에 우수한 오염도가 적은 재질 예를 들면, 탄화규소(SiC) 또는 석영 또는 그라파이드의 표면에 탄화규소를 코팅하여 되는 구성이다.
제 2 및 제 3 의 히터 유니트 (22),(23)는 프로세스 용기 (11)의 외주의 상단부위와 중단부위를 둘러쌓도록 환형상으로 설치된 보조 히터이고, 각각 상술과 거의 동일하게 단열판 (27)과, 이 내면쪽에 설치된 발열체로서의 U자형의 히터 에레베이터 (28)와, 또 내면 쪽에 배열하는 균열판 (29)으로 구성되어있다.
또 이 제 2 및 제 3 의 히터 유니트 (22),(23)의 경우 단열판 (27) 및 균열판 (29)는 각각 원통형상을 이루고, 그 사이의 히터 에레베이터 (28)는 주위 방향에 걸쳐 배열하는 저항히터를 사용한 구성으로 되어있다. 또 제 2 및 제 3 의 히터유니트 (22),(23)의 단열판 (27)에는 제 1 및 제 2, 제 3 의 각 히터유니트 (21),(22),(23) 상호의 열 간섭을 차단하기 위하여 리부 형상의 구분 볼록부(27a),(27b)가 돌출 설치되어 있다.
이와 같은 구성에 의하여 프로세스 용기 (11)내부가 제 4A 도에 나타낸 바와 같이 주로 제 1 히터유니트 (21)의 면형상 발열체에 의한 입사열 에너지를 받은 최상단의 제 1 존 (A)과, 주로 제 2 의 히터유니트 (22)의 발열체에 의한 복사 에너지를 받은 상단 치우친 제 2 존 (B)과 주로 제 3 의 히터 유니트 (23)의 발열체에 의한 입사열 에너지를 받은 중단의 제 3 존 (C)으로 되어있다. 이들 제 1 내지 제 3 존 (A),(B),(C)에 있어서의 가열온도가 제 1 내지 제 3 의 히터유니트 (21),(22),(23)의 파워 제어에 의하여 각각 임의로 설정 가능하게 되어있다.
이와같은 제 1 내지 제 3 의 히터 유니트 (21),(22),(23)의 외주면과 상기 장치본체 (1)내면과의 공간에는 또 외부와의 단열성을 높게 하도록 제 1 도에 나타낸 바와 같이 예를 들면, 석영월 (wall) 등으로 되는 단열재 (29)가 막혀 넣어져 있다.
한편 장치본체 (1)의 하반부의 로딩실 (4)내에는 제 1 도에 나타낸 바와 같이 피처리물로서의 웨이퍼 (W)를 1 매씩 유지하여 상기 프로세스 용기 (11)내에 그 아래쪽으로부터 이탈하는 로딩기구 (웨이퍼보트 에레베이터) (31)가 설치되어 있다.
이 로우딩기구(31)는 승강구동부(32)와 이것으로부터 프로세스 용기(11)내에 그 아래쪽으로부터 삽탈이 가능한 승강부재로서 외통축(33)과, 이 상단부에 설치된 균열 플레이트(34)와 또 이 위쪽에 배열된 웨이퍼 (W)를 유지하는 호울더(35)를 가지고 있다.
이 외통축(33)은 비교적 두꺼운 길이인 중공형상의 것으로, 프로세스 용기(11)의 하단 로입구의 중심축 상에 수직으로 배열 설치되고, 로우딩실(4)의 바닥부에 끼워 설치된 축받이(34)를 통하여 상하 운동 가능하게 관통하여 설치되어 있다.
이 외통축(33)이 구동부(32)에 의하여, 승강 시켜진다. 또, 승강구동부(32)는 로우딩실(4)의 아래쪽에 설치된 모우터에 의하여, 정역 회전되는 나사축(38)과, 이것에 나사 맞춤하여, 나사 이송작용에 의하여 상하 이동하는 승강지지아암(39)으로 구성되고, 이 승강지지아암(39)에 외통축(33)이 지지되어 승강 하도록 되어 있다.
또, 외통축(33)의 승강시에 로우명실(4) 내의 외기에 대하여, 기밀성을 유지하도록 축받이(37)와 승강지지아암(39)과의 사이에, 신축 가능한 벨로우즈(뱀배형상)가 설치되어 있다.
균열 플레이트(34)는 동일하게 고온의 복사열에 대하여도 내열성에 우수하고, 오염도가 적은 재질, 예를 들면 고순도 탄화규소(SiC) 또는 석영으로 된다.
또, 이 형상은 제 2 도 및 제 3 도에 나타난 바와 같이, 주위에 상승주연부(34a)를 가지는 원형 접시형상을 이루고, 외통축(33)의 상단부에 수평으로 설치됨과 동시에, 중앙부에 외통축(33)내와 연이어 통하는 개구(33b)를 가지고 있다.
이 균열플레이트(34)는 웨이퍼 (W)를 상하 이동할 때에 냉각방지를 위하여 그 웨이퍼 (W)의 외형 치수보다 더 α (약 30mm)정도 대구경으로 되어 있다.
회전홀더(35)는 회전중심축(42)을 가지며, 이 회전중심축(42)의 상단으로부터 제 2 도와 제 3 도에 나타난 바와 같이, 주위방향으로 등배치하여, 예를 들면 4개의 가는 지지봉 (43)을 방사형상으로 돌출하고, 그 각 지지봉(43)의 상단 부를 적어도 윗쪽에 L자 형상으로 굴곡함과 동시에 이들의 상단부에 내측에 경사된 컷트한 사면부(43a)를 가지는 구성으로 되어 있다.
이들 각 사면부(43a)에서 웨이퍼 (W)의 외주하측 주연부(에지)의 4 개소를 받아 그 웨이퍼 (W)를 균열 플레이트 (34)의 위쪽에 수평상태로 탑재 유지한다. 또 이 회전 홀더(35)도 상술과 동일하게 고온의 복사열에 대하여도 내열성이 우수하고 오염도가 적은 재질 예를 들면, 고순도 탄화규소(SiC) 또는 석영으로 구성되어 있다. 회전 홀더(35)의 회전중심축(42)와 제 1 도와 나타난 바와 같이 소구경 길이로 됨으로서, 균열플레이트(34) 중앙개구로부터 외통축(33)내에 상하운동 가능하게 관통 설치되어 있다.
이 중심축(42)은 외통축(33)보다도 아래쪽으로 길게 돌출하여, 상승구동부(32)의 승강지지아암(39)에 장착한 서브 승강구동부(45)의 승강지지아암(46)에 지지되어 있다.
따라서, 이 회전홀더(35)는 회전중심축(42)을 통하여, 외통축(33)과 함께 상하 운동함과 동시에, 서브 승강구동부(45)의 모터(47)에 의하여, 정역 회전하는 나사축(48)의 나사이동 작용으로 독자적으로 매우 빨리 상하운동(업다운 동작)하도록 되어 있다.
이 회전중심축(42)이 상하 운동할 때의 외통축(33)내의 하단부의 외기에 대한 기밀성을 유지하도록 그 외통축(33)의 하단과 승강지지아암(46)과의 사이에 신축가능한 벨로우즈(뱀배형상)가 설치되어 있다.
또, 회전홀더(35)의 회전중심축(42)은 서브승강구동부(45)의 승강지지아암(46)의 장착한 회전구동부(51)의 모터(52)의 구동에 의하여 기어(53),(54)를 통하여, 회전되도록 되어 있다.
결국, 로우딩기구(31)는 균열플레이트(34)의 윗 쪽에 웨이퍼 (W)를 회전홀더(35)에서 수평상태로 유지하여 회전하면서 외통축(33)과 함께 상승하여, 프로세스 용기(11)내에 장착된다.
또, 회전홀더(35)가 회전하면서 프로세스 용기(11)내에 독립하여, 업다운 동작하고, 그 웨이퍼 (W)를 프로세스 용기(11)내에 제 4 도에 나타내는 고온가열위치(예를 들면, A존)와 적성 온도의 처리위치(예를 들면, B존)에 매우 빨리 이동할 수 있도록 되어 있다.
또, 제 1 도에 나타낸 바와 같이 로우딩기구(31)는 프로세스 용기(11)내에서의 웨이퍼 열처리 중에 있어서의 가스시일과, 열차폐를 목적으로써 외통축(33)의 상단방향 치우친 도중에 뚜껑(55)를 가지고 있다.
이 뚜껑(55)은 외통축(33)의 상승에 의하여 프로세스 용기(11)의 로 입구에시일재(55A)를 통하여, 접합하여 폐색하고, 그 프로세스 용기(11)내에 로우딩실(4)로부터 완전하여 차단한 밀봉상태로 될 수 있다.
또, 이 뚜껑(55)의 상면부에는 중공환 형상의 수냉판부(56)를 가지며, 이 수냉판부(56)내에 외통축(33)속을 통하여, 그 하단부를 통수호스(57),(58)에 의하여, 물을 도통 순환시킬 수 있도록 되어 있다.
또, 이 수냉판부(56)의 윗쪽에 발열핀과 같은 석영제의 복수매의 얇은 반사판(59)이 다단으로 용접 등의 수단에 의하여 고정 부착되어 있다.
또, 로우딩기구(31)는 프로세스 용기(11)내에서 웨이퍼 (W)를 열처리할때 그 웨이퍼 (W)의 하면에 성막 방지용 가스를 미량이지만, 분사하는 가스분출 수단으로서, 제 2 도와 제 3 도에 나타낸 바와 같이, 외통축(33)과 회전중심축(42)과의 사이에 상하에 걸쳐 가스 도통로(61)를 가지며, 이 상단이 상기 균열플레이트(34)의 중앙개구(34b)와 연이어 통하고, 또, 그 하단이 제 6 도에 나타난 바와 같이 벨로우즈(49)내에 연이어 통하며, 이 벨로우즈(49)내의 외부로부터 성막방지용 가스를 도입하는 가스 호스(62)가 접속되어 있다.
또, 로우딩기구(31)는 회전홀더(35)에 탑재 유지한 웨이퍼 (W)의 온도를 검출하여 각종 제어를 하기 때문에 회전중심축(42)도 중공형상으로 하고, 이 하단으로부터 온도센서(63)가 꼭끼도록 장착되어 있다.
이와 같은 로우딩기구(31)에 의하여 웨이퍼 (W)를 프로세스 용기 내에 끼우고 빼기할 때에 그 로입구 부근에서도 꽤 고온도 분위기상태이고, 여기에 대기가 존재하면, 웨이퍼 (W)에 자연 산화막이 형성되어 버릴 우려가 있다.
그리하여, 상기 로우딩실(4)내에는 예를 들면, N2 가스등의 불활성가스 분위기(비산소분위기)의 클로우즈 구조(대기와 격리)로 하는 것이 바람직하다. 그리고 그 로우딩실(4)내의 한쪽 상하부에 가스공급수단으로서 N2가스 도입노즐(65),(66)가 설치되고, 이들과 반대쪽에 배기관(67),(68)이 설치되어 있다. 또, 로우딩실(4)내에 피처리물로서의 웨이퍼 (W)를 대기와 격리하여, 출입하기 위하여 로드록실(70)이 연이어 설치되어 있다.
이 로드록실(70)은 대기와, 불활성가스와의 치환이 되도록 비교적 적은 박스형상으로 상부에 N2 가스등의 도입관(71)이 하부에 배기관(72)이 설치됨과 동시에, 양단에 게이트밸브(73),(74)가 설치되고, 내부에 웨이퍼 (W)를 일시 재치하여 두는 버퍼(75)와, 이재실(핸드링 로보트)(76)이 설치되어 있다. 결국 이 로우드록실 (70)은 한쪽의 게이트밸브 (73)를 열어 외부로부터 로봇 등에 의하여 웨이퍼 (W)가 버퍼 (75)에 넣어지고, 그 일단의 게이트밸브 (73)를 닫은 상태에서 로드록실 (70)내를 불활성 가스 분위기로 치환한때 다른 쪽의 게이트 밸브(74)를 열어 이재실 (76)에 의하여 웨이퍼 (W)를 1 매씩 로딩실 (4)내의 로우딩기구 (31)의 회전홀더 (35)에 이재할 수 있다. 처리종료 웨이퍼 (W)는 그 역의 순서로 외부로 취출할 수 있다. 따라서 로우딩실 (4)로부터 프로세스 용기 (11)에서의 웨이퍼 (W)의 반입 및 그 역의 반출을 모두 외부의 대기와 격리한 N2가스등의 불활성 가스 분위기 하에서 행할 수가 있는 구조로 되어있다.
또 로우드록실 (70)은 로우딩실 (4)내에서의 웨이퍼 (W)의 출입작업을 효율 좋게 행하기 위하여 그 로우딩실 (4)의 전후 대향위치에 1 개씩 합계 2 개(한쪽 도시생략)설치되고, 한쪽 통행으로 웨이퍼 (W)의 출입을 하도록 하고있다.
또 로우딩실 (4)의 옆부에는 수냉 자켓트로 덮여진 역 E자 형상 박스(80)가 그 로우딩실 (4)내에 개구하는 상태로 연이어 설치되고, 이 박스 (80)내에 내열성 및 단열성에 우수한 재질로 되는 로입구를 폐색할 수 있는 단면형상을 갖는 로입구샤터 (81)와 로우딩실 (4)을 상하 구분 할 수 있는 단면형상을 가지는 구분 샤터 (82)가 설치되어있다. 이들 로입구 샤터 (81)와 구분 샤터 (82)는 서로 상하로 평행간격을 존재한 상태에서 각각의 끝단이 선회 승강 구동기구(83)의 에어실린더 (84)의 로보트 (85)에 연결 지지되어있다. 또 그 선회 승강구동기구 (83)는 승강용 에레베이터 (84)와 이 로드 (85)를 선회시키는 선회용의 모터 (86) 및 서플라이기어 (87),(88)를 가지고있다.
이 로입구샤터 (81)와 구분샤터 (82)와는 상기 로우딩기구 (31)의 승강시 그 마모가 되지 않도록 상기 박스 (80)내에 퇴피시키고, 로우딩기구 (31)의 하강한때에 선회 승강 구동기구 (83)의 모터 (85)의 구동으로 로드 (84)가 회전하는 것으로 로우딩실 (4)내 중앙에 선회 이동한다.
또 에레베이터 (84)의 구동으로 로드 (85)와 함께 울려지고, 이 위쪽의 로입구샤터 (81)가 상기 프로세스 용기 (11)하단의 로입구에 시일재 (55A)를 통하여 맞닿아 폐색하고, 프로세스 용기 (11)내의 가스시일을 함과 동시에 열이 로우딩실 (4) 내 쪽으로 도피되는 것을 방지한다. 동시에 아래쪽의 구분 샤터 (82)가 로우딩실 (4)내를 상하로 구분한다.
또 이 구분 샤터 (82)는 그 중앙에 원추형상 오목부 (82a)를 가지며, 로우딩실 (4) 내 쪽에 선회할 때 그 원추형상 오목부 (82a)내에 미리 하강하여 있는 로우딩기구 (31)의 회전 중심축 (42)을 받아 넣도록 하여 균열 플레이트 (34)와 회전홀더 (35)와의 사이에서 로우딩실 (4)를 상하 구분하는 구성으로 되어있다. 이곳에서 프로세스 용기(11)내로부터 로우딩실(4)내에 언로우딩한 고온상태의 처리마침 웨이퍼 (W)를 로우딩기구 (31)의 동일한 고온상태의 균열 플레이트(34)나 반사판 (59)등과 별개이고, 각각의 냉각을 효율 좋게 할 수 있도록 되어 있다.
이 냉각은 상하의 가스 도입노즐 (65),(67)로부터의 불활성 가스도입과 이들 가스 배기관 (68),(69)으로부터의 흡입 배기를 한다.
또 로입구 샤터 (81)와 구분샤터 (82)는 그 냉각효과를 일층 높히도록 중공 원판형상으로 이루고, 이 내부에 로드 (85)속을 통하여 그 하단부에 설치된 통수 호스 (89),(90)에 의하여 물을 도통순환 할 수 있는 냉각조로 되어있다.
또 모터 (47),(52),(86) 및 승강구동부 (32)의 모터 (324)는 기억부(101)에 메모리된 데이타에 의하여 제어장치 (100)를 통하여 제어되도록 되어있다.
다음과 같은 구성의 본 발명의 종형 열처리장치의 작용을 기술한다. 먼저 제 1 도에 나타낸 바와 같이 프로세스 용기 (11)내 및 로우딩실 (4)내를 미리 N2 가스등의 불활성가스 분위기로 치환하여두고, 이 상태에서 로우드록실 (70)내에 게이트 밸브 (73)를 통하여 외부로부터 웨이퍼 (W)를 반입한다. 이 로드록실(70)내를 대기로부터 불활성 가스에 치환하고 나서 그 안쪽의 게이트 밸브 (74)만을 열고, 이재실 (76)에 의하여 1 매의 웨이퍼 (W)를 취출하고 제 4A 도에 나타낸 바와 같이 로우딩실(4)내의 로우딩 기구 (31)의 회전 홀더 (35)상에 이제 유지한다.
이 상태에서 제 4B 도에 나타낸 바와 같이 로입구 샤터 (81)와 구분샤터(82)가 박스 (80)내에 퇴피하고, 로우딩기구 (31)가 제 4C 도에 나타내도록 상승하여 웨이퍼 (W)를 프로세스 용기 (11)내에 장착함과 동시에 프로세스 용기 (11)의 하단 로 입구를 마개 (55)에서 폐색한다.
이때 프로세스 용기 (11)의 상면쪽의 제 1 의 히터 유니트 (21)는 1200℃ 정도의 일정한 높은 가열온도를 유지하도록 제어하고 최상단의 제 1 존 (A)을 고온도의 가열위치로 하여 설정한다. 프로세스 용기 (11)의 외주 상단부의 제 2 히터 유니터 (22)는 최초는 높은 온도에서 웨이퍼 (W)가 상승하여 오면 동시에 800℃ 정도로 하강하도록 제어하고 상단으로 치우친 제 2 존 (B)을 적절한 처리온도의 처리위치로서 설정한다. 프로세스 용기 (11)의 외주 중단부의 제 3 의 히터유니터 (23)는 최초는 높은 설정온도에서 그리고 웨이퍼 (W)가 상승하여 오면 동시에 600℃ 정도로 하강하도록 제어하고, 중단의 제 3 존 (C)을 적절한 온도상태로 설정한다.
이와 같이 가열상황의 프로세스 용기 (110내에 상술한 바와 같이 웨이퍼(W)를 외통축 (33) 상단의 균열 플레이트 (34) 위쪽에 회전홀더 (35)에 의하여 수평상태로 유지한 채 회전시키면서 제 2 존 (B)까지 상승한다. 그리고 대구경의 웨이퍼 (W)이어도 균열 플레이트 (34)의 균열효과와 회전홀더 (35)에 의하여 그 웨이퍼 (W)가 주위방향의 부분적인 온도 불균일이나 중앙부와 주변부와의 온도차를 거의 생기지 않도록 거의 균일한 면내 온도분포에서 효율 좋게 가열 되도록 된다.
또 제 4D 도에 나타낸 바와 같이 회전홀더 (35)가 균열 플레이트 (34)로부터 독립하여 웨이퍼 (W)를 회전시키면서 상술한 바와 같이 고온 가열상태의 최상단의제 1 존 (A)까지 상승하고, 그리하여 웨이퍼 (W)를 급격하게 가열하고, 소정의 처리온도까지 도달하면, 매우 빨리 웨이퍼 (W)를 최적인 온도의 처리위치로서 설정한 B 존에 하강시킨다. 이와 같이 업다운 동작에 의하여 급격한 온도(파워)변화에서 웨이퍼 (W)의 면내 온도를 보다 한층 균일화하면서 매우 효율 좋게 소정의 처리온도 까지 한번에 가열할 수 있도록 된다.
이와 같이 소정의 처리온도 까지 가열한 웨이퍼 (W)는 최적인 처리온도의 처리위치로서 설정한 제 2 존 (B)에 정지시키고, 여기에서 제 4 도에 나타낸 바와 같이 프로세스 용기 (11)내의 N2가스를 배기 하면서 소정의 처리가스를 위쪽으로 공급하고, 그 웨이퍼 (W)의 표면에 소망의 열처리 (성막)를 한다.
또 이때 제 1 도에 나타낸 바와 같이 로우딩기구 (31)의 하단의 가스 호스 (62)로부터 성막방지용 가스를 가스 도입로 (61)에 공급하고, 이 가스를 균열플레이트 (34)의 중앙 개구 (34b)로부터 웨이퍼 (W)의 배면 (하면)에 향하여 제 2 도에 나타낸 바와 같이 미량인 채 분사한다. 여기에서 그 성막 방지용 가스가 균열 플레이트 (34)와 웨이퍼 (W)와의 간격으로 흐르고 처리가스가 그 웨이퍼(W)의 배면 쪽에 흘러들어 오는 것을 방지하고, 이 웨이퍼 (W)의 배면의 성막을 방지하면서 즉 불필요한 면에 성막하지 않고 표면만 성막처리할 수 있도록 된다.
이와 같은 열처리 후는 프로세스 용기 (11)내의 처리가스를 진공 흡입하여 배출하고, 이 대신에 N2등의 불활성 가스를 도입하여 퍼지 한다. 이 상태에서 처리마침 웨이퍼 (W)를 상기 삽입시와의 역의 수순으로 반출한다.
또 그 반출시 로우딩기구 (31)가 기구 (31)가 하강하여 제 4 도에 나타낸 바와 같이 웨이퍼 (W)를 프로세스 용기 (11)내로부터 로우딩실 (4)로 인출하나, 여기에서 웨이퍼 (W)를 유지하는 회전홀더 (35)를 로우딩실 (70)과 거의 동등한 높이로 유지한 채 외통관 (33)을 더 하강하여 제 4A 도에 나타낸 상태로 된다. 이 상태에서 로 입구샤터 (81)와 구분 샤터 (82)가 로우딩실 (4)내에 진출, 선회, 상승하고, 로 입구 샤터 (81)가 프로세스 용기 (11)하단의 로 입구를 폐색하여 그 프로세스 용기 (11)내로부터 로우딩실 (4)안쪽으로 도피하는 것을 방지한다.
동시에 하단의 구분 샤터 (82)가 균열 플레이트 (34)와 회전홀더 (35)와의 사이에서 로우딩실 (4)을 상하로 구분한다. 이곳에서 상하의 가스도입 노즐(65),(67)로부터의 불활성 가스도입과, 이들 가스의 배기관 (68),(69)으로부터의 흡입 배기에 의하여 상기 고온상태의 처리 마침 웨이퍼 (W)와, 로우딩기구 (31)과 동일한 고온상태의 균열 플레이트 (34)나 반사판 (59)등과를 구별하여, 각각 효율 좋게 냉각한다. 이와 같은 처리를 한 후 그 처리 마침 웨이퍼 (W)를 로드록실 (70)을 통하여 외부에 반출하도록 된다.
또 본 발명은 상술한 실시예 만에 한정되지 않고, 본 발명의 요지를 이탈하지 아니한 범위이면, 여러 가지 변경이 가능하다. 예를 들면, 상기 실시예의 종형 열처리장치는 피처리물로서 반도체 웨이퍼 (W)에 절연막을 생성하는 산화장치 또는 CVD 장치로서 이용되는 것이지만 처리물의 종류나 처리의 종류는 특히 한정되는 일없이 LCD기판 등의 다른 종류의 처리를 하는 처리장치에 있어서도 좋은 것은 물론이다. 이들 처리의 종류에 따라서 상술한 N2가스 이외의 불활성가스를 공급하도록 하여도 좋다.
또 처리가스로서는 폴리실리콘 박막을 형성하는 것이면 SiH4 가스를 사용하고, 실리콘 질화막을 형성하는 것이면, NH4 가스 및 SiH2Cl2가스를 사용한다. 또 이 각 히터 유니터 (22∼23)의 가열능력도 처리의 내용에 따라서 정하면 된다. 예를 들면, 산화장치의 경우는 처리온도를 800∼1200℃정도로 설정할 수 있도록 구성하고, 또 CVD 장치의 경우는 500∼1000℃정도로 설정하도록 제어하면 된다.
본 발명의 종형 열처리장치는 상술한 바와 같이 구성한 것으로 대구경화의 반도체 웨이퍼나 LCD 기판 등의 대형의 피처리물이어도 온도분포의 면내 균일화를 도모하면서 서정의 처리온도까지 매우 빠르게 가열할 수 있고, 고정밀도로 열처리가 효율 좋게 할 수 있는 효과가 얻어진다.
제 1 도는 본 발명의 종형 열처리장치의 1 실시예의 개략 종단면도,
제 2 도는 제 1 도에 나타낸 종형 열처리장치의 로딩기구의 상단에 설치된 균열 플레이트와 회전홀더의 종단면도,
제 3 도는 제 2 도에 나타낸 균열 플레이트와 회전홀더 및 뚜껑의 사시도,
제 4A 도로부터 제 4E 도는 제 1 도에 나타낸 종형 열처리장치의 동작을 순서로 나타내는 설명도이다.
<도면의 주요 부분에 대한 부호의 설명>
1 : 장치본체 2 : 열처리실
3 : 매니홀드 4 : 로우딩실
5 : 수냉자켓트 11 : 프로세스용기
21,22,23 : 히터 유니트 24 : 단열판
25,28 : 히터 에레베이터 26,29 : 균열판
27 : 단열판 27a,27b : 볼록부
33 : 외통축 34 : 플레이트
34a : 상승주연부 34b : 중앙개구
35 : 호울더 38 : 나사축
39 : 지지아암 42 : 회전중심축
43 : 지지봉 43a : 사면부
45 : 승강구동부 46 : 지지아암
47,52 : 모터 48 : 나사축
49 : 벨로우즈 53,54 : 기어
55: 뚜껑 55A : 시일재
56 : 수냉판부 57,58 : 통수호스
59 : 반사판 61 : 도통로
62 : 가스호스 63 : 온도센서
65,66 : 도입노즐 68,69 : 배기관
70 : 로드록실 72 : 배기관
73,74 : 게이트 밸브 75 : 버퍼부
76 : 이재실 80 : 박스
81 : 로입구 샤터 82 : 구분샤터
82a : 오목부 83 : 승강구동기구
84 : 에어실린더 85 : 로보트
87,88 : 서플라이 기어 100 : 제어장치
101 : 기억부 A : 제 1 존
B : 제 2 존
C : 제 3 존
W : 웨이퍼

Claims (3)

  1. 발열원을 부착한 종형 프로세스용기와, 피처리물을 유지하여 그 프로세스용기 내에 그 아래쪽으로부터 끼우고 떼기하는 로딩기구로 되고,
    그 로딩기구가 승강구동부에 의하여 상기 프로세스 용기 내에 그 아래쪽으로부터 끼우고 빼기가 자유로운 승강부재와, 그 승강부재의 상단에 설치된 균열 플레이트와, 그 균열 플레이트의 위쪽에서 피처리물을 수평상태로 유지한 채 상기 균열 플레이트로부터 독립하여 회전시키고 그 피처리물을 프로세스 용기내의 가열위치와 처리위치로 이동시키는 회전홀더로 이루어지고,
    피처리물을 프로세스 용기 내에서 소정의 처리온도로 가열하여 소정의 처리를 하는 종형 열처리 장치.
  2. 제 1 항에 있어서, 상기 로우딩기구의 상단 방향으로 치우진 도중에 설치되는 것으로서, 상기 승강부재가 균열 플레이트의 아래쪽으로 상승한 때 프로세스용기의 하단로 입구를 폐색하는 뚜껑을 상기 로우딩기구의 외통축에 부착함과 동시에 그 뚜껑의 위쪽에 복수매의 반사판을 축방향으로 소정간격으로 설치한 종형 열처리장치.
  3. 제 1항에 있어서, 상기 프로세스용기의 아래쪽에 로우딩실을 설치하고, 그 로우딩실 내에 불활성 가스분위기 치환시에 선회하여 상기 프로세스용기의 로입구를 폐색하는 로입구샤터와 상기 로우딩싱 내를 상하로 구분하는 구분 샤터를 상하에 간격을 두어 각각 평행하게 설치된 종형 열처리장치.
KR1019940007581A 1993-04-13 1994-04-12 종형열처리장치 KR100359351B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP93-86340 1993-04-13
JP08634093A JP3190165B2 (ja) 1993-04-13 1993-04-13 縦型熱処理装置及び熱処理方法

Publications (1)

Publication Number Publication Date
KR100359351B1 true KR100359351B1 (ko) 2003-03-03

Family

ID=13884129

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940007581A KR100359351B1 (ko) 1993-04-13 1994-04-12 종형열처리장치

Country Status (3)

Country Link
US (1) US5443648A (ko)
JP (1) JP3190165B2 (ko)
KR (1) KR100359351B1 (ko)

Families Citing this family (265)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
JP3982844B2 (ja) * 1995-01-12 2007-09-26 株式会社日立国際電気 半導体製造装置及び半導体の製造方法
US6036482A (en) * 1995-02-10 2000-03-14 Tokyo Electron Limited Heat treatment method
WO1996024949A1 (fr) 1995-02-10 1996-08-15 Tokyo Electron Limited Procede de traitement thermique et appareil
US5662470A (en) * 1995-03-31 1997-09-02 Asm International N.V. Vertical furnace
KR100224659B1 (ko) * 1996-05-17 1999-10-15 윤종용 종형 기상 성장 장치용 캡
US6395363B1 (en) * 1996-11-05 2002-05-28 Applied Materials, Inc. Sloped substrate support
NL1005410C2 (nl) * 1997-02-28 1998-08-31 Advanced Semiconductor Mat Stelsel voor het laden, behandelen en ontladen van op een drager aangebrachte substraten.
KR100252213B1 (ko) * 1997-04-22 2000-05-01 윤종용 반도체소자제조장치및그제조방법
US6673673B1 (en) 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
US5899653A (en) * 1997-06-23 1999-05-04 Applied Materials, Inc. Two-stage vacuum bellows
US5948300A (en) * 1997-09-12 1999-09-07 Kokusai Bti Corporation Process tube with in-situ gas preheating
US6210483B1 (en) 1997-12-02 2001-04-03 Applied Materials, Inc. Anti-notch thinning heater
FR2786208B1 (fr) * 1998-11-25 2001-02-09 Centre Nat Rech Scient Procede de croissance cristalline sur substrat et reacteur pour sa mise en oeuvre
US6582780B1 (en) * 1999-08-30 2003-06-24 Si Diamond Technology, Inc. Substrate support for use in a hot filament chemical vapor deposition chamber
US6692209B1 (en) * 1999-11-19 2004-02-17 Litton Systems, Inc. Method and system for manufacturing a photocathode
JP3479020B2 (ja) * 2000-01-28 2003-12-15 東京エレクトロン株式会社 熱処理装置
JP2002170823A (ja) * 2000-09-19 2002-06-14 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法並びにそれに使用されるカバー部材
KR100345304B1 (ko) * 2000-10-12 2002-07-25 한국전자통신연구원 수직형 초고진공 화학증착장치
US6483081B1 (en) * 2000-11-27 2002-11-19 Novellus Systems, Inc. In-line cure furnace and method for using the same
US6506252B2 (en) 2001-02-07 2003-01-14 Emcore Corporation Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition
KR100776843B1 (ko) * 2001-02-09 2007-11-16 동경 엘렉트론 주식회사 성막 장치 및 Ti막 성막 장치
US20020139400A1 (en) * 2001-03-27 2002-10-03 Semitool, Inc. Vertical process reactor
JP4668471B2 (ja) * 2001-07-17 2011-04-13 富士通セミコンダクター株式会社 縦型炉
JP3554297B2 (ja) * 2001-07-26 2004-08-18 株式会社エフティーエル 半導体基板熱処理装置及び半導体素子の製造方法
JP2003213421A (ja) * 2002-01-21 2003-07-30 Hitachi Kokusai Electric Inc 基板処理装置
US7524532B2 (en) * 2002-04-22 2009-04-28 Aixtron Ag Process for depositing thin layers on a substrate in a process chamber of adjustable height
US6935638B2 (en) * 2003-02-21 2005-08-30 Blue29, Llc Universal substrate holder for treating objects in fluids
US7026581B2 (en) * 2003-08-22 2006-04-11 Axcelis Technologies, Inc. Apparatus for positioning an elevator tube
US20050051196A1 (en) * 2003-09-08 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd., Developer dispensing apparatus with adjustable knife ring
CN100356505C (zh) * 2003-12-26 2007-12-19 清华大学 带竖立式热处理腔的半导体快速热处理设备
KR100527671B1 (ko) * 2004-02-19 2005-11-28 삼성전자주식회사 웨이퍼 상에 막을 형성하는 방법
JPWO2005122231A1 (ja) * 2004-06-09 2008-04-10 株式会社エフティーエル 半導体装置製造用加熱炉及び半導体装置の製造方法
WO2008032668A1 (en) * 2006-09-11 2008-03-20 Ulvac, Inc. Vacuum evaporation processing equipment
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
JP4913695B2 (ja) * 2007-09-20 2012-04-11 東京エレクトロン株式会社 基板処理装置及びそれに用いる基板載置台
US8603246B2 (en) 2008-01-30 2013-12-10 Palo Alto Research Center Incorporated Growth reactor systems and methods for low-temperature synthesis of nanowires
JP5088331B2 (ja) * 2009-01-26 2012-12-05 東京エレクトロン株式会社 熱処理装置用の構成部品及び熱処理装置
JP5732284B2 (ja) * 2010-08-27 2015-06-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
JP5254295B2 (ja) * 2010-09-22 2013-08-07 株式会社東芝 成膜装置
JP2012195565A (ja) * 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法及び半導体装置の製造方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10224182B2 (en) * 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
TWI576938B (zh) 2012-08-17 2017-04-01 斯克林集團公司 基板處理裝置及基板處理方法
JP6100487B2 (ja) * 2012-08-20 2017-03-22 株式会社Screenホールディングス 基板処理装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
SG11201508512PA (en) * 2013-05-23 2015-12-30 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
EP3100298B1 (en) 2014-01-27 2020-07-15 Veeco Instruments Inc. Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11393703B2 (en) * 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61191015A (ja) * 1985-02-20 1986-08-25 Hitachi Ltd 半導体の気相成長方法及びその装置
JPH0693439B2 (ja) * 1987-03-20 1994-11-16 株式会社日立製作所 半導体ウエハの熱処理装置
US4857689A (en) * 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
JPH0642474B2 (ja) * 1988-03-31 1994-06-01 株式会社東芝 半導体製造装置
JP2728488B2 (ja) * 1989-02-17 1998-03-18 株式会社日立製作所 半導体ウエハの熱処理装置
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5148714A (en) * 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
JP3230836B2 (ja) * 1992-04-09 2001-11-19 東京エレクトロン株式会社 熱処理装置

Also Published As

Publication number Publication date
JPH06302523A (ja) 1994-10-28
US5443648A (en) 1995-08-22
JP3190165B2 (ja) 2001-07-23

Similar Documents

Publication Publication Date Title
KR100359351B1 (ko) 종형열처리장치
KR100910292B1 (ko) 열 처리 장치
JP3230836B2 (ja) 熱処理装置
US5592581A (en) Heat treatment apparatus
JP2005533378A (ja) 熱処理装置及び設定可能な垂直チャンバ
US20090291566A1 (en) Substrate Processing Apparatus, Coolant Gas Supply Nozzle and Semiconductor Device Manufacturing Method
JP4924395B2 (ja) 処理装置及び処理方法
JPH11204442A (ja) 枚葉式の熱処理装置
JP2000323487A (ja) 枚葉式熱処理装置
KR19980018624A (ko) 화학기상증착, 플라즈마강화 화학기상증착 또는 플라즈마 에치 반응기로부터의 배기 가스 처리 방법 및 장치
EP1443543B1 (en) Thermal treating apparatus
JPH09260364A (ja) 熱処理方法および熱処理装置
KR100658847B1 (ko) 산화 처리장치
KR100481609B1 (ko) 반도체 제조 장치 및 반도체 장치의 제조 방법
JPH02138728A (ja) 熱処理方法及びその装置
JPH09232297A (ja) 熱処理装置
JP3451137B2 (ja) 基板の熱処理装置
KR0171618B1 (ko) 종형 열처리장치
JPH11204443A (ja) 枚葉式の熱処理装置
JP2002313796A (ja) 基板熱処理装置
JPH09143691A (ja) 成膜・熱処理装置
JP4115331B2 (ja) 基板処理装置
JP5403984B2 (ja) 基板の熱処理装置
JP4954176B2 (ja) 基板の熱処理装置
JP2006261309A (ja) 基板処理装置

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20061011

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee