KR100572083B1 - 종형열처리장치 - Google Patents

종형열처리장치 Download PDF

Info

Publication number
KR100572083B1
KR100572083B1 KR1020000001077A KR20000001077A KR100572083B1 KR 100572083 B1 KR100572083 B1 KR 100572083B1 KR 1020000001077 A KR1020000001077 A KR 1020000001077A KR 20000001077 A KR20000001077 A KR 20000001077A KR 100572083 B1 KR100572083 B1 KR 100572083B1
Authority
KR
South Korea
Prior art keywords
gas supply
heat treatment
treatment apparatus
purge gas
fixing member
Prior art date
Application number
KR1020000001077A
Other languages
English (en)
Other versions
KR20000053440A (ko
Inventor
혼마마나부
시마즈도모히사
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20000053440A publication Critical patent/KR20000053440A/ko
Application granted granted Critical
Publication of KR100572083B1 publication Critical patent/KR100572083B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like

Abstract

복수의 반도체 웨이퍼를 웨이퍼 보트내에 다단으로 유지하고, 종형의 반응용기내에 축구멍을 통하여 바닥부로부터 관통하여 이루어지는 회전축 상단의 턴테이블상에 놓인 웨이퍼 보트를 회전시키면서 웨이퍼에 대하여 열처리를 행하는 종형열처리장치에 있어서, 회전축의 관통부분을 시일함에 있어 반응용기내로부터 시일부로의 가스나 수분의 침입을 억제하여, 시일부재에 악영향이 미치는 것을 억제한다. 축구멍(33)과 회전축(4) 사이의 틈새의 공간을 고정부재(34)와 외각부재(44) 사이의 공간으로 연이어 접속하여, 그 고정부재(34)와 외각부재(44) 사이에 자기 시일부(7)를 설치한다. 이에 따라, 반응용기로부터 자기 시일부(7)까지의 축구멍(33)의 길이를 길게 하여, 감압CVD를 행할 때에 반응용기내로부터 자기 시일부(7)에 가스나 수분이 침입하는 것을 억제함과 동시에, 가열로(21)에 의해 고온가열되고 있는 반응용기로부터 열의 영향을 작게 하여, 자성유체의 열화를 방지한다.

Description

종형열처리장치{VERTICAL THERMAL TREATMENT APPARATUS}
도 1은 본 발명의 실시형태에 따른 종형열처리장치의 전체구성을 나타내는 종단면도.
도 2는 도 1의 종형열처리장치에 있어서의 회전축의 관통부분을 나타내는 확대단면도.
도 3은 도 2에 나타내는 장치에 있어서의 퍼지가스 공급로를 설명하는 도면.
도 4는 도 3에 나타내는 관조인트의 확대단면도.
도 5는 도 4에 나타내는 관조인트의 변형예의 확대단면도.
도 6은 종래의 종형열처리장치에 있어서의 회전축의 관통부분을 나타내는 종단면도.
<도면의 주요 부분에 대한 부호의 설명>
2, 10 : 반응관 2a : 내관
2b : 외관 3, 12 : 덮개체
3a, 22a : O 링 4, 13 : 회전축
4a : 축소직경부분 4b : 대직경부분
7, 18 : 자기 시일부 7a : 자로부재
7b, 18b : 자성유체 11, 22 : 매니폴드
14 : 통형상부 15, 24 : 턴테이블
16, 41 : 풀리 17,81,82 : 축받이부
18a : 지지부재 21 : 가열로
23 : 웨이퍼보트(피처리기판 유지구) 24a : 기초단부
25 : 보온통 26 : 가스공급관
27 : 배기관 28, 38 : 돌기
30 : 래버린스 31 : 보트 엘리베이터
32 : 수평부재 33 : 축구멍
34, 74 : 고정부재 34a : 단차부
35 : 돌출부분 36, 61 : 와셔
37, 62 :너트 42 : 벨트
43 : 모터 44 : 외각부재
44a : 바닥 44b : 관통구멍
45 : 고리형상홈 46 : 가스유통로
47 : 측벽부 48 : 누름부재
63 : 캡 64,65 : 볼트
71, 86 : 스페이서 72 : 자기시일
83 : 내륜부재 84 : 외륜부재
85 : 볼 91 : 가스공급로
92 : 유량제어장치 93 : 가스공급원
95 : 가스가압장치 111a : 수지배관
118 : 가스도입접속부 121 : 오리피스(조임 수단)
121a, 121b : 오리피스 121d : 원판
121h : 구멍 122, 122A : 관조인트
122a : 나사부 122b : 유니언 본체
122c : 유니언 너트 W : 웨이퍼
본 발명은 진공분위기하에서 처리가스를 사용하여 피처리기판을 열처리하기 위한 반응용기내에, 축구멍을 통해 회전축을 관통하여 이루어지는 형식의 종형열처리장치에 관한 것이다.
반도체 웨이퍼(이하, 웨이퍼라 함)를 배치(batch)로 열처리하는 장치로서 종형열처리장치가 알려져 있으며, 이 종형열처리장치는 감압CVD라고 하는 성막처리나, 산화처리, 불순물의 확산처리 등에 이용되고 있다. 어느 장치나 종형의 반응용기내에 웨이퍼를 다단으로 유지한 웨이퍼보트를 통상아래쪽에서 반입하여 웨이퍼보트를 지지하고 있는 덮개체에 의해 반응용기의 하단 개구부를 기밀이 유지되게 막 도록 구성되어 있다.
이러한 종류의 장치에 있어서는, 웨이퍼의 면내에서 열처리의 균일성을 향상시키기 위해 웨이퍼보트를 수직인 축의 둘레로 회전시키는 경우가 있고, 그 경우에는 덮개체 속을 회전축이 관통하고, 이 회전축을 통해 웨이퍼보트를 지지하도록 구성하고 있다.
감압CVD로에 있어서, 회전축이 덮개체를 관통하여 반응용기내에 도입되는 데에 필요한 도입기구에 대하여 도 6을 참조하면서 설명한다. 이 도면은 2중관인 반응관(10)의 하부에 설치된 매니폴드(11)의 하단 개구부가 덮개체(12)에 의해 닫혀진 상태를 나타내고 있다. 회전축(13)은 덮개체(12)의 아래쪽에 설치된 금속제의 통형상부(14)내를 관통하며, 그 상단에는 턴테이블(15)이, 또한 하단에는 도시하지 않은 모터로 구동되는 풀리(16)가 각각 부착되어 있다. 통형상부(14)와 회전축(13)의 사이에는 축받이부(17)가 설치되고, 그 위에는 반응용기[본 예에서의 반응용기는 반응관(10) 및 매니폴드(11)로 구성됨]내와 외부를 기밀하게 시일하기 위한 자기 시일부(18)가 설치되어 있다.
자기 시일부(18)는 회전축(13)을 둘러싸는 지지부재(18a) 및 자성유체(18b)로 이루어지며, 자성유체(18b)에 의해 통형상부(14)의 반응용기쪽의 내부공간과 외부의 사이를 기밀하게 시일하는 것이다. 지지부재(18a)는 종단면이 U자형인 복수의 자로(磁路)부재를 상하방향으로 배열하여 구성되어 있으며, 각 자로부재의 일끝단부와 회전축(13)과의 사이에 자성유체(18b)를 자기에 의해 가두고 있다.
상기 종래의 구성에서는, 성막처리시에 성막가스가 회전기구내에 침입하면 성막가스가 차가운 부분에 접촉하여 반응부생성물이 생성되고, 이것이 자기 시일부(18)의 자성유체(18b)에 부착하여 회전이 둔해질 우려가 있다.
한편, 본 발명자는 매니폴드(11)의 내식화를 도모하는 등의 연구에 의해, CVD를 행하는 로와, 산화, 확산을 행하는 로의 공통화를 검토하고 있는데, 이렇게 공통화를 행하면 상기 종래의 구성에서는, 습식 산화를 행할 때 회전기구내에 수분이 침입하고, 이 수분에 의해 자기 시일부(18)의 자성유체(18b)가 열화한다고 하는 문제가 있다.
그래서, 덮개체(12)를 관통하는 회전축(13)을 설치하는 대신에 금속 통형상부(14)의 관통구멍을 없애고, 회전축(13)과, 풀리(16)에 연결된 바깥쪽 축을 마그네트 커플링으로 연결하는 구조를 사용하는 것도 생각할 수 있다. 이러한 구조는 미국특허 제 5,324,540호 명세서에 기재되어 있다. 그런데, 마그네트 커플링구조는 윤활재로서 수지제 고체를 사용하고 있으므로, 내하중성이 작고, 큰 하중이 걸리면 축이 어긋날 우려가 있다. 또한, 마그네트 커플링구조는 세라믹제의 베어링을 사용하고 있지만, 이 베어링이 고온시에 팽창하고 마찰에 의해 파티클을 발생시킬 우려가 있으므로, 파티클의 발생을 방지하기 위해서 약간의 틈새를 형성하고 있으며, 그 때문에 상온시에 흔들거림이 발생한다.
본 발명은 이러한 사정을 감안하여 이루어진 것으로, 그 목적은 진공분위기하에서 성막처리를 행하는 반응용기내에 회전축이 관통되고, 그 관통부분을 시일함 에 있어서, 반응용기내에서 시일부로의 가스나 수분의 침입을 억제하여, 시일부재에 악영향이 미치는 것을 억제하는 데에 있다.
본 발명에 의하면, 종형의 반응용기와, 이 반응용기의 바닥부를 막는 덮개체와, 이 덮개체를 아래쪽에서 위쪽으로 세로방향으로 관통하여 반응용기내부에 삽입되는 회전축과, 반응용기내에서 상기 회전축에 설치되어 피처리기판 유지구를 지지하는 턴테이블과, 피처리기판 유지구를 회전시키기 위해 상기 회전축을 회전구동시키는 회전구동장치를 구비하는 종형열처리장치에 있어서, 상기 덮개체에 고정되고, 또한 상기 회전축을 끼워 통과시키는 축구멍을 가진 대략 통형상의 고정부재와, 상기 축구멍과 상기 회전축 사이의 틈새를 상기 고정부재의 바깥쪽 공간에 연이어 접속하기 위해 상기 고정부재의 바깥쪽을 위쪽으로 개방된 공간을 통하여 둘러싸는 측벽부, 및 상기 고정부재의 하단부 바로 아래에 상기 회전축 바깥둘레면에 기밀하게 고정된 바닥부를 가진 바닥이 있는 통형상의 외각부재와, 고정부재의 상기 측벽부 안쪽의 위쪽으로 개방된 상기 공간의 상부 근방의 위치에 상기 고정부재와 상기 측벽부의 사이에 설치된 축받이부와, 고정부재의 상기 측벽부 안쪽의 위쪽으로 개방된 상기 공간의 하부 근방의 위치에, 상기 고정부재와 상기 측벽부의 사이에 상기 고정부재의 외벽면과 상기 측벽부의 내벽면을 시일하도록 설치된 시일부를 구비하는 열처리장치가 제공된다.
시일부는 자성유체를 시일재로서 사용하는 자기 시일부로 할 수 있다. 또한, 시일부보다 반응용기 근방의 위치에 상기 축구멍과 상기 회전축과의 사이의 틈새내에 퍼지용 가스를 공급하도록 상기 고정부재에 퍼지가스 공급로를 접속할 수 있다. 외각부재의 바닥부 윗면에는 고리형상의 홈을 형성하고, 고정부재의 하단에 형성되는 고리형상 돌출부분을 고리형상 홈에 걸어맞춤시키는 구성을 취할 수 있다.
퍼지가스 공급로는 불활성 가스공급원에 접속되고, 퍼지가스 공급로에는 그 내부를 대기압보다 높은 압력으로 유지하는 수단을 설치하는 것이 바람직하다. 또한, 퍼지가스 공급로는 가요성 합성수지관으로 구성하는 것이 유리하다. 퍼지가스 공급로의 내부를 대기압보다 높은 압력으로 유지하는 수단은 퍼지가스 공급로에 설치한 가스가압장치와, 이 가스가압장치보다 상기 고정부재에 가까운 위치의 퍼지가스 공급로에 설치한 조임수단으로 구성할 수 있다. 또한, 조임수단은 퍼지가스 공급로에 설치한 관조인트에 조립하도록 하면 유리하다.
(실시예)
도 1은 본 발명의 실시형태에 따른 종형열처리장치의 전체구성을 나타내는 도면이다. 도면 중 2는 석영으로 만들어진 내관(2a) 및 외관(2b)으로 이루어진 이중구조의 반응관으로, 이 반응관(2)의 주위에는 가열로(21)가 설치되어 있다. 이 반응관(2)의 하부쪽에는 금속제의 통형상의 매니폴드(22)가 O 링(22a)을 통하여 기밀하게 접합되어 설치되어 있다. 매니폴드(22)의 하단 개구부로부터는 다수의 웨이퍼(W)를 다단형상으로 유지하는 피처리기판 유지구인 웨이퍼 보트(23:)가 반입되고, 이 개구부는 덮개체(3)에 의해 닫혀진다. 이 때, 덮개체(3)와 매니폴드(22)의 밀봉부분은 O 링(3a)에 의해 기밀상태가 유지된다.
상기 덮개체(3)는 승강기구인 보트 엘리베이터(31)상에 설치되고, 그 중앙부에는 회전축(4)이 수직으로 관통하여 설치되어 있다. 이 회전축(4)의하단에는 풀리 (41)가 부착되며, 이 풀리(41)는 벨트(42)를 통하여 모터(43)에 의해 회전되도록 되어 있다. 회전축(4)의 상단에는 턴테이블(24)이 부착되고, 이 턴테이블(24)상에는 보온통(25)을 통해 상기 웨이퍼보트(23)가 놓여져 있다.
또한, 내관(2a) 내에 성막가스를 공급하기 위한 가스공급관(26), 및 내관 (2a)과 외관(2b)의 사이를 통하여 진공배기하기 위한 배기관(27)이 각각 매니폴드 (22)에 접속되어 있다. 본 예에서는 반응관(2)과 매니폴드(22)에 의해 반응용기가 구성된다.
다음으로, 회전축(4)의 관통부분에 대하여 도 2를 참조하면서 설명한다.
덮개체(3)는 회전축(4)의 축방향에 대하여 수직으로 뻗어있고, 매니폴드(22)의 하단 개구부를 막는 수평부재(32)와, 회전축(4)이 관통하는 축구멍(33)을 가지며, 또한 회전축(4)의 축방향으로 연이어지는 대략 원통형상의 고정부재(34)가 기밀하게 일체화된 구성으로 되어 있다. 이 고정부재(34)의 상단은 단면이 빗 모양인 톱니형상을 이루어 반응용기내로 돌출하는 고리형상의 돌기(38)를 구비하고 있으며, 이 돌기(38)부분이 턴테이블(24)의 기초단부(24a)의 아래면에 형성된 단면이 빗 모양인 톱니형상의 고리형상 돌기(28)에 대하여 틈새를 통하여 맞물림하고 있고, 이에 따라, 가스유통로가 되는 래버린스(30:labyrinth)가 형성되어 있다. 이 래버린스(30)는 축구멍(33)과 회전축(4)의 사이에 생기는 틈새에 연이어 접속하고 있다.
회전축(4)은 고정부재(34)의 바깥둘레를 둘러싸는 바닥이 있는 통형상의 외각부재(44)와 기밀하게 일체화되어 있다. 따라서, 이 외각부재(44)는 회전축(4)과 함께 회전한다. 외각부재(44)는 그 바닥(44a)의 중앙부에 관통구멍(44b)을 가지고 있다. 이 관통구멍(44b)을 회전축(4) 하부의 축소직경부분(4a)에 아래쪽으로부터 외부에서 끼우고, 또한 그 아래쪽에서 와셔(61)를 개재하여 너트(62)를 돌려 넣음으로써, 외각부재(44)는 회전축(4)의 대직경부분(4b)과 축소직경부분(4a) 사이의 단차부와 너트(62)의 사이에 끼워져 고정된다. 외각부재(44)의 바닥(44a)의 아래면에는 너트(62)를 수용하는 캡(63)이 볼트(64)에 의해 고정되어 있다.
외각부재(44) 바닥의 윗면에는 관통구멍(44b)의 둘레에 고리형상의 홈(45)이 새겨져 있으며, 한편, 원통형상 고정부재(34)의 하단은 그 두께의 대략 절반의 두께로 바깥둘레 근방 부분이 안둘레 근방의 부분보다 아래쪽까지 고리형상으로 돌출하는 부분(35)으로 되어 있고, 그 고정부재(34)의 하단 돌출부분(35)이 외각부재 (44) 바닥면의 홈(45) 속에 틈새를 통하여 삽입되어 있으며, 이에 따라, 가스유통로(46)가 형성된다. 이 가스유통로(46)는 축구멍(33)과 회전축(4)의 사이에 생기는 틈새에 연이어 접속하고 있다.
외각부재(44)의 측벽부(47)와 원통형상 고정부재(34)의 사이에는 고정부재 (34)의 바닥쪽에 자기 시일부(7) 및 개구 끝단쪽에 축받이부(81,82)가 각각 설치되어 있다. 자기 시일부(7)는 축구멍(33)과 회전축(4)의 사이에 생기는 틈새에서 고정부재(34)의 하단과 외각부재(44)의 바닥면 사이의 가스유통로(46)를 통하여 침입해 온 가스가 축받이부(81,82)쪽으로 누출하지 않도록 시일하고 있다.
자기 시일부(7)는 종래와 마찬가지로 자로부재(7a)와 고정부재(74)의 사이에 자성유체(7b)를 개재시키고, 자로부재(7a)와 자성유체(7b)에 의해 자기회로를 형성하여 자성유체를 유지시키도록 되어 있다. 또, 도면 중 71은 자기시일(72)을 유지하기 위한 통형상의 스페이서로서, 외각부재(44)의 바닥에서 측벽부(47)를 따라 설치되어 있다.
상기 축받이부(81,82)는 고정부재(34)에 고정된 링형상의 내륜부재(83)와, 외각부재(44)의 내면에 고정된 링형상의 외륜부재(84)와의 사이에 볼(85)을 설치한 것이다. 내륜부재(83), 외륜부재(84) 및 볼(85)은 각각 고탄소 크롬축받이강으로 되어 있다.
내륜부재(83)는 고정부재(34)의 가는 부분에 아래쪽으로부터 외부에서 끼워지고, 또한 그 아래쪽에서 와셔(36)를 개재하여 너트(37)로 체결되며, 고정부재 (34)의 굵은 부분과의 단차부(34a)와 너트(37)의 사이에 고정되어 있다.
외륜부재(84)는 외각부재(44)의 내면을 따라 자기시일유지용의 스페이서(71) 상에 적층된 축받이 유지용의 스페이서(86)와, 외각부재(44)의 상단에 볼트(65)에 의해서 고정되어 안쪽으로 향한 누름부재(48)에 끼워져 고정되어 있다.
또한, 누름부재(48)와 덮개체(3)의 수평부재(32) 사이의 높이 위치에 있어서, 축구멍(33)에는 그 속에 퍼지용 가스를 공급하기 위한 가스공급로(91)가 접속되어 있고, 이 가스공급로(91)에는 불활성 가스, 예컨대 질소 가스의 공급원(도 2에는 나타내지 않음)이 접속되어 있다.
자기 시일부(7)의 온도상승을 억제하기 위해서 자기 시일부(7)에서 덮개체 (3)의 아래면까지의 길이를 예를 들어 약 100mm로 하고, 자기 시일부(7)를 반응용기내에서 멀리함과 동시에, 회전축(4)으로서 파이프를 사용하여 반응용기로부터 열이 전해지기 어렵도록 할 수 있다.
다음으로, 상술한 실시형태의 작용에 대하여 서술한다. 먼저, 피처리기판인 다수의 웨이퍼(W)가 다단으로 유지된 웨이퍼 보트(23)를 보트 엘리베이터(31)의 상승에 의해 반응용기의 하단 개구부[매니폴드(22)의 하단 개구부]로부터 반응용기내에 반입되고, 이 개구부를 덮개체(3)로서 기밀하게 막는다. 그리고, 성막가스를 가스공급관(26)으로부터 내관(2a) 내에 공급하면서 내관(2a)과 외관(2b)의 사이를 통하여 배기관(27)으로부터 진공배기하여, 반응용기내를 예를 들면 10-7Torr 오더의 진공도로 유지하는 동시에, 가열로(21)에 의해 소정온도의 열처리분위기로 한다.
한편, 모터(43)의 구동에 의해 회전축(4)을 회전시킴으로써 웨이퍼 보트(23)를 회전시키는 동시에, 가스공급로(91)로부터 축구멍(33)내에 예를 들면 질소가스를 공급한다. 성막가스가 축구멍(33)내에 들어와 차가워진 부분, 즉 축구멍(33)의 내벽, 회전축(4) 및 자기 시일부(7)의 자성유체 등에 접촉하면, 거기서 부생성물이 생성된다. 그러나, 축구멍(33)내에 질소가스가 흡입됨에 따라 부생성물은 날려흩어진다. 특히, 자기 시일부(7)로부터도 반응용기쪽에서 축구멍(33)내로 질소 가스가 흡입되어, 그 질소가스가 래버린스(30)를 통하여 반응용기내로 흐르기 때문에, 자성유체로의 부생성물의 부착을 방지할 수 있어, 회전축(4)의 회전이 둔해지는 일이 없어진다.
성막처리의 예로서는, SiH4가스에 의한 폴리실리콘막의 성막이나, SiH2C12가스 및 N3가스에 의한 질화실리콘(Si3N4)막의 성막 등을 들 수 있고, 특히 후자의 경우, 부생성물로서 염화암모늄이 다량으로 생성되므로, 질소가스의 흡입이 효과적이다. 한편, 본 발명에서는 감압CVD를 행함에 있어 축구멍(33)내를 진공배기하여 가스 흡입을 행하지 않도록 해도 좋다.
또한, 퍼지용 가스의 축구멍(33)으로의 공급은 감압CVD를 행한 후 반응용기내를 예를 들어 HCl가스에 의해 크리닝할 때에 행하는 것도 효과적인 것으로 HCl가스의 축구멍(33)으로의 침입을 방지할 수가 있다.
상술의 실시형태에 의하면, 축구멍(33)과 회전축(4) 사이의 틈새의 공간이 고정부재(34)와 외각부재(44) 사이의 공간에 연이어 접속되고, 그 고정부재(34)와 외각부재(44) 사이에 자기 시일부(7)가 설치되어 있다. 즉, 회전축(4)과 고정부재 (34)의 틈새가 반응용기의 바닥부로부터 아래쪽으로 이어지고, 또 바깥쪽으로 구부러져 위쪽으로 이어지는 모양으로 되어 있다. 따라서, 반응용기로부터 자기 시일부 (7)까지의 축구멍(33)의 길이가 크고, 또한 굴곡하고 있기 때문에, 감압CVD를 행할 때에 반응용기내에서 자기 시일부(7)에 가스나 수분이 침입하는 것을 방지할 수 있다. 또한, 가열로(21)에 의해 고온가열되고 있는 반응용기로부터의 열의 영향도 작아지므로, 자성유체의 열화를 방지할 수가 있다. 그리고, 축구멍(33)을 바깥쪽으로 구부리고 있으므로, 축구멍(33)의 길이가 커지더라도 회전축(4)의 위쪽 방향의 길이는 작게 억제할 수 있기 때문에, 반응용기의 아래쪽 공간[보트 엘리베이터(31)의 승강공간]의 높이를 크게 하지 않아도 된다.
여기서, 상기 축구멍(33)에 있어서, 반응용기에 가까운 부위는 가스가 들어가기 쉽기 때문에 반응부생성물이 부착하지 않는 온도로 되어 있는 것이 바람직하다. 상술의 예에서는, 반응용기쪽에서의 열에 의해 회전축(4)에 있어서의 축구멍 (33)의 하단에 이르기까지의 부위의 온도는 100℃를 넘는 온도로 유지되고 있다. 그 온도는 반응용기에 가까운 쪽이 높지만, 축구멍(33)의 중앙부 부근에서는 150℃를 조금 넘는 온도가 된다. 이렇게 반응용기에 가까운 축구멍(33)의 온도를 150℃ 이상으로 유지하여 두면, 회전축(4)의 바깥둘레면 및 축구멍(33)의 주위[고정부재 (34)의 안둘레면]에 반응부생성물 예를 들면 상술한 염화암모늄이 부착하는 것을 억제할 수 있다.
이상에 있어서 본 발명은, HCl가스나 H2O가스 등의 부식성가스를 반응용기내에 공급하면서 상압으로 산화처리나 불순물의 확산처리를 행하기 위한 상압산화, 확산로에도 적용할 수 있다.
도 3은 회전축 관통부의 고정부재(34)에 접속되는 퍼지가스 공급로(91)의 상세한 모양을 나타내고 있다. 이 퍼지가스 공급로(91)는 유량제어장치(92)를 통하여 불활성 가스, 예컨대 질소가스 공급원(93)에 접속되어 있다.
상기 회전축 관통부의 퍼지가스 공급로(91)의 가스도입접속부(118)에 접속되는 배관으로서는, 플렉시블(유연)하고 내구성, 내열성, 내식성 등에 뛰어난 수지 배관(111a)을 사용하는 것이 바람직하다. 이 수지 배관(111a)으로서는 불소수지, 예를 들면 퍼플로로알콕시폴리머(PFA)제 튜브가 바람직하다.
수지 배관(111a)보다도 하류에는 조임 수단을 구성하는 오리피스(121)를 가진 관조인트(122)가 설치되고, 이 관조인트(122)에 의해 가스도입접속부(118)와 배관(111a)이 접속되어 있다. 그리고, 배관(111a) 내에는 불활성 가스, 예컨데 질소 (N2)가스가 대기압(1013hPa) 이상의 압력, 예를 들면 1064hPa이상(800Torr 이상)으로 가스공급원(93)으로부터 유량제어장치(92)를 지나 공급되도록 되어 있다. 즉, 수지 배관(111a)의 관벽을 통하여 수지 배관내로 대기성분이 투과하여 침입하는 것을 방지하기 위해서, 수지 배관(111a)내에는 가스가압장치(95)에 의해서 불활성 가스가 대기압 이상의 압력으로 가압되어 공급되도록 되어 있다.
이 경우, 반응관(2)내를 감압, 예컨대 33Pa(0.25Torr)로 유지하기 위해서, 수지 배관(111a)보다도 하류, 도시한 예에서는 수지 배관(111a)과 가스도입접속부 (118)와의 접속부에는 수지 배관(111a)쪽과 가스도입접속부(118)쪽과의 사이에 압력차를 생기게 하여 가스의 압력을 반응관(2)내와 거의 동일한 압력으로 감압하기 위해서 상기 오리피스(121)가 설치되어 있다. 가스공급원(93)으로부터의 불활성가스는 유량제어장치(92)에 의해 소정 유량, 예를 들면 매분 0.01리터(5∼10sccm)로 설정된다.
상기 오리피스(121)는 도 4에 나타낸 바와 같이, 예를 들어 관조인트(122)의 내부에 설치되어 있는 것이 바람직하다. 이 관조인트(122)로서는 예를 들면 유니언 조인트가 바람직하다. 도시예의 관조인트(122)는 수지 배관(111a)과 스텐레스강의 가스도입접속부(118)를 접속하는 유니언 조인트로 이루어져 있다. 이 유니언조인트 (관조인트)(122)는 양끝단부에 나사부(122a)를 가진 유니언 본체(122b)와, 이 유니언 본체(122b)의 양끝단부에 장착 및 이탈 가능하게 나사장착된 유니언 너트(122c)로 구성되어 있다.
유니언본체(122b)의 일끝단에는 오리피스(121)를 통하여 가스도입접속부 (118)가 유니언 너트(122c)에 의해 접속되고, 유니언본체(122b)의 다른 끝단에는 수지 배관(111a)이 유니언 너트(122c)에 의해 접속되어 있다. 오리피스(121)는, 예를 들면 스텐레스강제의 원판(121d)의 중앙에 작은 직경(예를 들면 40㎛)의 구멍(121h)을 형성한 오리피스판으로 이루어져 있다.
상기 수지 배관(111a)은 종래에 사용되고 있는 스텐레스강제의 플렉시블관과 달리, 덮개체(3)의 개폐에 따른 반복 응력에 의한 깨짐이 생기기 어려워, 내구성의 향상을 도모할 수 있는 동시에, 불활성 가스의 압력을 대기압 이상으로 함으로써 수지배관(111a)의 관벽으로부터 그 내부로의 대기부분의 투과침입을 방지하는 것이 가능해지고, 대기성분의 침입에 의한 피처리체 예컨대 반도체 웨이퍼의 오염을 방지하는 것이 가능하다. 또한, 상기 오리피스(121)가 관조인트(122)의 내부에 설치되어 있기 때문에, 퍼지가스 공급로(91)에 오리피스(121)를 용이하게 설치하는 것이 가능하다.
도 4의 형태에서는, 오리피스를 하나 설치한 예를 나타내고 있으나, 압력차를 크게 하기 위해서 오리피스를 복수개 설치하여도 좋다. 도 5는 관조인트에 오리피스를 두개 설치한 구조를 나타내고 있다. 이 관조인트, 예컨대 유니언 조인트 (122A)에 있어서는 유니언본체(122b)의 일끝단에 제 1 오리피스(121a)를 통하여 수지 배관(111a)이 유니트 너트(122c)에 의해 접속되어 있고, 또한, 유니트 본체 (122b)의 다른 끝단에 제 2 오리피스(121b)를 통하여 가스도입접속부(118)가 접속되어 있다.
이상과 같이 본 발명에 의하면, 상술한 형식의 종형열처리장치에 있어서, 회전축의 관통부분을 시일함에 있어서, 반응용기내에서 시일부로의 가스나 수분의 침입을 억제하여 시일부재에 악영향이 미치는 것을 억제할 수 있다. 또한, 열에 의한 시일부재로의 악영향도 억제할 수 있다. 또한, 퍼지가스 공급로의 주요부를 수지 배관으로 하고, 그 하류쪽에 조임수단을 설치하여, 수지 배관내의 가스압력을 대기압이상으로 함으로써, 퍼지가스 공급로의 응력의 깨짐 등을 방지하고, 또한 퍼지가스 배관내로의 대기성분의 투과침입을 막아, 피처리체의 오염을 방지할 수 있다.

Claims (11)

  1. 종형의 반응용기(2)와, 이 반응용기의 바닥부를 막는 덮개체(3)와, 이 덮개체를 아래쪽에서 위쪽으로 세로방향으로 관통하여 반응용기 내부에 삽입되는 회전축(4)과, 반응용기내에서 상기 회전축에 설치되고, 피처리기판 유지구(23)를 지지하는 턴테이블(24)과, 피처리기판 유지구를 회전시키기 위해서 상기 회전축을 회전구동시키는 회전구동장치(41,42,43)를 구비하는 종형열처리장치에 있어서,
    상기 덮개체(3)에 고정되고, 또한 상기 회전축(4)을 끼워 통과시키는 축구멍(33)을 가진 대략 통형상의 고정부재(34)와,
    상기 축구멍과 상기 회전축 사이의 틈새를 상기 고정부재의 바깥쪽 공간에 연이어 접속하기 위해서, 상기 고정부재의 바깥쪽을 위쪽으로 개방된 공간을 통하여 둘러싸는 측벽부(47)와, 상기 고정부재의 하단부 바로 아래에 상기 회전축 바깥둘레면에 기밀하게 고정된 바닥부(44a)를 가진 바닥이 있는 통형상의 외각부재(44)와,
    고정부재의 상기 측벽부(47) 안쪽의 위쪽으로 개방된 상기 공간의 상부 근방의 위치에 상기 고정부재와 상기 측벽부의 사이에 설치된 축받이부(81,82)와,
    고정부재의 상기 측벽부 안쪽의 위쪽으로 개방된 상기 공간의 하부 근방 위치의 상기 고정부재와 상기 측벽부의 사이에 상기 고정부재의 외벽면과 상기 측벽부의 내벽면을 시일하도록 설치된 시일부(7)를 구비한 것을 특징으로 하는 종형열처리장치.
  2. 제 1 항에 있어서, 상기 시일부는 자성유체를 시일재로서 사용하는 자기 시일부(7)인 것을 특징으로 하는 종형열처리장치.
  3. 제 1 항에 있어서, 상기 시일부보다 상기 반응용기 근방 위치의 상기 축구멍과 상기 회전축 사이의 틈새내에 퍼지용 가스를 공급하도록 상기 고정부재에 접속된 퍼지가스 공급로(91)를 더욱 구비하는 것을 특징으로 하는 종형열처리장치.
  4. 제 1 항에 있어서, 상기 외각부재(44)의 바닥부 윗면에 설치된 고리형상 홈(45)과, 상기 고정부재의 하단에 설치되고 상기 고리형상 홈에 끼워 맞춤하는 고리형상 돌출부분(35)을 구비하는 것을 특징으로 하는 종형열처리장치.
  5. 제 1 항에 있어서, 상기 외각부재(44)의 바닥부가 상기 회전축에 설치된 축소직경부분(4a)에 의해 형성되는 단차부에 걸어맞춤하고, 상기 단차부에 대하여 외각부재의 바닥부를 누르도록, 외각부재 바닥부의 상기 단차부 반대쪽의 회전축에 너트(62)가 긴밀히 체결되어 있는 것을 특징으로 하는 종형열처리장치.
  6. 제 1 항에 있어서, 상기 턴테이블(24)의 아래면과 상기 고정부재(34)의 위면과의 사이에 래버린스 시일부(30)가 설치되어 있는 것을 특징으로 하는 종형열처리장치.
  7. 제 3 항에 있어서, 상기 퍼지가스 공급로(91)가 불활성 가스공급원(93)에 접속되고, 퍼지가스 공급로(91)에 그 내부를 대기압보다 높은 압력으로 유지하는 수단(95)이 설치되어 있는 것을 특징으로 하는 종형열처리장치.
  8. 제 3 항에 있어서, 상기 퍼지가스 공급로(91)가 가요성 합성수지관에 의해 구성되어 있는 것을 특징으로 하는 종형열처리장치.
  9. 제 8 항에 있어서, 퍼지가스 공급로(91)의 내부를 대기압보다 높은 압력으로 유지하는 수단이, 퍼지가스 공급로에 설치한 가스가압장치(95)와, 이 가스가압장치보다 상기 고정부재에 가까운 위치에 퍼지가스 공급로에 설치한 조임 수단(121)을 구비하고 있는 것을 특징으로 하는 종형열처리장치.
  10. 제 9 항에 있어서, 퍼지가스 공급로의 내부를 대기압보다 높은 압력으로 유지하는 수단이, 유량제어장치를 더욱 구비하는 것을 특징으로 하는 종형열처리장치.
  11. 제 9 항에 있어서, 상기 조임 수단(121)이 퍼지가스 공급로에 설치한 관조인트(122,122A)에 조립되어 있는 것을 특징으로 하는 종형열처리장치.
KR1020000001077A 1999-01-26 2000-01-11 종형열처리장치 KR100572083B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP17360 1999-01-26
JP01736099A JP3579278B2 (ja) 1999-01-26 1999-01-26 縦型熱処理装置及びシール装置

Publications (2)

Publication Number Publication Date
KR20000053440A KR20000053440A (ko) 2000-08-25
KR100572083B1 true KR100572083B1 (ko) 2006-04-17

Family

ID=11941885

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000001077A KR100572083B1 (ko) 1999-01-26 2000-01-11 종형열처리장치

Country Status (4)

Country Link
US (1) US6235121B1 (ko)
JP (1) JP3579278B2 (ko)
KR (1) KR100572083B1 (ko)
TW (1) TW439124B (ko)

Families Citing this family (196)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3644880B2 (ja) * 2000-06-20 2005-05-11 東京エレクトロン株式会社 縦型熱処理装置
JP5290488B2 (ja) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
JP3872952B2 (ja) * 2000-10-27 2007-01-24 東京エレクトロン株式会社 熱処理装置及び熱処理方法
JP2003031564A (ja) * 2001-07-19 2003-01-31 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US6619304B2 (en) * 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6776848B2 (en) 2002-01-17 2004-08-17 Applied Materials, Inc. Motorized chamber lid
US6827974B2 (en) * 2002-03-29 2004-12-07 Pilkington North America, Inc. Method and apparatus for preparing vaporized reactants for chemical vapor deposition
JP3369165B1 (ja) * 2002-04-09 2003-01-20 東京エレクトロン株式会社 縦型熱処理装置
US20070243317A1 (en) * 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
JP3991090B2 (ja) * 2003-04-17 2007-10-17 独立行政法人労働安全衛生総合研究所 異種多重シール装置
KR100973666B1 (ko) * 2003-06-17 2010-08-03 주성엔지니어링(주) 원자층증착장치의 가스밸브 어셈블리
US7128570B2 (en) * 2004-01-21 2006-10-31 Asm International N.V. Method and apparatus for purging seals in a thermal reactor
JP4508893B2 (ja) * 2004-02-02 2010-07-21 エーエスエム インターナショナル エヌ.ヴェー. 半導体処理方法、半導体処理システム及び反応チャンバにガスを供給する方法
US8033245B2 (en) * 2004-02-12 2011-10-11 Applied Materials, Inc. Substrate support bushing
KR100527671B1 (ko) * 2004-02-19 2005-11-28 삼성전자주식회사 웨이퍼 상에 막을 형성하는 방법
KR101121417B1 (ko) * 2004-10-28 2012-03-15 주성엔지니어링(주) 표시소자의 제조장치
JP2006179613A (ja) * 2004-12-21 2006-07-06 Rigaku Corp 半導体ウエハ縦型熱処理装置用磁性流体シールユニット
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
US7351057B2 (en) * 2005-04-27 2008-04-01 Asm International N.V. Door plate for furnace
JP4652408B2 (ja) * 2005-07-26 2011-03-16 株式会社日立国際電気 基板処理装置、反応管、基板処理方法及び半導体装置の製造方法
CN100358098C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺件处理装置
JP2007251088A (ja) * 2006-03-20 2007-09-27 Tokyo Electron Ltd 縦型熱処理装置及び縦型熱処理装置における移載機構の制御方法
JP2007258573A (ja) * 2006-03-24 2007-10-04 Eagle Ind Co Ltd 磁性流体シール装置
JP5157100B2 (ja) * 2006-08-04 2013-03-06 東京エレクトロン株式会社 成膜装置及び成膜方法
US7922485B2 (en) 2007-02-14 2011-04-12 Tokyo Electron Limited Vertical type heat processing apparatus and vertical type heat processing method
US10704933B2 (en) * 2014-09-02 2020-07-07 Infineon Technologies Ag Integrated angle sensing device
JP4929199B2 (ja) * 2008-02-01 2012-05-09 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
CN102270566A (zh) * 2011-06-17 2011-12-07 北京七星华创电子股份有限公司 反应腔室的密封装置及方法
CN102881615B (zh) * 2011-07-14 2015-05-27 北京七星华创电子股份有限公司 半导体晶片热处理设备及方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9279185B2 (en) * 2012-06-14 2016-03-08 Asm Technology Singapore Pte Ltd Feed-through apparatus for a chemical vapour deposition device
JP5933399B2 (ja) * 2012-09-07 2016-06-08 東京エレクトロン株式会社 熱処理装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014159367A1 (en) * 2013-03-14 2014-10-02 The Timken Company Rotating vacuum chamber coupling assembly
US20150047785A1 (en) * 2013-08-13 2015-02-19 Lam Research Corporation Plasma Processing Devices Having Multi-Port Valve Assemblies
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
TWI616555B (zh) * 2017-01-17 2018-03-01 漢民科技股份有限公司 應用於半導體設備之噴氣裝置
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
CN110280775A (zh) * 2019-06-19 2019-09-27 深圳精匠云创科技有限公司 浮动密封机构及具有该浮动密封机构的旋转制粉装置
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN111180362B (zh) * 2020-01-02 2023-09-01 长江存储科技有限责任公司 一种气体处理炉和提高晶圆表面气体处理均匀性的方法
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2654996B2 (ja) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 縦型熱処理装置
US5016567A (en) * 1988-08-26 1991-05-21 Tel Sagami Limited Apparatus for treatment using gas
US5127365A (en) * 1990-02-27 1992-07-07 Kabushiki Kaisha Toshiba Vertical heat-treatment apparatus for semiconductor parts
JP3164248B2 (ja) * 1992-06-11 2001-05-08 東京エレクトロン株式会社 熱処理装置
US5324540A (en) * 1992-08-17 1994-06-28 Tokyo Electron Limited System and method for supporting and rotating substrates in a process chamber
US5540782A (en) * 1992-10-15 1996-07-30 Tokyo Electron Kabushiki Kaisha Heat treating apparatus having heat transmission-preventing plates
JP3253384B2 (ja) * 1992-11-27 2002-02-04 株式会社日立国際電気 縦型反応炉
JPH06204157A (ja) * 1992-12-25 1994-07-22 Tokyo Electron Tohoku Ltd 縦型熱処理装置
JP3556804B2 (ja) * 1997-05-20 2004-08-25 東京エレクトロン株式会社 処理装置及び処理方法

Also Published As

Publication number Publication date
JP3579278B2 (ja) 2004-10-20
US6235121B1 (en) 2001-05-22
JP2000216105A (ja) 2000-08-04
TW439124B (en) 2001-06-07
KR20000053440A (ko) 2000-08-25

Similar Documents

Publication Publication Date Title
KR100572083B1 (ko) 종형열처리장치
US6030457A (en) Substrate processing apparatus
JP2000216105A5 (ko)
US8282737B2 (en) Substrate processing apparatus and method for manufacturing a semiconductor device
KR100210623B1 (ko) 열처리 장치 및 그 운전 방법
US5368648A (en) Sealing apparatus
US8851886B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US6503079B2 (en) Substrate processing apparatus and method for manufacturing semiconductor device
US6482753B1 (en) Substrate processing apparatus and method for manufacturing semiconductor device
JP4963336B2 (ja) 熱処理装置
JP3173697B2 (ja) 縦型熱処理装置
JP2002009010A (ja) 熱処理装置及びその方法
JP3203536B2 (ja) 縦型熱処理装置
JPH0766145A (ja) 熱処理装置及びその運転方法
JP3055797B2 (ja) 縦型熱処理装置
JP4212753B2 (ja) 縦型熱処理装置
JP3463785B2 (ja) 封止装置および処理装置
JP3056240B2 (ja) 熱処理装置
JPH11145072A (ja) 熱処理装置
JP7194805B2 (ja) ガス供給部、基板処理装置および半導体装置の製造方法
JP3812767B2 (ja) 半導体製造方法およびそれを用いた装置
JP4437851B2 (ja) 処理装置
JP2009016532A (ja) 基板処理装置及び半導体装置の製造方法
KR0134033B1 (ko) 처리장치
JPH11135447A (ja) 熱処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130321

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140319

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160318

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170322

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180329

Year of fee payment: 13

LAPS Lapse due to unpaid annual fee