JPS5931022A - 空間的に均一な、外部励起される非熱的な化学反応を達成するための方法及び装置 - Google Patents
空間的に均一な、外部励起される非熱的な化学反応を達成するための方法及び装置Info
- Publication number
- JPS5931022A JPS5931022A JP58128702A JP12870283A JPS5931022A JP S5931022 A JPS5931022 A JP S5931022A JP 58128702 A JP58128702 A JP 58128702A JP 12870283 A JP12870283 A JP 12870283A JP S5931022 A JPS5931022 A JP S5931022A
- Authority
- JP
- Japan
- Prior art keywords
- chemical reaction
- excitation
- time
- workpiece
- externally
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J12/00—Chemical processes in general for reacting gaseous media with gaseous media; Apparatus specially adapted therefor
- B01J12/002—Chemical processes in general for reacting gaseous media with gaseous media; Apparatus specially adapted therefor carried out in the plasma state
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J19/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J19/08—Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
- B01J19/087—Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
- C30B25/10—Heating of the reaction chamber or the substrate
- C30B25/105—Heating of the reaction chamber or the substrate by irradiation or electric discharge
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B33/00—After-treatment of single crystals or homogeneous polycrystalline material with defined structure
- C30B33/005—Oxydation
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J2219/00—Chemical, physical or physico-chemical processes in general; Their relevant apparatus
- B01J2219/08—Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
- B01J2219/0894—Processes carried out in the presence of a plasma
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Organic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Crystallography & Structural Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Health & Medical Sciences (AREA)
- General Health & Medical Sciences (AREA)
- Toxicology (AREA)
- Mechanical Engineering (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。
め要約のデータは記録されません。
Description
【発明の詳細な説明】
本発明は一般に、外部励起される非熱的々化学反応系及
びよシ詳しくは、反応物が反応物の流れに対して、連続
的に配置された加工片上を流れるような系における均一
性の改善に関する。
びよシ詳しくは、反応物が反応物の流れに対して、連続
的に配置された加工片上を流れるような系における均一
性の改善に関する。
本発明が適用できるタイプの系の代表として、米国特許
’l * 233 e O’I g (George
Engle 。
’l * 233 e O’I g (George
Engle 。
/9gO年9月l乙日)が引用される。この特許はまた
、グラズマ反応器の最近の技術水準の典型例を示すもの
である。グラズマ反応器では反応ガス(単数又は複数の
)は連続的に配置された加工片を横切って流れる。引用
した特許に記載されている系は加工片処理をかなシ改善
するが、反応物が連続的に配置された加工片上をチュー
ブに沿って下流に流れる際の反応物の消耗の故に均一性
の点でかなシの欠点が生じる。
、グラズマ反応器の最近の技術水準の典型例を示すもの
である。グラズマ反応器では反応ガス(単数又は複数の
)は連続的に配置された加工片を横切って流れる。引用
した特許に記載されている系は加工片処理をかなシ改善
するが、反応物が連続的に配置された加工片上をチュー
ブに沿って下流に流れる際の反応物の消耗の故に均一性
の点でかなシの欠点が生じる。
たとえば成る沈着プロセスにおいては、加工片沈着厚さ
凌)るいはフィルム特性は、装填物の一端から他端着て
で所望の±5丸より大きく変わることがある。この髪1
IllIを補償するために種々の試み、たとえはガス、
流速及び圧力を変えることが試みられたが、これは均一
性を本質的に改善するには不十分であった。即ち、反応
物の流れに対して連続的に(順次に)配置された加工片
装填物を横断して行う、成る外部励起される非熱的な化
学反応沈着又はエツチングプロセスの均一性を改善する
心太性が存在した。
凌)るいはフィルム特性は、装填物の一端から他端着て
で所望の±5丸より大きく変わることがある。この髪1
IllIを補償するために種々の試み、たとえはガス、
流速及び圧力を変えることが試みられたが、これは均一
性を本質的に改善するには不十分であった。即ち、反応
物の流れに対して連続的に(順次に)配置された加工片
装填物を横断して行う、成る外部励起される非熱的な化
学反応沈着又はエツチングプロセスの均一性を改善する
心太性が存在した。
本発明の一態様に従い、外部励起される非熱的な化学反
応プロセスにおける均一性を改善する装置及び方法を提
供することが、本発明の目的の一つである。
応プロセスにおける均一性を改善する装置及び方法を提
供することが、本発明の目的の一つである。
本発明の他の目的は、反応物(単数又は検数)が、連続
的に配置された加工片を横切って又はその1わシを流れ
るような、外部励起される非熱的な化学反応プロセスに
おける均一性を改善する装置及び方法を提供することで
ある。
的に配置された加工片を横切って又はその1わシを流れ
るような、外部励起される非熱的な化学反応プロセスに
おける均一性を改善する装置及び方法を提供することで
ある。
本発明の更に別の目的は、外部w+起される非熱的な化
学的沈着プロセスにおける均一性を改善する装置及び方
法を提供することである。
学的沈着プロセスにおける均一性を改善する装置及び方
法を提供することである。
本発明の別の目的は、外部励起される非熱的な化学的エ
ツチングプロセスにおいてエツチング速度、エツチング
プロフィール及び選択性の均一性を改善する装置及び方
法を提供することである。
ツチングプロセスにおいてエツチング速度、エツチング
プロフィール及び選択性の均一性を改善する装置及び方
法を提供することである。
本発明の更に別の目的は、多数の加工片を含む外部1a
#起される非熱的な化学反応系におけるi −件を改善
する装置及び方法を提供することである。
#起される非熱的な化学反応系におけるi −件を改善
する装置及び方法を提供することである。
加工片を横切る反応物の通過時間及び反応物消耗時間に
よって決まる時間、励起波が周期的に入る(オン)又は
切れる(オフ)ように調節するように励起波形を制御す
ることによシ、非熱的に活性化された反応系における均
一性を改善する装置及び方法を、本発明の好ましい態様
に従いここに開示する。
よって決まる時間、励起波が周期的に入る(オン)又は
切れる(オフ)ように調節するように励起波形を制御す
ることによシ、非熱的に活性化された反応系における均
一性を改善する装置及び方法を、本発明の好ましい態様
に従いここに開示する。
本発明の上述の及び他の目的、特徴及び利点は、以下の
記述とくに添付図面に示される本発明の好ましい実施態
様の記載よシ明らかであろう。
記述とくに添付図面に示される本発明の好ましい実施態
様の記載よシ明らかであろう。
第1図は、本発明の特徴を取シ入れたプラズマ促進反応
系の縦断面図及び部分的にはダイアダラムである。
系の縦断面図及び部分的にはダイアダラムである。
第2図は、従来の外部励起される非熱的な化学反応系に
おいて採用された波形の例を示す。
おいて採用された波形の例を示す。
第3図は、本発明で用いるのに適するオン−オフサイク
ルの例である。
ルの例である。
第1図には、プラズマ促進される化学的蒸気処理装al
lが示されている。これはジェネレーター変調器100
及びその操作を除いて、米国特許Q # 233 +
04’g (George Engle 、 / 9
gO都9月/6日)に完全に記述されたタイプのもので
あシ、かつ本発明の周期的変調器100が適用できる、
連続的又はパルス状RFグラズマ促進の、レーザー又は
光励起の又は他の外部励起の非熱的な化学的加工系のバ
ラエティの一つである。
lが示されている。これはジェネレーター変調器100
及びその操作を除いて、米国特許Q # 233 +
04’g (George Engle 、 / 9
gO都9月/6日)に完全に記述されたタイプのもので
あシ、かつ本発明の周期的変調器100が適用できる、
連続的又はパルス状RFグラズマ促進の、レーザー又は
光励起の又は他の外部励起の非熱的な化学的加工系のバ
ラエティの一つである。
第1図を例にとって、そのような系の操作の一般的説明
をするニ 一つ又は複数の反応物61は、流量制御器(F/C)
6 Bによp調節されて、管64.65を通って室11
に入る。室11は、種々の構成要素及び密閉if!11
5.16、↓7.18.19.21122、流入口66
.6?、68を備えている。第1図の例において、RF
励起は絶縁体42を通つて室に入る。レーザー又は光励
起反応器の場合、励起は窓又は他の手段を通って室に入
シうる。
をするニ 一つ又は複数の反応物61は、流量制御器(F/C)
6 Bによp調節されて、管64.65を通って室11
に入る。室11は、種々の構成要素及び密閉if!11
5.16、↓7.18.19.21122、流入口66
.6?、68を備えている。第1図の例において、RF
励起は絶縁体42を通つて室に入る。レーザー又は光励
起反応器の場合、励起は窓又は他の手段を通って室に入
シうる。
加工片(図示されず)は、2組の電極板25の間に省か
れる。第1図の構成において、電極及び次に加工片は、
管1′2Jの長さ方向に細長く置かれる;しかし半径方
向のガス流と共に環状%、Iを、箇た他の形状をも用い
つる。いか力る形状においても、ガス流はある順序で加
工片上又はその周りをガスが通過するように流れ、従っ
て従来技術においてはガス流中の各加工片の位置に従っ
て、濃度の低下及びその結果と17て化学反応の不均一
性を生じる。
れる。第1図の構成において、電極及び次に加工片は、
管1′2Jの長さ方向に細長く置かれる;しかし半径方
向のガス流と共に環状%、Iを、箇た他の形状をも用い
つる。いか力る形状においても、ガス流はある順序で加
工片上又はその周りをガスが通過するように流れ、従っ
て従来技術においてはガス流中の各加工片の位置に従っ
て、濃度の低下及びその結果と17て化学反応の不均一
性を生じる。
加工片を通過した後にガスは、出口40、管51及びフ
ィルター52を通って室から出てゆき、バルブ54、ブ
ロワ−47及び真空ポンダ48を通って処分される。図
示した系は大気圧よシ下で運転され、真空度はダージ5
Bで監視される;しかし本発明の周期的変調器100を
、加圧及び減圧の糸の両者の励起ジエネレーメ−41に
適用できる。
ィルター52を通って室から出てゆき、バルブ54、ブ
ロワ−47及び真空ポンダ48を通って処分される。図
示した系は大気圧よシ下で運転され、真空度はダージ5
Bで監視される;しかし本発明の周期的変調器100を
、加圧及び減圧の糸の両者の励起ジエネレーメ−41に
適用できる。
第2図は、第7図におけるような(但【〜ジェネレータ
ー変調器1O()及びその操作を除き)、プラズマ促進
される化学的蒸気処理装置の霜、極に適用されるRF励
起の振幅包絡線を示している。この振幅包絡絡線はb
OHz 笥、源から簡単に発生される。これはプラズ
マ電極25.81に送らするエネルギーの有効量全制御
するために第2図の斜線部分で示されるように幅変調さ
れるが、そのような幅変調は本発明の周期的変調器によ
り行われる遮断よりもすっと急である。他の励起系は、
幅変調又は第2図に示したものとは異る他の波形を持つ
ことができ、又は強度すなわち振幅が幅変調以外の手段
で変えられる連続的励起手段であってもよい。
ー変調器1O()及びその操作を除き)、プラズマ促進
される化学的蒸気処理装置の霜、極に適用されるRF励
起の振幅包絡線を示している。この振幅包絡絡線はb
OHz 笥、源から簡単に発生される。これはプラズ
マ電極25.81に送らするエネルギーの有効量全制御
するために第2図の斜線部分で示されるように幅変調さ
れるが、そのような幅変調は本発明の周期的変調器によ
り行われる遮断よりもすっと急である。他の励起系は、
幅変調又は第2図に示したものとは異る他の波形を持つ
ことができ、又は強度すなわち振幅が幅変調以外の手段
で変えられる連続的励起手段であってもよい。
本発明の周期的変調器は、励起が第3図に示されるよう
な幅変調された連続的なものであれ、又は他の波形のも
のであれ、励起の全体を中断する。
な幅変調された連続的なものであれ、又は他の波形のも
のであれ、励起の全体を中断する。
この中断は、流れている反応物が最初の上流の加工片か
ら最餞の下流の加工片を通過するのに要する横断時間(
(実質上等しい期間でもってタイミングをとられる。そ
のような中IE11は、反応物良度又は活動用゛の消耗
を一像な値となし、従って下流の加工片が、従来技術に
おいて上流の加工片との相互作用によって生じるような
反応物濃度の低下又Fi活動屋の低下による影響を受け
なくなる。
ら最餞の下流の加工片を通過するのに要する横断時間(
(実質上等しい期間でもってタイミングをとられる。そ
のような中IE11は、反応物良度又は活動用゛の消耗
を一像な値となし、従って下流の加工片が、従来技術に
おいて上流の加工片との相互作用によって生じるような
反応物濃度の低下又Fi活動屋の低下による影響を受け
なくなる。
励起が6オフ”である時間は、最初の加工片から最後の
加工片1で反応物が移動する時間に尋しいか、又はこれ
よシ長いが、励起“オン”の時間は、反応物が一つの加
工片を通過するのに要する時間に等しいか、又はこれよ
り短い。反応物がいくつかの加工片を通過するまで反応
物の消耗が重大でないような場合においては、比較的長
い1オン”時間を採用できる。また実@結果によると、
反応の均一性の本質的改善は、最初の加工片から最後の
加工片まで反応物が完全に移動するのに通常要する時間
よりいく分短い”オフ″時間でもなお達成できる;この
よりなよp短い1オフ”時間は反応物を節約し、一方完
全な通過のために歎する時間より長い°オフ″時間は反
応物を浪費する。
加工片1で反応物が移動する時間に尋しいか、又はこれ
よシ長いが、励起“オン”の時間は、反応物が一つの加
工片を通過するのに要する時間に等しいか、又はこれよ
り短い。反応物がいくつかの加工片を通過するまで反応
物の消耗が重大でないような場合においては、比較的長
い1オン”時間を採用できる。また実@結果によると、
反応の均一性の本質的改善は、最初の加工片から最後の
加工片まで反応物が完全に移動するのに通常要する時間
よりいく分短い”オフ″時間でもなお達成できる;この
よりなよp短い1オフ”時間は反応物を節約し、一方完
全な通過のために歎する時間より長い°オフ″時間は反
応物を浪費する。
本発明方法及び装置の有効性を例示すると)第1図のプ
ラズマ促進の構成及び第3図の中断波形で害施されたプ
ロセスは、僅か±λ%の沈着速度の変動を達成したのに
対し、本発明の周期的変調器のない同じ系では所望の最
大±S%を超えて変動した。この例におけるパラメータ
ーは次の通シである:室12け36・インチ(9/、4
tm)の電極間作業領域を持ち、各/l)個flQ列に
並べた3インチ(7,6鰻)の半導体ウェファ−760
個よ構成る加工片装填物が入っている。
ラズマ促進の構成及び第3図の中断波形で害施されたプ
ロセスは、僅か±λ%の沈着速度の変動を達成したのに
対し、本発明の周期的変調器のない同じ系では所望の最
大±S%を超えて変動した。この例におけるパラメータ
ーは次の通シである:室12け36・インチ(9/、4
tm)の電極間作業領域を持ち、各/l)個flQ列に
並べた3インチ(7,6鰻)の半導体ウェファ−760
個よ構成る加工片装填物が入っている。
本例における沈潜プロセスは、5IH4(り5SCCm
) 及びN20 (370θsecm) から、
/、qトルの圧力、3gθ℃の温度で、かつ第2図に示
すような乙0サイクル半波当り76%実動サイクル(d
uty cycle ) で実質上連続的な励起に基
づく約SOワットのグラズマ出力で5I02i沈着させ
た。310A/分の平均沈着速度で、3インチ(7,6
m)ウェファ−7乙O個よ構成る加工片装填物において
±S%を超える変動があり、下流(ガス出口)端の沈着
が最も薄かった。
) 及びN20 (370θsecm) から、
/、qトルの圧力、3gθ℃の温度で、かつ第2図に示
すような乙0サイクル半波当り76%実動サイクル(d
uty cycle ) で実質上連続的な励起に基
づく約SOワットのグラズマ出力で5I02i沈着させ
た。310A/分の平均沈着速度で、3インチ(7,6
m)ウェファ−7乙O個よ構成る加工片装填物において
±S%を超える変動があり、下流(ガス出口)端の沈着
が最も薄かった。
活性化ゾーンは約36インチ(9/、4m、)の長さで
あり、励起周波数はlI/θキロヘルツである。第3図
に示したように、RF励起はg3ミリ秒間維持されCI
Oビークオン)、そして次に反応ガスの補充を考慮に入
れて、267iυ秒間中断される(32ビークオフ)。
あり、励起周波数はlI/θキロヘルツである。第3図
に示したように、RF励起はg3ミリ秒間維持されCI
Oビークオン)、そして次に反応ガスの補充を考慮に入
れて、267iυ秒間中断される(32ビークオフ)。
5io2沈着の先述の例と同様であるが、瞬間的出力は
、実動サイクルを60サイクル半波当h4to%に増大
させることにより増大される。ガス流、減圧、及び温度
条件は本質的に変わらず、平均8102 沈着速度は
2!;0)、7分であシ、先述した加工片装填物におけ
る厚さの均一性は±2%へと改善された。
、実動サイクルを60サイクル半波当h4to%に増大
させることにより増大される。ガス流、減圧、及び温度
条件は本質的に変わらず、平均8102 沈着速度は
2!;0)、7分であシ、先述した加工片装填物におけ
る厚さの均一性は±2%へと改善された。
沈着の均一性も1だ大幅に改善された。反応ガスを平均
3gインチ(qA、5GJ)移動し、従って36インチ
(9/、4Lm)の反応ゾーンがら消耗したガスを除去
するには、オフ時間は267ミリ秒で十分である。
3gインチ(qA、5GJ)移動し、従って36インチ
(9/、4Lm)の反応ゾーンがら消耗したガスを除去
するには、オフ時間は267ミリ秒で十分である。
結果に実質的に影臀することなくパルス条件をある程度
変えることは許される。たとえば均一性に著しく影譬す
ることなく励起をλ〜14tピークの範囲で保持できる
。しかしこの場合、励起がSピークよシ短く供給される
と沈着速度は急激に低下する。同様に励起を20〜lI
Oピーク除くことができ、36インチ(9/、41印り
のル、応ゾーン及び先述の反応ガス流の場合には2g〜
3乙ビークが好ましい範囲である。よシ高い又は低いガ
ス流速と適当に調節された〃1起オン/オフ時間によっ
て、例えば高い流速と短い時間によって、同様に改善さ
れた均一性が達成できる。
変えることは許される。たとえば均一性に著しく影譬す
ることなく励起をλ〜14tピークの範囲で保持できる
。しかしこの場合、励起がSピークよシ短く供給される
と沈着速度は急激に低下する。同様に励起を20〜lI
Oピーク除くことができ、36インチ(9/、41印り
のル、応ゾーン及び先述の反応ガス流の場合には2g〜
3乙ビークが好ましい範囲である。よシ高い又は低いガ
ス流速と適当に調節された〃1起オン/オフ時間によっ
て、例えば高い流速と短い時間によって、同様に改善さ
れた均一性が達成できる。
類似の均一性改善が、上述の装置及び方法を用いて窒化
ケイ素、シリコン単結晶及び多結晶の沈着、ナらびに種
々の薄いフィルムのエツチングにおいて達成できる。反
応ガスが光学的に励起される系においては、光励起は同
様に、反応ガス移動時間に比べて短い時間適用され、そ
して移動時間にほぼ見合った時間除かれる。
ケイ素、シリコン単結晶及び多結晶の沈着、ナらびに種
々の薄いフィルムのエツチングにおいて達成できる。反
応ガスが光学的に励起される系においては、光励起は同
様に、反応ガス移動時間に比べて短い時間適用され、そ
して移動時間にほぼ見合った時間除かれる。
本発明を好ましい実施態様について特に説明したが、本
発明の範囲からはずれることなく構成及び細部の上述の
変更及び他の変更をなしうることは、当業者にとって明
らかである。
発明の範囲からはずれることなく構成及び細部の上述の
変更及び他の変更をなしうることは、当業者にとって明
らかである。
第1図は本発明の特徴を取シ入れたプラズマ励起反応系
の縦断面図及び一部ダイアダラムである。 第2図は、従来技術において用いられた波形の例を示す
。 第3図は、本発明で用いられるオン−オフサイクルの例
を示す。 第1WJ
の縦断面図及び一部ダイアダラムである。 第2図は、従来技術において用いられた波形の例を示す
。 第3図は、本発明で用いられるオン−オフサイクルの例
を示す。 第1WJ
Claims (1)
- 【特許請求の範囲】 (11化学反応をその中で行うための、活動領域を含む
手段と、該手段の該活動領域内で化学反応の非熱的外部
励起を作シ出す手段とを包含する、外部励起される非熱
的な化学反応を実施するだめの装置において、該装置の
活動領域を反応物が通過するに要する時間に本質的に等
しい時間、化学反応の励起を実質的に中断する制御手段
を有することを特徴とする装置。 (2) 非熱的化学反応が、活動領域を持つ容器内で
該容器内に置かれた少くとも一つの反応物により行われ
るような非熱的化学反応の外部励起を行う方法であって
、該容器の活動領域内で非熱的化学反応を外部励起する
工程を包含する方法において、上記容器の活動領域を反
応物が通過するに要する時間に本質的に等しい時間、化
学反応の外部励起を実質的に中断することを特徴とする
特許
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US06/398,066 US4401507A (en) | 1982-07-14 | 1982-07-14 | Method and apparatus for achieving spatially uniform externally excited non-thermal chemical reactions |
US398066 | 1995-03-03 |
Publications (1)
Publication Number | Publication Date |
---|---|
JPS5931022A true JPS5931022A (ja) | 1984-02-18 |
Family
ID=23573858
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP58128702A Pending JPS5931022A (ja) | 1982-07-14 | 1983-07-14 | 空間的に均一な、外部励起される非熱的な化学反応を達成するための方法及び装置 |
Country Status (6)
Country | Link |
---|---|
US (1) | US4401507A (ja) |
JP (1) | JPS5931022A (ja) |
DE (1) | DE3315014A1 (ja) |
FR (1) | FR2530158B1 (ja) |
GB (1) | GB2124924B (ja) |
NL (1) | NL8302504A (ja) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5957419A (ja) * | 1982-09-27 | 1984-04-03 | Toa Nenryo Kogyo Kk | 半導体薄膜の製造方法 |
JPS60120523A (ja) * | 1983-12-05 | 1985-06-28 | Seiko Instr & Electronics Ltd | ドライエッチング方法 |
Families Citing this family (386)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4582720A (en) * | 1982-09-20 | 1986-04-15 | Semiconductor Energy Laboratory Co., Ltd. | Method and apparatus for forming non-single-crystal layer |
US4500563A (en) * | 1982-12-15 | 1985-02-19 | Pacific Western Systems, Inc. | Independently variably controlled pulsed R.F. plasma chemical vapor processing |
US4468283A (en) * | 1982-12-17 | 1984-08-28 | Irfan Ahmed | Method for etching and controlled chemical vapor deposition |
US4633051A (en) * | 1983-11-23 | 1986-12-30 | Advanced Semiconductor Materials America, Inc. | Stable conductive elements for direct exposure to reactive environments |
US6784033B1 (en) | 1984-02-15 | 2004-08-31 | Semiconductor Energy Laboratory Co., Ltd. | Method for the manufacture of an insulated gate field effect semiconductor device |
US5780313A (en) * | 1985-02-14 | 1998-07-14 | Semiconductor Energy Laboratory Co., Ltd. | Method of fabricating semiconductor device |
EP0154483B1 (en) * | 1984-03-03 | 1989-12-27 | Stc Plc | Improved pulsed plasma process |
DE3577730D1 (de) * | 1984-03-03 | 1990-06-21 | Stc Plc | Beschichtungsverfahren. |
JPS60256584A (ja) * | 1984-05-30 | 1985-12-18 | Honjiyou Chem Kk | 高真空装置 |
NL8402636A (nl) * | 1984-08-30 | 1986-03-17 | Philips Nv | Werkwijze voor het vervaardigen van een halfgeleiderinrichting waarbij een halfgeleidersubstraat wordt onderworpen aan een behandeling in een reaktiegas. |
US4747367A (en) * | 1986-06-12 | 1988-05-31 | Crystal Specialties, Inc. | Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition |
JP2588388B2 (ja) * | 1986-08-08 | 1997-03-05 | 株式会社 半導体エネルギー研究所 | 被膜作製方法 |
US5044314A (en) * | 1986-10-15 | 1991-09-03 | Advantage Production Technology, Inc. | Semiconductor wafer processing apparatus |
US4985112A (en) * | 1987-02-09 | 1991-01-15 | International Business Machines Corporation | Enhanced plasma etching |
US4799451A (en) * | 1987-02-20 | 1989-01-24 | Asm America, Inc. | Electrode boat apparatus for processing semiconductor wafers or the like |
GB2210062A (en) * | 1987-09-19 | 1989-06-01 | Stc Plc | Chemical vapour deposition apparatus incorporating demountable filter |
WO1990004045A1 (en) * | 1988-10-14 | 1990-04-19 | Advantage Production Technology Inc. | Semiconductor wafer processing method and apparatus |
US4917044A (en) * | 1988-10-26 | 1990-04-17 | Intel Corporation | Electrical contact apparatus for use with plasma or glow discharge reaction chamber |
US5221352A (en) * | 1989-06-19 | 1993-06-22 | Glaverbel | Apparatus for pyrolytically forming an oxide coating on a hot glass substrate |
GB8914047D0 (en) * | 1989-06-19 | 1989-08-09 | Glaverbel | Method of and apparatus for pyrolytically forming an oxide coating on a hot glass substrate |
US5367139A (en) * | 1989-10-23 | 1994-11-22 | International Business Machines Corporation | Methods and apparatus for contamination control in plasma processing |
JP2626925B2 (ja) * | 1990-05-23 | 1997-07-02 | 三菱電機株式会社 | 基板処理装置および基板処理方法 |
US5534314A (en) * | 1994-08-31 | 1996-07-09 | University Of Virginia Patent Foundation | Directed vapor deposition of electron beam evaporant |
US6794301B2 (en) | 1995-10-13 | 2004-09-21 | Mattson Technology, Inc. | Pulsed plasma processing of semiconductor substrates |
US6253704B1 (en) | 1995-10-13 | 2001-07-03 | Mattson Technology, Inc. | Apparatus and method for pulsed plasma processing of a semiconductor substrate |
US5983828A (en) * | 1995-10-13 | 1999-11-16 | Mattson Technology, Inc. | Apparatus and method for pulsed plasma processing of a semiconductor substrate |
JP2922181B1 (ja) * | 1998-01-26 | 1999-07-19 | 株式会社宇野澤組鐵工所 | 粉体捕集機能を有する真空ポンプ装置 |
DE60041341D1 (de) | 1999-08-17 | 2009-02-26 | Tokyo Electron Ltd | Gepulstes plasmabehandlungsverfahren und vorrichtung |
US6342453B1 (en) * | 1999-12-03 | 2002-01-29 | Applied Materials, Inc. | Method for CVD process control for enhancing device performance |
US7199328B2 (en) * | 2001-08-29 | 2007-04-03 | Tokyo Electron Limited | Apparatus and method for plasma processing |
JP2003163212A (ja) * | 2001-11-27 | 2003-06-06 | Nec Electronics Corp | 半導体装置の製造方法 |
JP2006169576A (ja) * | 2004-12-15 | 2006-06-29 | Cyg Gijutsu Kenkyusho Kk | 真空装置 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8465811B2 (en) | 2011-01-28 | 2013-06-18 | Asm Japan K.K. | Method of depositing film by atomic layer deposition with pulse-time-modulated plasma |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103613A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | A storage device for storing wafer cassettes for use with a batch furnace |
KR102633318B1 (ko) | 2017-11-27 | 2024-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 청정 소형 구역을 포함한 장치 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
WO2019142055A2 (en) | 2018-01-19 | 2019-07-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
TWI852426B (zh) | 2018-01-19 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
WO2019158960A1 (en) | 2018-02-14 | 2019-08-22 | Asm Ip Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102709511B1 (ko) | 2018-05-08 | 2024-09-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TW202405221A (zh) | 2018-06-27 | 2024-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
TWI756590B (zh) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI838458B (zh) | 2019-02-20 | 2024-04-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於3d nand應用中之插塞填充沉積之設備及方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI851767B (zh) | 2019-07-29 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
JP2021097227A (ja) | 2019-12-17 | 2021-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
JP2021177545A (ja) | 2020-05-04 | 2021-11-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11978625B2 (en) | 2021-10-18 | 2024-05-07 | Applied Materials, Inc. | Methods of forming metal nitride films |
Family Cites Families (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE2810791C3 (de) * | 1978-03-13 | 1985-06-05 | Kraftwerk Union AG, 4330 Mülheim | Verfahren und Vorrichtung zum Trennen eines gasförmigen Gemisches aus Isotopenverbindungen |
JPS5846057B2 (ja) * | 1979-03-19 | 1983-10-14 | 富士通株式会社 | プラズマ処理方法 |
US4233048A (en) * | 1979-07-05 | 1980-11-11 | Ppg Industries, Inc. | Removing fragments of fractured glass sheets during tempering |
DD150318A3 (de) * | 1980-02-08 | 1981-08-26 | Rainer Moeller | Verfahren und rohrreaktor zur plasmachemischen dampfphasenabscheidung und zum plasmaaetzen |
DE3010314C2 (de) * | 1980-03-18 | 1982-01-07 | Beerwald, Hans, Dr.Rer.Nat., 5370 Kall | Verfahren zur innenbeschichtung von elektrisch nicht leitfähigen Rohren mittels Gasentladungen |
GB2079267B (en) * | 1980-07-11 | 1983-10-26 | Ass Elect Ind | Manufacture of optical fibre preforms |
-
1982
- 1982-07-14 US US06/398,066 patent/US4401507A/en not_active Expired - Lifetime
-
1983
- 1983-04-26 DE DE19833315014 patent/DE3315014A1/de active Granted
- 1983-05-04 GB GB08312094A patent/GB2124924B/en not_active Expired
- 1983-05-30 FR FR8309302A patent/FR2530158B1/fr not_active Expired - Fee Related
- 1983-07-13 NL NL8302504A patent/NL8302504A/nl not_active Application Discontinuation
- 1983-07-14 JP JP58128702A patent/JPS5931022A/ja active Pending
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5957419A (ja) * | 1982-09-27 | 1984-04-03 | Toa Nenryo Kogyo Kk | 半導体薄膜の製造方法 |
JPS60120523A (ja) * | 1983-12-05 | 1985-06-28 | Seiko Instr & Electronics Ltd | ドライエッチング方法 |
Also Published As
Publication number | Publication date |
---|---|
GB2124924B (en) | 1986-02-19 |
DE3315014A1 (de) | 1984-01-19 |
FR2530158B1 (fr) | 1994-02-04 |
NL8302504A (nl) | 1984-02-01 |
US4401507A (en) | 1983-08-30 |
FR2530158A1 (fr) | 1984-01-20 |
GB2124924A (en) | 1984-02-29 |
DE3315014C2 (ja) | 1989-12-14 |
GB8312094D0 (en) | 1983-06-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JPS5931022A (ja) | 空間的に均一な、外部励起される非熱的な化学反応を達成するための方法及び装置 | |
ATE82460T1 (de) | Methode zur behandlung der substratoberflaechen mit hilfe von plasma und reaktor fuer die durchfuehrung dieser methode. | |
JPH0621026A (ja) | 密閉された光化学反応容器内における酸化膜のエッチング方法 | |
DE69725245D1 (de) | Verfahren zur Ätzung von Substraten | |
US6689699B2 (en) | Method for manufacturing a semiconductor device using recirculation of a process gas | |
JPH10280151A (ja) | Cvd装置のクリーニング方法 | |
JP3286951B2 (ja) | プラズマcvd成膜方法と装置 | |
JP3078707B2 (ja) | 減圧cvd装置のクリーニング方法 | |
Mankelevich et al. | Diamond growth enhancement in dc discharge CVD reactors. Effects of noble gas addition and pulsed mode application | |
JPS59207621A (ja) | 薄膜形成方法 | |
JPH01286424A (ja) | 半導体製造装置の洗浄方法 | |
TW368701B (en) | A high temperature, high deposition rate process and apparatus for depositing titanium layers | |
JPS59224118A (ja) | 光化学気相反応法 | |
JPS6188527A (ja) | 半導体プロセス装置 | |
JPH06280030A (ja) | 薄膜作成装置 | |
JPS56166935A (en) | Apparatus for vapor growth under reduced pressure | |
JPS61230327A (ja) | 気相成長装置 | |
SU716585A1 (ru) | Способ восстановлени железного катализатора дл синтеза аммиака | |
JPS6128443A (ja) | 光化学気相成長装置 | |
SU1209274A1 (ru) | Способ реактивации катализатора дл очистки газа от фосфина | |
JPH03166369A (ja) | ダイヤモンド膜の形成方法 | |
JPS56109895A (en) | Gaseous phase growing method for semiconductor | |
JPH02182883A (ja) | 紫外線励起化学気相成長装置 | |
JPH0553055B2 (ja) | ||
JPS60236215A (ja) | レ−ザcvd方法 |