JP6526160B2 - 蒸気送達装置、その製造方法およびその使用方法 - Google Patents

蒸気送達装置、その製造方法およびその使用方法 Download PDF

Info

Publication number
JP6526160B2
JP6526160B2 JP2017224724A JP2017224724A JP6526160B2 JP 6526160 B2 JP6526160 B2 JP 6526160B2 JP 2017224724 A JP2017224724 A JP 2017224724A JP 2017224724 A JP2017224724 A JP 2017224724A JP 6526160 B2 JP6526160 B2 JP 6526160B2
Authority
JP
Japan
Prior art keywords
delivery device
pressure
stream
delivery system
flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017224724A
Other languages
English (en)
Other versions
JP2018074166A (ja
Inventor
エグバート・ウォールク
ロナルド・エル.ディカルロ,ジュニア
Original Assignee
ケレス テクノロジーズ インコーポレイテッド
ケレス テクノロジーズ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ケレス テクノロジーズ インコーポレイテッド, ケレス テクノロジーズ インコーポレイテッド filed Critical ケレス テクノロジーズ インコーポレイテッド
Publication of JP2018074166A publication Critical patent/JP2018074166A/ja
Application granted granted Critical
Publication of JP6526160B2 publication Critical patent/JP6526160B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01FMIXING, e.g. DISSOLVING, EMULSIFYING OR DISPERSING
    • B01F23/00Mixing according to the phases to be mixed, e.g. dispersing or emulsifying
    • B01F23/10Mixing gases with gases
    • B01F23/19Mixing systems, i.e. flow charts or diagrams; Arrangements, e.g. comprising controlling means
    • B01F23/191Mixing systems, i.e. flow charts or diagrams; Arrangements, e.g. comprising controlling means characterised by the construction of the controlling means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01FMIXING, e.g. DISSOLVING, EMULSIFYING OR DISPERSING
    • B01F35/00Accessories for mixers; Auxiliary operations or auxiliary devices; Parts or details of general application
    • B01F35/20Measuring; Control or regulation
    • B01F35/22Control or regulation
    • B01F35/221Control or regulation of operational parameters, e.g. level of material in the mixer, temperature or pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/2496Self-proportioning or correlating systems
    • Y10T137/2499Mixture condition maintaining or sensing
    • Y10T137/2509By optical or chemical property

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Description

本開示は蒸気送達装置、その製造方法およびその使用方法に関する。特に、本開示は気相中で液体前駆体化合物を反応器に送達するための高出力高容量送達装置に関する。
第III−V族化合物を含む半導体は、レーザー、発光ダイオード(LED)、光検出器などの多くの電子および光電子素子の製造に使用されている。これらの材料は数分の1マイクロメートルから数マイクロメートルの範囲の厚み、かつ様々な組成の様々な単結晶層を製造するのに使用される。有機金属化合物を使用する化学蒸着(CVD)方法は概して金属薄膜もしくは半導体薄膜、例えば、第III−V族化合物の膜の堆積のために使用される。このような有機金属化合物は液体もしくは固体であり得る。
CVD方法においては、反応ガス流れは概して反応器に送達されて、電子および光電子素子に所望の膜を堆積させる。この反応ガス流れは前駆体化合物蒸気を同伴したキャリアガス、例えば、水素からなる。前駆体化合物が液体である場合には(以降、液体前駆体化合物)、反応ガス流れは概して送達装置(すなわち、バブラー)内の液体前駆体化合物にキャリアガスを通す(すなわち、バブリングする)ことにより得られる。この送達装置は液体前駆体化合物を保持する容器を取り囲む浴を含む。
液体前駆体化合物は2.0〜10.0ワット・分/グラムの気化の比エンタルピーを有する。送達装置を通るキャリアガスフローがない場合には、浴と液体前駆体化合物との間の温度差はゼロであり、そして送達装置においてエネルギーは消費されない。一方、液体前駆体化合物を反応器に特定の温度で送達することが望まれる場合には、キャリアガスが液体前駆体化合物を通ることが許されるが、その結果、液体前駆体化合物が冷却する。この冷却は望ましくないが、その理由は液体前駆体化合物における温度変動が、反応器に送達される液体前駆体化合物の可変量をもたらすからである。液体前駆体化合物を一定の温度に維持しようと試みるために、この浴は、温度変動を補償するために、この場合は、熱の形態で送達装置にエネルギーを移す。よって、浴と液体前駆体化合物との間の温度差はもはやゼロではない。熱が浴から液体前駆体化合物に供給されるので、液体前駆体化合物の温度は、この場合は正確にわからない(すなわち、液体前駆体化合物に温度変動がある)。
初期の液体前駆体化合物送達装置は長く狭い円筒(すなわち、2を超えるアスペクト比)であり、これは200グラムの特定の液体前駆体化合物に等しい容積を保持することができる。この送達装置は、よって、液体前駆体化合物に対して大きな表面積を有し、かつ商業的に入手可能な恒温浴中に完全に沈められることができた。キャリアガスフローは小さく、よって浴と液体前駆体化合物との間の温度差は無視できた。液体前駆体化合物フラックス(モル/分単位)が、バブラーの使用の間中1重量パーセント(1重量%)以内のわずかな変化であったことが知られていた。
現在の液体前駆体化合物送達装置は、初期の液体前駆体化合物送達装置よりも大きく、かつこの初期の装置と比較して、より低いアスペクト比のシリンダー(これは、2未満の高さ対直径アスペクト比を有する)を使用する。現在の送達装置は2キログラムより多い液体前駆体化合物を収容し、かつ10キログラム以下の液体前駆体化合物を収容しうる。これら大きなシリンダーは通常は、市販の恒温浴に適合しない。シリンダー上部部分は、多くの場合、周囲空気に曝されており、よって、周囲条件に応じて、液体前駆体化合物に意図的でない熱源または冷却源となる。
さらに、約1標準リットル/分のキャリアガスフローおよび1グラム/分の液体前駆体化合物の気化速度がこれらより大きな現在の液体前駆体化合物送達装置に使用され、よって、気化のために5ワットのエネルギーを使用する。結果的に、液体前駆体化合物温度は浴温度から2℃より大きく容易に逸脱し、これは10重量%以下の液体前駆体化合物フラックスにおける逸脱を生じさせうる。
より大きな現在の液体前駆体化合物送達装置に関する別の懸念は前駆体化合物フラックスの定常状態に到達するためにかかる時間である。送達装置からの液体前駆体化合物蒸気のフラックスが安定化されるまで、反応器における化学プロセスは進行することができない。液体前駆体化合物フラックスを安定化するための時間は、主として、熱移動面積および送達装置内の液体前駆体化合物の質量に応じて決まる。これらパラメータの双方は近似的に知られているだけである。キャリアガスフローを開始する際に、液体前駆体化合物は蒸発のためにその内部熱を使用し、よって、その液体前駆体化合物の冷却が起こる。相対的により大きな液体前駆体化合物質量は結果的に、定常状態温度に到達するために比較的長期間をもたらすが、その一方で、相対的により小さな液体前駆体質量は、結果的に、定常状態温度に到達するために比較的短期間をもたらす。定常状態温度に到達するのにかかる時間は熱移動面積および残留質量に応じて決まる。
よって、気化のために少なくとも1ワットのエネルギーが利用される大型送達装置から、液体前駆体化合物の蒸気を送達するための改良された送達装置および方法についての必要性が存在している。1標準リットル/分を超えるキャリアガスフローを用いつつ、送達装置から、液体前駆体化合物の枯渇まで、プロセス全体にわたって、均一かつ高フラックスの前駆体蒸気を送達することができる送達装置を有することも望まれる。
液体前駆体化合物のための送達システムは、送達装置、第1の比例バルブ、物理−化学センサー、および第1の圧力/流量コントローラーを含み;前記送達装置は入口ポートおよび出口ポートを有しており;前記送達装置は前記第1の比例バルブと作動的に連通しており;前記第1の比例バルブは適用される電圧に基づいてキャリアガスのフローを制御するように作動するものであり;前記物理−化学センサーは前記送達装置の下流に配置されており、かつ前記送達装置から出てくる流体流れの化学的内容を分析するように作動するものであり;前記物理−化学センサーは前記第1の比例バルブと連絡しており;前記第1の圧力/流量コントローラーは前記物理−化学センサーおよび前記第1の比例バルブと作動的に連絡しており;前記送達システムは単位時間あたり実質的に一定のモル数の液体前駆体化合物蒸気を、前記送達システムと連通している複数の反応器のそれぞれに送達するように作動するものであり;前記液体前駆体化合物は前記送達装置内で液体状態である。
方法は、キャリアガスの第1の流れを送達装置に移送し、前記送達装置は液体前駆体化合物を収容しており、前記キャリアガスの第1の流れは20℃以上の温度であり;キャリアガスの第2の流れを前記送達装置の下流の位置に移送し、前記第1の流れのフロー方向および前記第2の流れのフロー方向は互いに対向しておらず;並びに、前記送達装置から前記第1の流れが出た後の前記第1の流れおよび前記第2の流れを一緒にして第3の流れを形成し、前記第3の流れにおける前駆体化合物の蒸気の露点は周囲温度より低い;ことを含む。
図1は典型的な送達システムの概略図表現であり、送達装置は1以上の質量流量コントローラーと流体連通しており、この1以上の質量流量コントローラーはそれぞれ反応容器と流体連通しており、および送達装置からの蒸気は反応器内の選択された表面上に堆積される。 図2は典型的な送達システムの概略図表現であり、単一の圧力/流量コントローラーが送達装置を通る流量を制御する。 図3は典型的な送達システムの別の概略図表現であり、単一の圧力/流量コントローラーが送達装置を通る質量流量を制御する。 図4は典型的な混合チャンバーの概略図表現である。 図5は別の典型的な混合チャンバーの概略図表現である。 図6は例において使用されかつ開示された送達装置と比較された比較の送達装置の概略図表現である。 図7Aはその容量の40%まで満たされた場合の比較の送達装置の性能を示すグラフである。 図7Bはその容量の20%まで満たされた場合の比較の送達装置の性能を示すグラフである。 図8は従来の送達装置および開示される送達装置についての性能データを描くグラフである。
本発明は、ここで、様々な実施形態が示される添付の図面を参照しつつ、以下で、より充分に説明される。同様の参照番号は全体を通して同様の要素を言及する。
ある要素が別の要素の「上」にあると称される場合には、それは当該他の要素の直接上にあることができるか、または介在する要素がそれらの間に存在してもよいと理解される。これとは対照的に、ある要素が別の要素の「直接上」にあると称される場合には、介在する要素は存在しない。本明細書において使用される場合、用語「および/または」は関連して列挙されている事項の1以上のいずれかおよび全ての組み合わせを包含する。
様々な要素、成分、領域、層および/またはセクションを説明するために、本明細書においては、第1の、第2の、第3のなどの用語が使用されうるが、これらの要素、成分、領域、層および/またはセクションはこれらの用語によって制限されるべきではないことが理解されるであろう。これらの用語は、1つの要素、成分、領域、層またはセクションを、別の要素、成分、領域、層またはセクションと区別するためにのみ使用される。よって、以下で論じられる第1の要素、成分、領域、層またはセクションは、本発明の教示から逸脱することなく第2の要素、成分、領域、層またはセクションと称されうる。
本明細書において使用される用語は、特定の実施形態を記載するだけの目的のためのものであり、制限的であることを意図していない。本明細書において使用される場合、単一の形態「a」、「an」および「the」は文脈が他に明確に示さない限りは、複数の形態も含むことが意図される。本明細書において使用される場合、用語「含む」は示された特徴、領域、整数、工程、操作、要素および/または成分の存在を特定するが、1以上の他の特徴、領域、整数、工程、操作、要素、成分および/またはこれらの群の存在もしくは追加を排除しないことがさらに理解されるであろう。移行句「含む」は移行句「からなる」および「から本質的になる」を包含する。用語「および/または」は、「および」と「または」の両方を意味するとして本明細書において使用される。例えば、「Aおよび/またはB」はA、B、またはAとBを意味するものと理解される。
さらに、相対的な用語、例えば、「下部」または「底部」、および「上部」または「頂部」は、図面に示されるような、別の要素に対するある要素の関連性を説明するために本明細書においては使用されうる。相対的な用語は、図面に描かれる方向に加えて、装置の様々な方向も包含することが意図されることが理解されるであろう。例えば、図面の1つにおける装置がひっくり返される場合には、他の要素の「下部」側にあると記載される要素は、結果的に当該他の要素の「上部」側に方向付けられるであろう。よって、典型的な用語「下部」は、その図の具体的な向きに応じて「下部」および「上部」の方向の双方を包含することができる。同様に、図面の1つにおける装置がひっくり返される場合には、他の要素の「下方」または「下」と記載される要素は、結果的に当該他の要素の「上」に方向付けられるであろう。よって、典型的な用語「下方」または「下」は、上および下の方向の双方を包含することができる。
他に特定されない限りは、本明細書において使用される全ての用語(科学技術用語を含む)は本発明が属する技術分野の当業者によって一般的に理解されるのと同じ意味を有する。一般的に使用される辞書に定義されるものなどの用語は、関連する技術および本開示の文脈におけるその意味と同じ意味を有するとして解釈されるべきであり、かつ本明細書において明示的に定義されない限りは理想化されたもしくは過度に形式的な意味に解釈されないことがさらに理解されるであろう。
典型的な実施形態は、理想化された実施形態の略図である断面図を参照して本明細書において記載される。そのようなものとして、例えば、製造技術および/または許容範囲の結果として図の形状からのバリエーションは期待されるべきものである。よって、本明細書に記載される実施形態は、ここで図示される領域の具体的な形状に限定されるとして解釈されるべきではなく、例えば製造の結果生じる形状の差異を包含するものである。例えば、平坦であると図示されまたは記載される領域は、典型的には、起伏のあるおよび/または非直線的な構造を有していてもよい。さらに、図示される鋭角は丸められていてもよい。よって、図面に図示される領域は本質的に概略であって、その形状は領域の正確な形状を図示することを意図しているのではなく、かつ本願の特許請求の範囲を限定することを意図しているのでもない。
様々な数値範囲が本明細書において開示される。これらの範囲は端点、並びにこれらの端点間の数値を包含する。これらの範囲における数は交換可能である。
本明細書において開示されるのは濃度センサーおよび圧力センサーを介して反応器(質量流量コントローラーおよび反応容器を含む)と流体連通している送達装置を含む液体前駆体のための送達システムである。濃度センサーおよび圧力センサーは、送達システムを通るキャリアガスのフローを制御する第1および第2の圧力/流量コントローラーとそれぞれ電気的に連絡している。送達システムはキャリアガス流れを使用し、この流れは以下の2つのキャリアガス流れに分けられる:すなわち、送達装置に流れそして液体前駆体化合物と接触する第1の流れ;および送達装置を迂回する第2の流れ。液体前駆体は−10℃〜+200℃で大気圧で液体状態にある元素または化合物である。
キャリアガスを2つの流れに分けることは、前駆体の温度の厳密な制御を維持するための必要性、例えば、それを数分の1の摂氏度内に維持することなどをなくする。1.0ワットを超える蒸発の負荷においてそのような温度の厳密な制御を維持することは困難でかつ費用がかかる。何らかの熱損失を無効にし、かつ温度をその元の(期待される)値の近くに維持することが望まれる場合には、第1の流れ全体の流路が高温に加熱されることができる。
第1の流れにおけるより低い流量は、高温と同時に使用される場合には、より高容積の前駆体蒸気の同伴を可能にする。流れの方向に対して垂直の面を横切って、単位時間あたりに送られた前駆体蒸気の量はフラックス(flux)と称され、その量はモル/分または別の簡便な単位で測定される。反応器において行われるプロセスは前駆体フラックスに応じて決まる。前駆体フラックスが厳密に維持されることができない場合には、プロセス結果は予想できない。前駆体蒸気フラックスは、液体前駆体化合物を迂回する流れがない比較のシステムとは異なって、第1の流れにおいてより高く、および第2のガス流れにおいてゼロである。
送達システムは、均一かつ一定の濃度の前駆体蒸気を複数の反応器に送達するように機能する。反応器の上流に配置される質量流量コントローラーと連動して、反応器に送達される単位時間あたりの前駆体蒸気のモル数(すなわち、フラックス)も一定に保たれる。
高濃度の同伴蒸気を含む第1の流れと、キャリアガスのみを含む第2の流れとは、送達装置の下流で互いに接触して、第3の流れを形成する。第2の流れおよび第1の流れは一緒になって第3の流れを形成する。第3の流れを形成するための第1の流れ(蒸気を同伴)と第2の流れ(蒸気なし)との組合わせは、特に、迂回路を使用しない比較の装置と比べた場合に、より正確な濃度の前駆体蒸気の反応器への送達をもたらす。
第3の流れの露点は、送達システムと反応器とを接続する配管およびハードウェアの温度より低く調節されることができる。このように、接続配管内側の液体前駆体の濃縮が回避される。異なる露点を有する様々な異なる液体前駆体を使用し、接続ラインを加熱することによりこれらのどの前駆体の濃縮も回避することが可能である。
本送達システムは、それが送達装置から、液体前駆体化合物の枯渇まで、均一かつ正確な濃度の前駆体蒸気を反応器に送達するという点で有利である。それは、反応器または複数の反応器に向けて、120キロパスカル(kPa)(900torr)以上の、好ましくは160kPa(1200torr)以上の、より具体的には、200kPa(1500torr)以上の圧力で、0.5グラム/分以上、好ましくは2.0グラム/分以上、より好ましくは10.0グラム/分以上である前駆体フラックスを許容する。
この送達システムは送達されるべき前駆体蒸気を複数の反応器に同時に提供することができるので、この送達システムは有利でもある。この送達システムは複数の反応器からの競合する要求を調和させ、そして個々の反応器からの容積要求に関係なく、均一な濃度の前駆体蒸気を有する流れを各反応器に供給することができる。本送達システムは実質的に一定の濃度の前駆体蒸気をそれぞれの反応器に送達することができる。
本送達システムにおける前駆体蒸気の濃度は、選択された値から1重量パーセント(重量%)以内の量で、好ましくは選択された値から0.5重量%以内の量で、より好ましくは選択された値から0.2重量%以内の量で変動する。従来の送達システムにおいては、前駆体蒸気の濃度は10重量%を超えて変動する。
この送達システムは、任意の混合チャンバーの存在がなければ、対向するフローを利用しない点で特有のものである。言い換えれば、この送達システムは互いに対向する方向から接触するフローを使用しない。このシステムは任意の混合チャンバーが使用される場合に限って対向するフローを使用することができる。
上述のように、この送達システムは混合チャンバーを使用する。ある実施形態においては、この送達システムが対向するフローを使用しない場合に、混合チャンバーが使用されうる。混合チャンバーにおけるキャリアガスと前駆体蒸気との間の相互作用がより良好な混合を助け、よって反応器への前駆体蒸気の均一な送達を確実にする。別の実施形態においては、この送達システムが対向するフローを使用する場合に限って混合チャンバーが使用される。
ここで、図1を参照すると、送達システム100は送達装置102を含み、送達装置102は物理−化学センサー104を介して質量流量コントローラー208および反応器200、並びに圧力センサー106とそれぞれ連絡している。物理−化学センサー104および圧力センサー106は、それぞれ、第1の圧力/流量コントローラー108および第2の圧力/流量コントローラー110と作動的に連絡(operative communication)している。それぞれ、第1の圧力/流量コントローラー108は第1の比例バルブ112と作動的に連絡しており、一方、第2の圧力/流量コントローラー110は第2の比例バルブ114と作動的に連絡している。典型的な実施形態においては、それぞれ、第1の圧力/流量コントローラー108は第1の比例バルブ112と電気連絡(electrical communication)しており、一方、第2の圧力/流量コントローラー110は第2の比例バルブ114と電気連絡している。
送達装置102は、送達装置の温度を変動させまたは安定化させるために使用されうる水浴中に配置されていない。それは、その周囲環境によって影響される以外のあらゆる外部熱源および冷却源を欠いている。送達装置102は0.5リットル〜100.0リットルのサイズであり、かつ秤105の上に配置される。この秤105は送達装置102内に収容される液体前駆体化合物の量を決定するために使用される。送達装置102は1,000リットルまでのようなかなりの倍数まで大きくてよいが、その大きな送達装置の輸送および取り扱いは複雑であろうことが当業者に認識されるであろう。
比例バルブ112および114はそれらが送達装置102の上流に配置される場合に、送達システム100を通るキャリアガスのフローを制御するように作動する。望まれる場合には、比例バルブ112は送達装置の下流に配置されることもでき、そしてキャリアガスおよび前駆体蒸気のフローを制御するように作動することができる。遮断バルブ116、118、120および122は送達装置の様々な構成要素を隔離するために使用される。ある実施形態においては、遮断バルブ116および118は通常作動で開放である。
比例バルブ112および114への電圧が増加される場合には、バルブの開きは大きくされ、それによりこのバルブを通るキャリアガスのフローが増大する。一方、比例バルブへの電圧が下げられる場合には、バルブの開きが小さくされ、それによりこのバルブを通るキャリアガスのフローが低下する。
ある実施形態においては、第1の圧力/流量コントローラー108と一緒になった物理−化学センサー104、第1の比例バルブ112および送達装置102と共に、キャリアガスの第1の流れ202を包含する第1の閉じたループを形成する。キャリアガスの第1の流れ202は送達装置102の入口ポートを経由してディップチューブ103に向かわせられる。第1の流れは、送達装置102内で液体前駆体化合物に接触しそして前駆体蒸気を同伴するので、「ソースフロー」流れとも称される。第1の流れの機能の1つは前駆体蒸気を同伴することなので、それは概して高温に維持される。
第1の流れは、概して、0℃〜80℃、好ましくは10℃〜50℃、より好ましくは15℃〜35℃の周囲温度に維持される。第1の流れ202は前駆体化合物の蒸気を同伴する。送達装置102の頂部に位置する出口ポートは、液体前駆体化合物の同伴蒸気を含むキャリアガスの流れ203の排出を容易にする。流れ203は送達装置102を出て、混合チャンバー107内でキャリアガスの第2の流れ204と接触する。
別の実施形態においては、第2の圧力/流量コントローラー110と一緒になった圧力センサー106、第2の比例バルブ114、および混合チャンバー107は、キャリアガスの第2の流れ204を包含する第2の閉じたループを形成する。キャリアガスの第2の流れ204は混合チャンバー107に向かわせられ、そこでは、それは送達装置102の出口ポートから出る流れ203と接触する。この第2の流れは送達装置102における液体前駆体化合物を迂回するので、それは「迂回フロー」流れとも称される。
送達装置102を流れ203として出た後の第1の流れ202は、混合チャンバー107内で第2の流れ204と一緒になって、第3の流れ206を形成し、これは質量流量コントローラー208を経由して反応器200に入る。第1の流れ202(その後、流れ203)は、出口バルブ122の下流で第2の流れ204と一緒になって、第3の流れ206を形成し、これは反応器200に向かわせられる。第3の流れ206は所望の濃度の前駆体蒸気をキャリアガス中に含む。上述のように、流れ203および第2の流れ204は互いに対向していない。ある実施形態においては、流れ203および第2の流れ204は同じ方向に流れる。別の実施形態においては、流れ203および第2の流れ204は1〜90度の角度で互いに出会い、第3の流れ206を形成しそれが反応器200に入る。
ある実施形態においては、第1の流れ202(その後、流れ203)(これはキャリアガスおよび送達装置102からの前駆体蒸気を含んでいる)、および第2の流れ204からのフローを一緒にするために、任意の混合チャンバー107が使用されうる。混合チャンバー107においては、流れ203および第2の流れ204からのフローは対向する方向で導入されうる。別の実施形態においては、流れ203および第2の流れ204からのフローを、これらそれぞれの流れが対向する方向に流れていない場合に、一緒にするために、混合チャンバー107が使用されうる。これら実施形態の双方は後により詳細に説明される。
流れ203を第2の流れ204と一緒にして第3の流れ206を形成することにより、キャリアガス中の前駆体蒸気の濃度は低減され、結果的に前駆体蒸気の露点をより低くする。その結果、キャリアガスに同伴される蒸気は低下した温度に遭遇した場合でも前駆体蒸気凝縮が起こらない。これは反応器または複数の反応器に供給されるキャリアガスに対して一定の比率の前駆体蒸気を許容する。別の実施形態においては、第3の流れ中の前駆体蒸気の露点を周囲温度未満に下げることによって、前駆体蒸気凝縮が起こらず、キャリアガスに対して一定の比率の前駆体蒸気が反応器に供給されうる。
第1および第2の閉じたループは互いに協力し合って相互作用して、1つまたは複数の反応器200への送達圧力および前駆体蒸気濃度を制御する。各反応器への前駆体の流量は、それぞれの反応器に関連した質量流量コントローラー208によって制御される。第1のおよび第2の閉じたループも互いに協力し合って相互作用して、周囲温度未満に正確に調節された前駆体蒸気の露点を維持する。これは前駆体蒸気の凝縮を妨げ、かつ他の比較の商業的に入手可能なシステムよりも多量の前駆体蒸気の、より高い精度での反応器への移送を可能にする。それぞれのループは閉じたループであることが図1において示されてきたが、これらループのいくつかは、所望の場合には、開いたループであっても良いことも意図される。
再び図1に関して、送達装置102は入口バルブ120を有し、これは送達装置102へのキャリアガスのフローを開始または停止させるために使用されうる。送達装置102は出口バルブ122も有し、これは送達装置102から反応器200への、前駆体蒸気を同伴したキャリアガスのフローを開始および停止させることができる。図1に認められうるように、送達装置102からの前駆体蒸気が反応器200において選択された面上に堆積されるように、送達装置102は反応器200と流体連通している。質量流量コントローラー208は反応器200へ混合物の所望のフローが入るのを許可する。
質量流量コントローラー208は単一の質量流量コントローラーまたは複数の質量流量コントローラーを含むことができ、一方で、反応器200は単一の反応器、または複数の反応器(示されていない)を含むことができる。典型的な実施形態においては、質量流量コントローラー208および反応器200は複数の質量流量コントローラーおよび反応器を含む。
送達装置102は、ディップチューブ103および出口ポート109を含み、このディップチューブ103を通ってキャリアガスが入り、そして前駆体蒸気を同伴したキャリアガスがこの出口ポート109を通って反応器200に送り出される。送達装置102の入口ポートは入口バルブ120と流体連通しており、一方で、送達装置102の出口ポートは出口バルブ122と流体連通している。ある実施形態においては、キャリアガスを送達装置に送るために使用されるパイプまたはチューブは全て20℃〜80℃の温度に維持される。
送達装置102、並びに入口および出口ポートはキャリアガスまたは液体前駆体化合物によって劣化せず、およびひいてはキャリアガスまたは液体前駆体化合物の組成を変化させない材料から製造されうる。その材料が操作の温度および圧力に耐えることも望ましい。この筐体(enclosure)は適切な材料、例えば、ガラス、ポリテトラフルオロエチレンおよび/または金属などから製造されうる。ある実施形態においては、この筐体は金属から構成される。典型的な金属には、ニッケル合金およびステンレス鋼が挙げられる。適切なステンレス鋼には、SS304、SS304L、SS316、SS316L、SS321、SS347およびSS430が挙げられる。典型的なニッケル合金には、インコネル(INCONEL)、モネル(MONEL)およびハステロイ(HASTELLOY)が挙げられる。
送達装置102は概して、その開口を通って液体前駆体化合物が導入される開口(示されていない)を含む。液体前駆体化合物は任意の適する手段によって送達装置に添加されうる。
液体前駆体化合物が前駆体蒸気のソースである。蒸気送達システムにおける使用に適するあらゆる液体前駆体化合物が、通常の固体化合物の溶液および懸濁物を含む送達装置において使用されうる。適する前駆体化合物には、インジウム化合物、亜鉛化合物、マンガン化合物、アルミニウム化合物、ガリウム化合物および前述の化合物の少なくとも1種を含む組み合わせ、またはこの化合物の液体溶液および懸濁物が挙げられる。好ましくは、液体前駆体化合物はアルミニウム化合物、ガリウム化合物および前述の化合物の少なくとも1種を含む組み合わせから選択される。液体前駆体化合物の混合物が本送達装置において使用されうる。
好ましい液体前駆体化合物には、三臭化ホウ素、オキシ塩化リン、三臭化リン、四塩化ケイ素、四臭化ケイ素、オルトケイ酸テトラエチル、三塩化ヒ素、三臭化ヒ素、五塩化アンチモン、トリメチルガリウム(TMGa)、トリエチルガリウム(TEGa)、トリメチルアルミニウム(TMAl)、エチルジメチルインジウム、ターシャリーブチルアルシン、ターシャリー−ブチルホスフィン、四塩化ゲルマニウム(GeCl)、塩化第二スズ(SnCl)、トリメチルヒ素(CHAs、トリメチルガリウム(CHGa、トリエチルガリウム(CGa、イソブチルゲルマン(C)GeH、テルル化ジエチル(CTe、テルル化ジイソプロピル(CTe、ジメチル亜鉛(CHZn、ジエチル亜鉛(C)Zn、トリメチルアンチモン(CHSb、トリエチルアンチモン(CSb、三塩化ホウ素(BCl)、三フッ化塩素(ClF)、トリシラン(Si)、など、または前述の前駆体の少なくとも1種を含む組み合わせが挙げられる。
より好ましい液体前駆体化合物はトリメチルガリウム、トリエチルガリウム、トリメチルアルミニウム、ターシャリーブチルホスフィン、ターシャリーブチルアルシン、オルトケイ酸テトラエチル、四塩化ケイ素、四塩化ゲルマニウム、イソブチルゲルマン、トリメチルアンチモン、ジメチル亜鉛、ジエチル亜鉛など、または前述の液体前駆体化合物の少なくとも1種を含む組み合わせが挙げられる。
キャリアガスは液体前駆体化合物と反応しない限りは、適切なキャリアガスが送達装置102と共に使用されうる。キャリアガスの具体的な選択は様々な要因、例えば、使用される前駆体化合物および使用される具体的な化学蒸着システムに応じて決まる。適切なキャリアガスには不活性ガスが挙げられる。典型的なガスは水素、窒素、アルゴン、ヘリウムなどである。
物理−化学センサー104は濃度センサーであり、かつキャリアガス中の前駆体蒸気の濃度を測定する。物理−化学センサー104は、ガス濃度を連続的に監視し、かつ濃度変化および/またはドリフトの主な原因となる送達装置102を通る第1の流れ202を制御することによって反応器への前駆体蒸気の物質移動速度を制御する。
ある実施形態においては、物理−化学センサー104は、キャリアガスに対する前駆体蒸気の比率を検出するために使用されるインラインアコースティック二成分(in−line acoustic binary)ガス濃度センサーである。物理−化学センサーはガス混合物(すなわち、前駆体化合物の蒸気とキャリアガスとの混合物)を通って伝達するアコースティック信号を生じさせ、デジタル信号処理技術を使用して、そのアコースティック信号の伝達の時間を正確に測定する。次いで、伝達時間が使用されて、その物理的特性に基づいてキャリアガス中の前駆体蒸気の濃度を計算する。この濃度測定は、キャリアガスに対する前駆体蒸気の濃度における何らかの変動を補償しつつ、前駆体蒸気の物質移動速度の制御を可能にするデータを提供する。この物質移動速度の制御は第1の比例バルブ112によってもたらされる。他のセンサーには、マイクロエレクトロニックメカニカル回路(MEMC)が挙げられ、それは密度を測定することにより二成分ガスの組成も測定する。
例えば、物理−化学センサー104からの出力がゼロボルトである場合には、それはキャリアガス中の前駆体蒸気の濃度が0重量%(重量パーセント)であることを示す。物理−化学センサー104からの出力が5ボルトである場合には、キャリアガス中の前駆体蒸気の濃度は1重量%である。典型的な実施形態においては、物理−化学センサー104は、ビーココーポレーションから市販のピエゾコン(PIEZOCON(登録商標))である。
典型的な実施形態においては、液体前駆体化合物がトリメチルガリウムである場合には、トリメチルガリウム蒸気について15℃の露点を有する送達システム100を提供するように、送達装置102を通るフローを制御するように物理−化学センサー104が使用される。20℃より高い温度で輸送配管を維持するコストを回避するために、反応器200に供給するための、送達装置102と質量流量コントローラー208との間の輸送配管(すなわち、キャリアガスおよび前駆体蒸気を輸送するライン)は概して20℃の室温に維持される。トリメチルガリウム蒸気が輸送配管内で凝縮するのを避けるために、トリメチルガリウムについて15℃の露点が選択される。この5℃の違いが、反応器への前駆体蒸気の連続的な定常フローを可能にする。
圧力センサー106は送達装置102に適用される圧力を測定する。圧力センサー106は圧力ゲージ、マノメータなどでありうる。第2のコントローラー110と組み合わせた圧力センサー106および第2の比例バルブ114は前駆体蒸気およびキャリアガスの圧力を制御するメカニズムを提供する。
任意の混合チャンバー107は図4および5に詳述される。図4は対向するフローを含む場合の混合チャンバー107を示し、一方、図5は対向するフローを含まない混合チャンバー107を示す。
図4は、流れ203および第2の流れ204について対向するフローを有する混合チャンバー107を描く。この混合チャンバー107はニッケル合金またはステンレス鋼から製造されるチャンバー300を含む。このチャンバー300は任意の形状を有しうるが、好ましくは直径および高さが等しいかまたはほぼ等しい円筒である。ある実施形態においては、1インチ(2.5cm)以上、好ましくは2インチ(5cm)以上、およびより好ましくは3インチ(7.5cm)以上の混合チャンバーの直径を有することが望ましい。別の実施形態においては、この円筒の高さは2インチ(5cm)以上、好ましくは3インチ(7.5cm)以上、およびより好ましくは4インチ(10cm)以上である。
流れ203は導管302を介してチャンバー300に入り、一方、第2の流れ204は導管304を介してチャンバー300に入る。第3の流れ206は導管306を介してチャンバー300から出る。混合チャンバー107の位置は、それが送達システムに使用される場合には、それが第1の閉じたループおよび第2の閉じたループの一部分であるのを可能にする。
それぞれの導管は好ましくは、3ミリメートル(mm)(0.125インチ)以上、好ましくは6mm(0.25インチ)以上、およびより好ましくは12mm(0.5インチ)以上の外径を有する円形断面を有する。図4に認められうるように、導管302および304の出口は互いに対向する。流れ203および第2の流れ204が、導管306から第3の流れ206としてチャンバーを出る前に互いに互いに緊密に混合されうるように、導管の出口は互いに対向し、かつ互いに12mm未満離れているように設計される。導管306はチャンバー300を、反応器200への入口(示されていない)と連通した導管に接続するための装置308を伴って提供される。
導管302はバッフル310を装着しており、このバッフル310は、導管304と連通するチャンバー300の面と平行である。バッフル310は、バッフル310とチャンバー300の面との間の空間312において第1の流れ202および第2の流れを互いに緊密に混合させる。
図5は、互いに対向していない流れ203および第2の流れ204についてのフローを有する混合チャンバー107を示す。この描写においては、流れ203は導管302を介してチャンバー300に入り、一方、第2の流れ204は導管304を介してチャンバー300に入る。チャンバー300内の2つの流れの合流は2つの流れ203および204の間の混合をもたらし、次いで、これは第3の流れ206として導管306を介してチャンバー300から出る。図4および5に示された実施形態においては、導管302,304および306はノズル、多孔質フィルタまたは流れ203と第2の流れ204との混合を増大させるために使用される他の装置を含むことができる。この混合チャンバーは充填材料、例えば、ビーズ、ロッド、チューブ、馬蹄形、リング、サドル、ディスク、ソーサー、または他の適切な形状、例えば、針状、十字形、およびらせん形(コイルおよびスパイラル)を含んでいても良い。充填材料はセラミック材料、例えば、アルミナ、シリカ、炭化ケイ素、窒化ケイ素、ホウケイ酸塩、アルミナケイ酸塩、および前述のものの少なくとも1種を含む組み合わせ、並びに/または金属、例えば、ステンレス鋼もしくはニッケル合金が挙げられうる。所望の場合には、上記様々な充填材料の組み合わせが使用されても良い。混合チャンバー107は、流れ203が第2の流れ204と接触する点で、以下の図1〜3に示される実施形態のいずれにおいて使用されても良い。
再び図1を参照すると、第1のコントローラー108および第2のコントローラー110は、送達システム100を通るキャリアガスの全圧力またはフローの最適化された制御を提供するように設計された内蔵型比例−積分−微分(self−contained proportional−integral−derivative:PID)制御モジュールである。第1の比例バルブ112への入力は圧力センサー106から得られる。第2の比例バルブ114への入力は物理−化学センサー104から得られる。それぞれの圧力/流量制御システムは3つの基本的な部品、特に、圧力センサー、比例−積分−微分コントローラーおよびコントロールエレメントを含む。コントローラー108および110は、バルブ112および114についてのハードウェアの適切な駆動と組み合わせた、プログラム可能なロジックコントローラー(PLC、例えば、オムロンCJ1Wコントローラー)におけるソフトウェアとして実現されてもよい。
第1の比例バルブ112の操作において、物理−化学センサー104はプロセス圧力またはキャリアガス流量を測定する。比例−積分−微分コントローラーは測定された前駆体の濃度を所望の設定点と比較し、そして必要に応じて比例バルブ112を調節して、第3の流れ206における所望の前駆体蒸気濃度を達成する。
第2の比例バルブ114の操作において、圧力センサー106はプログラムされた圧力を維持するために迂回フローを制御する。反応器200の前駆体蒸気要求は質量流量コントローラー208によってなされる。これに応じて、圧力センサー106は、流量コントローラー110および第2の比例バルブ114と協力して、第2の流れ204におけるキャリアガスのフローを調節して、第3の流れ206における所望の圧力を提供する。
ある実施形態においては複数の圧力/流量コントローラーはマスター圧力/流量コントローラーに対して従属されていて良く、このマスター圧力/流量コントローラーは、物理−化学センサー104および関連するコントローラー108が所望のガス比率/混合物を維持しつつ、キャリアガスの全フローを調節して所望の圧力を達成する。例えば、図1からの第1の比例バルブ112および第2の比例バルブ114は、キャリアガスの全フローを流れ203および第2の流れ204に分けるために、メイン圧力コントローラー(示されていない)に従属されていてよい。この実施形態においてこの濃度の動的制御はないであろう。
遮断バルブ116および118、並びに入口および出口バルブ120、122はゲートバルブ、ボールバルブ、バタフライバルブ、ニードルバルブなどでありうる。それらはPLCによって制御されることもでき、かつ反応器200からの要求がゼロの場合に精密な前駆体濃度の維持をサポートする。
ある実施形態においては、図1の送達システム100を利用する一方法においては、反応器200は送達装置102から蒸気を引き込む。キャリアガスは、物理−化学センサー104および圧力センサー106によって提供される情報に応じて、第1の比例バルブ112または第2の比例バルブ114のいずれかまたは双方によって送達されうる。
ある実施形態においては、キャリアガスが第1の流れ202および第2の流れ204を含む流体ライン(例えば、パイプまたは管)を通って移動する際に、キャリアガスは、場合によっては、液体前駆体化合物の沸点以下の温度に加熱される。第1の流れ202におけるキャリアガスは送達装置102を通って移動し、そして前駆体化合物の蒸気を同伴する。その中(流れ203)で同伴される蒸気を伴うキャリアガスは、次いで、第2の流れ204のキャリアガスと出会う。第1の流れ202および第2の流れ204におけるキャリアガスの質量流量を調節することにより、前駆体蒸気の濃度が所望の量に維持されうる。
「所望の量」は物理−化学センサー104および圧力センサー106並びにそれぞれの圧力/流量コントローラー108および110の設定によって決定される。第3の流れ206における前駆体蒸気の濃度は物理−化学センサー104によって測定される。キャリアガス(その中に同伴される前駆体蒸気を伴う)の圧力および/または流量は圧力センサー106によって測定される。
キャリアガスに対する前駆体蒸気の濃度が所望の量または所望の範囲から逸脱する場合には、物理−化学センサー104はコントローラー108および比例バルブ112に連絡して、送達装置102へのキャリアガスのフローを調節する。比例バルブ112を調節することにより、流れ206におけるキャリアガス中の前駆体蒸気の量は実質的に一定であるように調節されうる。第3の流れ206において同伴する前駆体蒸気を伴うキャリアガスの流量は質量流量コントローラー208の要求に応じて変化し、そして第2のコントローラー110および第2の比例バルブ114によって制御される。
例えば、第3の流れ206においてキャリアガスに対する前駆体蒸気の濃度が低下する場合には、物理−化学センサー104からコントローラー108および第1の比例バルブ112への電気連絡は、バルブ116および入口バルブ120を含む第1の流れ202を経由し、送達装置102へのキャリアガスのフローを増大させる。同時に迂回フロー204が同じ量だけ低減される。これは第3の(一緒にした)流れ206におけるキャリアガス中の前駆体蒸気の量を増大させる。流れ203における前駆体蒸気の量の増大は、第2の流れ204における低減された質量流量と組み合わせられる場合に、第1の流れ202の流量における調節をもたらす低減の前の前駆体蒸気の量と比較して実質的に一定の前駆体蒸気の濃度を有する第3の流れ206を生じさせる。
別の実施形態においては、第3の流れ206において前駆体蒸気の濃度が増大する場合には、物理−化学センサー104からのコントローラー108および比例バルブ112への電気連絡が第1の流れ202を経由し送達装置102を通るキャリアガスフローを低下させる。これは第2の流れ204におけるキャリアガスフローの増大を導く。第2の流れ204におけるキャリアガスフローの増大は、第1の流れ202における低減されたキャリアガスフローと組み合わせられる場合に、第2の流れ204の流量における調節をもたらす低減の前の前駆体蒸気の量と比較して実質的に一定の前駆体蒸気の濃度を有する第3の流れ206を生じさせる。
よって、物理−化学センサー104および圧力センサー106からの読み取り値は、狭い範囲に制御された前駆体蒸気濃度および反応器200への前駆体蒸気の流量を調節しまたは維持するために使用される。
上述のように、本明細書に記載される送達システム100は、それが第1の流れ202(すなわち、ソースフロー)および第2の流れ204(すなわち、迂回フロー)を使用して、キャリアガス中の前駆体蒸気の露点を周囲温度未満まで、またはより好ましくは第3のフロー206を運ぶ接続配管およびハードウェアの温度未満まで下げる点で有利である。
図2は送達システム100の別の実施形態を描き、ここではキャリアガスが第1の流れ202(これは液体前駆体化合物を通って流れ、および流れ203として出る)および第2の流れ204(これは液体前駆体化合物を迂回する)に分けられ、そして第3の流れ206を形成するように再び一緒にされ、第3の流れにおいては露点は周囲温度未満である。第1の流れ202のフロー方向、第2の流れ204のフロー方向および第3の流れ206のフロー方向は一方向性であり、かつ互いに対向していない。上述のように、混合チャンバーが使用される場合を除いて、送達システムにおいて対向するフローは存在しない。これは、この送達システムにおいて対向するフローを使用することはキャリアガスと前駆体蒸気との間で所望の混合を生じさせないからであり、このことは、結果的に、送達において、前駆体蒸気を複数の反応器に不均一に分配することをもたらす。
図2においては、送達システム100は、第2の比例バルブ114およびニードルバルブ119の位置を除いて、図1の送達システムとほぼ類似している。この図において、圧力センサー106に接続されたコントローラー110によって運転される単一の比例バルブ114は送達システム100全体における圧力を制御するために使用される。図2の送達システム100は、圧力およびキャリアガス中の前駆体蒸気濃度を調節するために少なくとも2つの閉じたループを含む。
図2に示されうるように、第1の比例バルブ112は第2の比例バルブ114の下流に位置し、かつ場合によっては第2の比例バルブ114に従属されていても良い。ニードルバルブ119は遮断バルブ118の下流に位置する。ニードルバルブ119は第1の比例バルブ112および送達装置102を通るキャリアガスのフローを調節するために使用されうる圧力の調節可能な低下を容易にする。
図3は送達装置102に連通した複数の圧力調節装置を含む送達システム100のさらに別の実施形態を示す。圧力調節装置は、質量流量コントローラー208について使用される圧力レベルまで、入ってくるキャリアガスの圧力の低下を促進するように機能する。
この実施形態においては、送達システム100は第1の圧力調節装置96、および第1の圧力調節装置96の下流に位置する第2の圧力調節装置98を含む。第1の圧力調節装置96は、入ってくるキャリアガスの圧力を第1の圧力Pから第2の圧力Pへ低下させることを容易にし、一方、第2の圧力調節装置98は、第2の圧力Pから第3の圧力Pへの圧力のさらなる低下を容易にする。第1の圧力Pは第2の圧力P以上であり、第2の圧力Pは第3の圧力P以上である。
ある実施形態においては、第2の圧力Pは第1の圧力P1の50%〜90%であり、好ましくは第1の圧力Pの55%〜65%である。典型的な実施形態においては、第2の圧力Pは第1の圧力Pの70%〜85%である。第3の圧力Pは第1の圧力Pの40%〜48%であり、好ましくは第1の圧力Pの43%〜47%である。
第1の圧力Pは1,900〜2,100torr(250〜280kPa)、好ましくは1,950〜2,050torr(260〜275kPa)である。第2の圧力Pは950torr〜1,400torr(125〜190kPa)、好ましくは1,000torr〜1,300torr(130〜175kPa)である。第3の圧力Pは500〜950torr(65〜125kPa)、好ましくは850torr〜925torr(110〜120kPa)である。よって、送達装置102は、入口圧力が500〜2,000torr(65〜260kPa)、好ましくは700〜1800torr(90〜240kPa)、より好ましくは900torr(120kPa)である反応器200とつながって作動しうる。反応器200は50〜760torr(6〜101kPa)の範囲で作動することにより、よって、送達装置100から質量流量コントローラー208を経由して、反応器においておこる化学反応に使用される正確な前駆体蒸気を取り出す。
第1の比例バルブ112、遮断バルブ116、入口バルブ120、送達装置102、出口バルブ122および物理−化学センサー104が第1の圧力調節装置96の下流に配置される。第1の比例バルブ112は第1の圧力調節装置96の下流であってかつ第2の圧力調節装置98の上流に配置される。
第1の圧力調節装置96は第1の比例バルブ112、遮断バルブ116、入口バルブ120、送達装置102、出口バルブ122および物理−化学センサー104と流体連通する。第1の圧力調節装置96、第1の比例バルブ112、遮断バルブ116、入口バルブ120、送達装置102、出口バルブ122および物理−化学センサー104を含む流体流れは第1の流れ202と称される。第1の流れ202はキャリアガスを送達装置102の入口ポートに向かわせる。
物理−化学センサー104は第1の比例バルブ112と連絡している。ある実施形態においては、物理−化学センサー104は第1の比例バルブ112と電気連絡している。比例バルブ112、遮断バルブ116、入口バルブ120、送達装置102、出口バルブ122および物理−化学センサー104は閉じたループにある。
第2の圧力調節装置98は遮断バルブ118および混合チャンバー107の上流に配置されている。第2の調節装置98および第2のバルブ118を含む流体流れは第2の流れ204と称される。
送達装置から出る流れ203は第2の流れ204と接触して第3の流れ206を形成する。ある実施形態においては、流れ203は、送達装置102の出口バルブ122の下流で第2の流れ204と接触する。物理−化学センサー104は出口バルブ122の下流に配置される。物理−化学センサー104からの出力信号は第1のコントローラー108を経由して第1の比例バルブ112に向けられる。
図3の送達システム100を操作する一方法においては、反応器200は前駆体蒸気およびキャリアガスの混合物を送達装置102から取りだす。物理−化学センサー104は第3の流れ206における前駆体蒸気濃度および/または流量(もしくは圧力)を測定する。第3の流れ206における前駆体蒸気濃度および/または流量が所望の限度の外側にある場合には、センサー104は第1のコントローラー108を経由して第1の比例バルブ112と連絡する。第1のコントローラー108は第1の比例バルブ112への電圧を増加または低減させる。比例バルブ112を閉じるかまたは開くことにより、キャリアガス中の前駆体蒸気の濃度またはキャリアガスの流量(もしくは圧力)は所望の値に調節されるであろう。
送達システム100を製造する一方法においては、比例バルブ112および/または114は送達装置102の上流に配置される。遮断バルブ116および/または118は、それぞれ比例バルブ112および/または114の下流であって、かつ送達装置102の上流に配置される。送達装置102は加熱された筐体103内に配置される。入口バルブ120および出口バルブ122は送達装置102の入口および出口にそれぞれ配置される。物理−化学センサー104および圧力センサー106は送達装置102の下流に配置され、かつそれぞれ、比例バルブ112および/または114と閉じたループを形成する。送達システム100は質量流量コントローラー208を経由して反応器200と流体連通している。質量流量コントローラー208は反応器200の上流に配置される。
この送達システム100は、それが他の比較の装置よりも大きな流量で一定の流れの前駆体蒸気を送達することができる点で有利である。この方法は対向するフローを伴わない。送達システム100全体を通るフローは単一の方向のフローを伴う。これは、キャリアガスと液体前駆体蒸気との間のより良好な混合を生じさせる。対向するフローを有するシステムは、フローの1つの圧力が他のフローのよりも圧力が増大したときに起こる問題に悩まされる。これは、反応器への前駆体蒸気の不均一な供給を生じさせる。
このシステム100は、反応器200への均一かつ高精度の濃度の液体前駆体化合物の送達も許容する。この特徴はこのシステム100を、反応器に均一な濃度の液体前駆体化合物を供給することを試みる他の比較の送達システムと区別する。単位時間あたり一定のモル数の送達は、特にシステムがキャリアガスの対向するフローを有する場合には、単位容積あたり一定のモル数を生じさせることにより得られうる。前駆体濃度の変動は多くの場合、単位時間あたり反応器に送達される前駆体の変動をもたらし、このことが不適合な生成物の製造を導く。
この開示されたシステム100は、10分から数ヶ月の長期間にわたる反応器への前駆体の均一な質量流量も可能にする。ある実施形態においては、送達システム100は前駆体蒸気を、15℃以上の温度で、および900torr(120kPa)以上の圧力で、1,500マイクロモル/分以上、好ましくは1,750マイクロモル/分以上、より好ましくは2,000マイクロモル/分以上の流量で反応器200に送達でき、同時に1標準リットル/分(slm)、好ましくは2標準リットル/分、およびより好ましくは3標準リットル/分のキャリアガス流量を維持する。
以下の実施例は、比較の送達装置と比べられたときの、開示された送達装置が、一定濃度の液体前駆体化合物を反応器に送達することを示すために行われた。図6は、1標準リットル/分の質量流量コントローラー402、恒温浴404、液体前駆体化合物に直接浸漬された温度計406、および二元ガス濃度センサー408を含む比較の先行技術の送達装置400の描写である。キャリアガスは窒素である。キャリアガスフロー、液体前駆体化合物温度、および蒸気濃度は毎秒記録されていた。液体前駆体化合物はトリメチルガリウムであった。
図7Aおよび7Bは、1に近いアスペクト比を有する4.6キログラム(kg)円筒についてのフローのステップ変化に対する、液体前駆体化合物温度および液体前駆体化合物蒸気濃度の応答を示す。これらステップ変化はこのソースがエピタキシーについてオンにされ、または反応器がクラスターツールにおける供給からドロップされまたは追加される場合に一般的である。この流量の変化はオンラインである他の反応器に対するトリメチルガリウムフラックスを変化させるであろう。この実験についての浴温度は5℃であった。全圧力は101kPa(760torr)であった。
図7Aは40%(1.8kg)の充填レベルでのシリンダーの応答を示す。浴温度は5.0℃であったが、トリメチルガリウムの温度はフローなしで5.7℃であった。図6に示されるセットアップにおいて、円筒の頂部は浴に浸漬されておらず、周りの空気からの熱がトリメチルガリウムを加熱した。流量を1標準リットル/分(slm)に切り替えた後で、定常状態に到達しかつ同伴した蒸気を伴うキャリアガスがエピタキシャル成長のために反応器に供給されることができたまで、定常状態に到達するのにトリメチルガリウム85分間または25グラムがかかった。1 slmで、温度差は0.7℃であり、これは意図されたトリメチルガリウムaフラックスの0.971倍だけが実際に基体に到達したことを意味する。
図7Bは20%(820グラム)の充填レベルでの同じシリンダーを示す。流量を1slmに切り替えた後で、定常状態までの時間は95分であり、これは40%の充填ポイントまで満たされた円筒に対する有意な変化ではない。先の実験(すなわち、40%充填レベル)よりも熱移動面積が低減されるので、温度変化は1.4℃に増大している。トリメチルガリウムフラックスは意図されたフラックスの0.94倍である。
フラックスにおける変動を修正するためのあらゆる円筒についての洗練された工学的制御の導入は、極めて高いコストをインフラストラクチャーに追加するであろう。よって、この産業界は、シリンダの寿命にわたるフラックスのシフトに対処する補正チャートを使用する。このことは、あらゆるツールが運転ごとに個々に調節されなければならないことを意味する。調節における不確実さはエピタキシー収率を低下させる。4kgよりも大きい円筒については、定常状態に到達する時間がより長く、かつ円筒の寿命中のシフトがより目立つことに留意されたい。定常状態に到達する時間が長くなればなるほど、エピタキシャル成長が開始されうる前に廃棄される(すなわち、システム外に放出される)トリメチルガリウムの量がより多くなる。
キャリアガスのフローの流量が変化させられるあらゆる時点で、液体前駆体化合物の温度の有意な変化があることが、図7Aおよび7Bから認められうる。この温度の変化は、キャリアガス中のトリメチルガリウムの濃度の有意な変化と同時に起こる。蒸気流れ中の液体前駆体化合物の濃度の変化があるだけでなく、濃度変化が一定の濃度で横ばい状態(定常状態)になるのにかなりの量の時間がかかり、それはチャートの助けを伴って認められることができ、およびこのプロセスを運転する際に補正されうる。この濃度の変化および伴われる慣性(定常状態に戻るまでにかかる時間の量)は望ましくなく、そして開示された送達装置を用いることによって克服されうる。
この開示に詳述される送達装置も試験され、図3に示されるのと同じ構成を有している。濃度はキャリアガスフロー範囲0〜2 slmに維持された。全圧力は101kPa(760torr)であった。トリメチルガリウム円筒がケミカルフード内にあって、トリメチルガリウム温度を調節する設備はなかった。この実施例のための液体前駆体化合物もトリメチルガリウムであった。トリメチルガリウムの正確な温度の知識は、この新規の送達システムが働くのに必須ではない。結果は図8に詳述される。図8はこの開示された送達装置についておよび従来の送達装置についてのデータを含んでいる。従来の装置について送達装置に供給されるキャリアガスの量の変化が起こる場合にトリメチルガリウム濃度の有意な変化があることが、図8から認められうる。しかし、図3の開示された送達装置については、送達装置に供給されるキャリアガスの量の変化の後で、その濃度はその設定濃度に直ちに戻る。
まとめると、10分間から数ヶ月の期間にわたって、0.5キログラムを超える液体前駆体化合物、好ましくは4キログラムを超える液体前駆体化合物、より好ましくは10キログラムを超える液体前駆体化合物を運ぶ送達装置について、単位容積あたりの液体前駆体化合物蒸気の濃度は、選択された値から1重量%以内、好ましくは0.5重量%以内、およびより好ましくは0.25重量%以内の量で変動する。ある実施形態においては、送達装置は、液体前駆体を気化させ、そしてそれを反応器に送達するために、約1ワット以上、好ましくは約3ワット以上、およびより好ましくは約5ワット以上のエネルギーの量を使用する。前駆体化合物の送達における非常に低減された容積変動は、長期間にわたる非常に低減された変動になる。化学蒸着(CVD)プロセスは供給される単位時間あたりの均一かつ既知の前駆体化合物に頼っている。本発明は、長期間にわたって、この供給の精度を、従来の装置で得られた10重量%から0.2重量%まで向上させる。
96 第1の圧力調節装置
98 第2の圧力調節装置
100 送達システム
102 送達装置
103 ディップチューブ
104 物理−化学センサー
105 秤
106 圧力センサー
107 混合チャンバー
108 第1の圧力/流量コントローラー
110 第2の圧力/流量コントローラー
112 第1の比例バルブ
114 第2の比例バルブ
116、118、120、122 遮断バルブ
200 反応器
202 キャリアガスの第1の流れ
203 液体前駆体化合物の同伴蒸気を含むキャリアガスの流れ
204 キャリアガスの第2の流れ
206 第3の流れ
208 質量流量コントローラー
300 チャンバー
302、304、306 導管
308 接続するための装置
310 バッフル
312 空間
400 先行技術の送達装置
402 質量流量コントローラー
404 恒温浴
406 温度計
408 二元ガス濃度センサー

Claims (8)

  1. 入口ポートおよび出口ポートを有する送達装置;
    第1の比例バルブ;
    第2の比例バルブ;
    前記送達装置の下流に配置され、かつ前記送達装置から出てくる流体流れの化学的内容を分析するように作動し、第1の比例バルブと連絡している物理−化学センサー;
    記物理−化学センサーおよび前記第1の比例バルブと作動的に連絡している第1の圧力/流量コントローラー
    前記送達装置と流体連通している圧力センサー;
    前記圧力センサーと作動的に連絡し、かつ前記第2の比例バルブと電気連絡している第2の圧力/流量コントローラー;および

    を含む、液体前駆体化合物のための送達システムであって、
    前記送達装置は、前記送達装置に含まれる液体前駆体化合物の量を決定するため前記秤の上に配置され、
    前記送達装置は、キャリアガスの単位容積あたり実質的に一定のモル数の液体前駆体化合物蒸気を、前記送達システムと連通している複数の反応器に送達するように作動するものであり、
    前記液体前駆体化合物は前記送達装置内で液体状態であり、
    前記第1の比例バルブは前記第1の圧力/流量コントローラーと電気連絡しており、
    前記第1の比例バルブは適用される電圧に基づいてキャリアガスのフローを制御するように作動するものである、送達システム。
  2. 第1の圧力/流量コントローラー、前記第1の比例バルブ、前記送達装置および前記物理−化学センサーが第1の閉じたループにある、請求項1に記載の送達システム。
  3. 前記第2の圧力/流量コントローラー、前記第2の比例バルブおよび前記圧力センサーが第2の閉じたループにある、請求項1または2に記載の送達システム。
  4. 前記送達システムが、標準リットル/分以上のキャリアガス流量で、15℃以上の温度で、および120キロパスカル以上の圧力で、1500マイクロモル/分以上の流量で前記液体前駆体化合物の蒸気を送達するように作動するものである、請求項1〜3のいずれか1項に記載の送達システム。
  5. 前記送達システムが、設定点から±0.5重量%以内の精密な蒸気濃度を維持するように働き、かつ複数の反応器に前記前駆体蒸気を送達する、請求項1〜4のいずれか1項に記載の送達システム。
  6. 前記送達システムにおける全てのフローが一方向性であり、かつこれらフローのいずれも互いに対向していない、請求項1〜5のいずれか1項に記載の送達システム。
  7. 前記第1の比例バルブが、前記送達装置の上流に配置されている、請求項1〜6のいずれか1項に記載の送達システム。
  8. 前記第1の比例バルブが、前記送達装置の下流に配置されている、請求項1〜6のいずれか1項に記載の送達システム。
JP2017224724A 2012-07-18 2017-11-22 蒸気送達装置、その製造方法およびその使用方法 Active JP6526160B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/552,054 2012-07-18
US13/552,054 US9243325B2 (en) 2012-07-18 2012-07-18 Vapor delivery device, methods of manufacture and methods of use thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2013144094A Division JP6250318B2 (ja) 2012-07-18 2013-07-10 蒸気送達装置、その製造方法およびその使用方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019087739A Division JP6788067B2 (ja) 2012-07-18 2019-05-07 蒸気送達装置、その製造方法およびその使用方法

Publications (2)

Publication Number Publication Date
JP2018074166A JP2018074166A (ja) 2018-05-10
JP6526160B2 true JP6526160B2 (ja) 2019-06-05

Family

ID=48782991

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2013144094A Active JP6250318B2 (ja) 2012-07-18 2013-07-10 蒸気送達装置、その製造方法およびその使用方法
JP2017224724A Active JP6526160B2 (ja) 2012-07-18 2017-11-22 蒸気送達装置、その製造方法およびその使用方法
JP2019087739A Active JP6788067B2 (ja) 2012-07-18 2019-05-07 蒸気送達装置、その製造方法およびその使用方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2013144094A Active JP6250318B2 (ja) 2012-07-18 2013-07-10 蒸気送達装置、その製造方法およびその使用方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019087739A Active JP6788067B2 (ja) 2012-07-18 2019-05-07 蒸気送達装置、その製造方法およびその使用方法

Country Status (6)

Country Link
US (5) US9243325B2 (ja)
EP (2) EP2687621B1 (ja)
JP (3) JP6250318B2 (ja)
KR (1) KR101520373B1 (ja)
CN (2) CN103572258B (ja)
TW (1) TWI568882B (ja)

Families Citing this family (261)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8997775B2 (en) * 2011-05-24 2015-04-07 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9243325B2 (en) 2012-07-18 2016-01-26 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6078335B2 (ja) * 2012-12-27 2017-02-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、気化システム、気化器およびプログラム
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10138555B2 (en) * 2015-10-13 2018-11-27 Horiba Stec, Co., Ltd. Gas control system and program for gas control system
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
DE102017102446A1 (de) * 2016-09-15 2018-03-15 Netzsch - Gerätebau Gesellschaft mit beschränkter Haftung Verfahren und Vorrichtung zur Erzeugung eines kontinuierlichen Trägergas/Dampf-Gemisch-Stromes
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
TWI586823B (zh) * 2016-11-25 2017-06-11 Nat Chung-Shan Inst Of Science And Tech Apparatus and method for quantifying the amount of solid matter deposited
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6914063B2 (ja) * 2017-03-10 2021-08-04 株式会社堀場エステック ガス制御システム、該ガス制御システムを備えた成膜装置、該ガス制御システムに用いるプログラム及びガス制御方法。
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
CN107845710B (zh) * 2017-10-30 2019-08-16 江苏新广联半导体有限公司 一种氮化镓基红光外延片结构及制备方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7027151B2 (ja) 2017-12-13 2022-03-01 株式会社堀場エステック 濃度制御装置、ガス制御システム、成膜装置、濃度制御方法、及び濃度制御装置用プログラム
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
CN108130525B (zh) * 2018-01-26 2024-04-16 常州比太黑硅科技有限公司 一种三甲基铝计量输送装置
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) * 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
DE102018118771B4 (de) * 2018-08-02 2022-07-07 Leoni Kabel Gmbh Verfahren und Vorrichtung zum reproduzierbaren Erzeugen einer Preform für die Glasfaserherstellung
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7281285B2 (ja) 2019-01-28 2023-05-25 株式会社堀場エステック 濃度制御装置、及び、ゼロ点調整方法、濃度制御装置用プログラム
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11519070B2 (en) * 2019-02-13 2022-12-06 Horiba Stec, Co., Ltd. Vaporization device, film formation device, program for a concentration control mechanism, and concentration control method
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP2021001361A (ja) * 2019-06-19 2021-01-07 東京エレクトロン株式会社 処理方法及び基板処理システム
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11718912B2 (en) 2019-07-30 2023-08-08 Applied Materials, Inc. Methods and apparatus for calibrating concentration sensors for precursor delivery
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN112780947A (zh) * 2019-11-06 2021-05-11 信纮科技股份有限公司 气体混合输出系统及方法
WO2021097143A2 (en) * 2019-11-12 2021-05-20 Forge Nano Inc. Coatings on particles of high energy materials and methods of forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN112097114A (zh) * 2020-08-07 2020-12-18 安徽亚格盛电子新材料有限公司 一种精确制备液态mo源和氢气混合气的装置
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
DE102021117457A1 (de) * 2021-07-06 2023-01-12 Aixtron Se Verdampfungsquelle für einen CVD-Reaktor
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1407791A (en) 1920-06-01 1922-02-28 Pacific Foundry Company Reenforced flange for flanged products
JPS61279678A (ja) * 1985-06-05 1986-12-10 Nippon Tairan Kk 流量制御装置
US5098667A (en) * 1989-05-05 1992-03-24 Phillips Petroleum Company Particulate flow control
US5080131A (en) * 1989-09-26 1992-01-14 Lintec Co., Ltd. Mass flow controller
JP2769734B2 (ja) * 1990-01-15 1998-06-25 株式会社リンテック 質量流量計の弁構造
JPH0478131A (ja) * 1990-07-20 1992-03-12 Nippon Telegr & Teleph Corp <Ntt> ガス流量制御方法および装置
US5730181A (en) * 1994-07-15 1998-03-24 Unit Instruments, Inc. Mass flow controller with vertical purifier
US5453471B1 (en) * 1994-08-02 1999-02-09 Carbide Chemicals & Plastics T Gas phase polymerization process
US5648113A (en) 1994-09-30 1997-07-15 International Business Machines Corporation Aluminum oxide LPCVD system
US5553395A (en) 1995-05-31 1996-09-10 Hughes Aircraft Company Bubbler for solid metal organic source material and method of producing saturated carrying gas
JP3219184B2 (ja) * 1995-08-24 2001-10-15 日本電信電話株式会社 有機金属供給装置および有機金属気相成長装置
US5924012A (en) 1996-10-02 1999-07-13 Micron Technology, Inc. Methods, complexes, and system for forming metal-containing films
KR100228768B1 (ko) 1996-10-02 1999-11-01 김영환 화학 기상증착 장치 및 증착방법
US6637475B2 (en) 1997-07-11 2003-10-28 Advanced Technology Materials, Inc. Bulk chemical delivery system
US6027760A (en) * 1997-12-08 2000-02-22 Gurer; Emir Photoresist coating process control with solvent vapor sensor
US6453924B1 (en) 2000-07-24 2002-09-24 Advanced Technology Materials, Inc. Fluid distribution system and process, and semiconductor fabrication facility utilizing same
US6161398A (en) * 1998-04-09 2000-12-19 Lucent Technologies, Inc. Methods of and systems for vapor delivery control in optical preform manufacture
US6119710A (en) 1999-05-26 2000-09-19 Cyber Instrument Technologies Llc Method for wide range gas flow system with real time flow measurement and correction
KR100360494B1 (ko) 1999-09-21 2002-11-13 삼성전자 주식회사 기화장치
DE60106675T2 (de) 2000-05-31 2005-12-01 Shipley Co., L.L.C., Marlborough Verdampfer
EP1361610B1 (en) 2001-01-18 2011-11-30 Kabushiki Kaisha Watanabe Shoko Vaporizer and deposition device using the same
JP2002289531A (ja) * 2001-03-23 2002-10-04 Ricoh Co Ltd 原料ガス供給装置および原料ガス供給方法および薄膜形成装置およびエピタキシャル成長装置
JP4576597B2 (ja) * 2001-06-01 2010-11-10 株式会社フジキン 耐腐食性集積化マスフローコントローラ
US6655408B2 (en) * 2001-06-13 2003-12-02 Applied Materials, Inc. Tunable ramp rate circuit for a mass flow controller
US6701066B2 (en) 2001-10-11 2004-03-02 Micron Technology, Inc. Delivery of solid chemical precursors
US6712084B2 (en) * 2002-06-24 2004-03-30 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
JP3973605B2 (ja) * 2002-07-10 2007-09-12 東京エレクトロン株式会社 成膜装置及びこれに使用する原料供給装置、成膜方法
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
EP1556424B1 (en) * 2002-10-09 2006-03-22 Exxonmobil Chemical Patents Inc. Methods for injecting catalyst into a polymerization reactor
US6868869B2 (en) 2003-02-19 2005-03-22 Advanced Technology Materials, Inc. Sub-atmospheric pressure delivery of liquids, solids and low vapor pressure gases
US6880592B2 (en) 2003-06-26 2005-04-19 Advanced Technology Materials, Inc. Canister guard
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
CN1894763B (zh) 2003-12-12 2010-12-08 山米奎普公司 用于在离子植入中延长设备正常运行时间的方法及装置
US20060207503A1 (en) * 2005-03-18 2006-09-21 Paul Meneghini Vaporizer and method of vaporizing a liquid for thin film delivery
JP2005310851A (ja) 2004-04-19 2005-11-04 Asm Japan Kk 薄膜形成用ガス供給システム及び方法
US7488512B2 (en) 2004-11-29 2009-02-10 Tokyo Electron Limited Method for preparing solid precursor tray for use in solid precursor evaporation system
US7722720B2 (en) 2004-12-08 2010-05-25 Rohm And Haas Electronic Materials Llc Delivery device
US20060133955A1 (en) 2004-12-17 2006-06-22 Peters David W Apparatus and method for delivering vapor phase reagent to a deposition chamber
JP2006222133A (ja) * 2005-02-08 2006-08-24 Hitachi Cable Ltd 原料ガス供給方法及びその装置
TW200725686A (en) * 2005-10-03 2007-07-01 Advanced Tech Materials Systems and methods for determination of endpoint of chamber cleaning processes
FR2900070B1 (fr) * 2006-04-19 2008-07-11 Kemstream Soc Par Actions Simp Dispositif d'introduction ou d'injection ou de pulverisation d'un melange de gaz vecteur et de composes liquides et procede de mise en oeuvre dudit dispositif.
US20070254093A1 (en) * 2006-04-26 2007-11-01 Applied Materials, Inc. MOCVD reactor with concentration-monitor feedback
JP5209899B2 (ja) 2006-05-22 2013-06-12 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. デリバリーデバイス
US20090130337A1 (en) * 2006-10-12 2009-05-21 Ovshinsky Stanford R Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
WO2008064080A1 (en) 2006-11-22 2008-05-29 S.O.I.Tec Silicon On Insulator Technologies High volume delivery system for gallium trichloride
US20080141937A1 (en) 2006-12-19 2008-06-19 Tokyo Electron Limited Method and system for controlling a vapor delivery system
KR100855582B1 (ko) 2007-01-12 2008-09-03 삼성전자주식회사 액 공급 장치 및 방법, 상기 장치를 가지는 기판 처리설비, 그리고 기판 처리 방법
US7975718B2 (en) 2007-04-16 2011-07-12 Applied Materials, Inc. In-situ monitor of injection valve
JP5372353B2 (ja) * 2007-09-25 2013-12-18 株式会社フジキン 半導体製造装置用ガス供給装置
US20090214777A1 (en) * 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
US20090255466A1 (en) * 2008-04-11 2009-10-15 Peck John D Reagent dispensing apparatus and delivery method
US20090258143A1 (en) * 2008-04-11 2009-10-15 Peck John D Reagent dispensing apparatus and delivery method
TWI433855B (zh) 2008-06-04 2014-04-11 Univation Tech Llc 漿液觸媒流分流器及其使用方法
US8571817B2 (en) * 2008-09-10 2013-10-29 Palo Alto Research Center Incorporated Integrated vapor delivery systems for chemical vapor deposition precursors
WO2010056576A1 (en) * 2008-11-11 2010-05-20 Praxair Technology, Inc. Reagent dispensing apparatuses and delivery methods
US9181097B2 (en) * 2009-02-19 2015-11-10 Sundew Technologies, Llc Apparatus and methods for safely providing hazardous reactants
JP5690498B2 (ja) 2009-03-27 2015-03-25 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 基体上に膜を堆積する方法および気化前駆体化合物を送達する装置
JP2010278167A (ja) 2009-05-28 2010-12-09 Panasonic Corp 半導体製造装置
JP5419276B2 (ja) * 2009-12-24 2014-02-19 株式会社堀場製作所 材料ガス濃度制御システム及び材料ガス濃度制御システム用プログラム
JP5562712B2 (ja) * 2010-04-30 2014-07-30 東京エレクトロン株式会社 半導体製造装置用のガス供給装置
JP6005334B2 (ja) * 2010-12-24 2016-10-12 株式会社堀場エステック 材料ガス制御システム
US8927066B2 (en) * 2011-04-29 2015-01-06 Applied Materials, Inc. Method and apparatus for gas delivery
CN103518165B (zh) * 2011-05-10 2016-06-08 株式会社富士金 带有流量监测器的压力式流量控制装置
US8776821B2 (en) 2011-05-24 2014-07-15 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US8997775B2 (en) * 2011-05-24 2015-04-07 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
JP5755958B2 (ja) * 2011-07-08 2015-07-29 株式会社フジキン 半導体製造装置の原料ガス供給装置
JP5647083B2 (ja) * 2011-09-06 2014-12-24 株式会社フジキン 原料濃度検出機構を備えた原料気化供給装置
US9238865B2 (en) * 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9243325B2 (en) 2012-07-18 2016-01-26 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9605346B2 (en) * 2014-03-28 2017-03-28 Lam Research Corporation Systems and methods for pressure-based liquid flow control

Also Published As

Publication number Publication date
EP2687621A2 (en) 2014-01-22
KR20140011291A (ko) 2014-01-28
EP3000914A1 (en) 2016-03-30
CN107254674A (zh) 2017-10-17
JP2014030015A (ja) 2014-02-13
US9243325B2 (en) 2016-01-26
JP2019153805A (ja) 2019-09-12
US20220290299A1 (en) 2022-09-15
EP3000914B1 (en) 2024-03-27
US11345997B2 (en) 2022-05-31
TWI568882B (zh) 2017-02-01
US10066296B2 (en) 2018-09-04
US20160122870A1 (en) 2016-05-05
JP6250318B2 (ja) 2017-12-20
CN103572258B (zh) 2017-07-04
JP2018074166A (ja) 2018-05-10
US11680318B2 (en) 2023-06-20
EP2687621A3 (en) 2014-02-12
US20190032207A1 (en) 2019-01-31
EP2687621B1 (en) 2018-09-12
US20140020764A1 (en) 2014-01-23
US10676821B2 (en) 2020-06-09
US20200299837A1 (en) 2020-09-24
TW201410913A (zh) 2014-03-16
CN103572258A (zh) 2014-02-12
KR101520373B1 (ko) 2015-05-14
JP6788067B2 (ja) 2020-11-18

Similar Documents

Publication Publication Date Title
JP6526160B2 (ja) 蒸気送達装置、その製造方法およびその使用方法
KR101447921B1 (ko) 증기 전달 장치, 이의 제조 방법 및 사용 방법
US9416452B2 (en) Vapor delivery device, methods of manufacture and methods of use thereof

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20181127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181212

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190312

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190402

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190507

R150 Certificate of patent or registration of utility model

Ref document number: 6526160

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250